KR20170106006A - Semiconductor device - Google Patents

Semiconductor device Download PDF

Info

Publication number
KR20170106006A
KR20170106006A KR1020160029549A KR20160029549A KR20170106006A KR 20170106006 A KR20170106006 A KR 20170106006A KR 1020160029549 A KR1020160029549 A KR 1020160029549A KR 20160029549 A KR20160029549 A KR 20160029549A KR 20170106006 A KR20170106006 A KR 20170106006A
Authority
KR
South Korea
Prior art keywords
film
tialc
films
dielectric
layer
Prior art date
Application number
KR1020160029549A
Other languages
Korean (ko)
Other versions
KR102382555B1 (en
Inventor
김주연
박기관
Original Assignee
삼성전자주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 삼성전자주식회사 filed Critical 삼성전자주식회사
Priority to KR1020160029549A priority Critical patent/KR102382555B1/en
Priority to US15/430,265 priority patent/US10431583B2/en
Publication of KR20170106006A publication Critical patent/KR20170106006A/en
Priority to US16/503,250 priority patent/US20190326284A1/en
Application granted granted Critical
Publication of KR102382555B1 publication Critical patent/KR102382555B1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/783Field effect transistors with field effect produced by an insulated gate comprising a gate to body connection, i.e. bulk dynamic threshold voltage MOSFET
    • H01L27/10826
    • H01L27/1104
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/408Electrodes ; Multistep manufacturing processes therefor with an insulating layer with a particular dielectric or electrostatic property, e.g. with static charges or for controlling trapped charges or moving ions, or with a plate acting on the insulator potential or the insulator charges, e.g. for controlling charges effect or potential distribution in the insulating layer, or with a semi-insulating layer contacting directly the semiconductor surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4916Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a silicon layer, e.g. polysilicon doped with boron, phosphorus or nitrogen
    • H01L29/4925Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a silicon layer, e.g. polysilicon doped with boron, phosphorus or nitrogen with a multiple layer structure, e.g. several silicon layers with different crystal structure or grain arrangement
    • H01L29/4941Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a silicon layer, e.g. polysilicon doped with boron, phosphorus or nitrogen with a multiple layer structure, e.g. several silicon layers with different crystal structure or grain arrangement with a barrier layer between the silicon and the metal or metal silicide upper layer, e.g. Silicide/TiN/Polysilicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/495Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a simple metal, e.g. W, Mo
    • H01L29/4958Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a simple metal, e.g. W, Mo with a multiple layer structure

Landscapes

  • Microelectronics & Electronic Packaging (AREA)
  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Ceramic Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

Provided is a semiconductor device. The semiconductor device comprises: a substrate including a first region and a second region; first and second dielectric layers respectively formed on the substrate of the first region and the second region; and first and second gate stacks formed on the first and second dielectric layers respectively. The first gate stack comprises a first TiAlC film in contact with the first dielectric layer, a first barrier layer, and a first metal layer sequentially deposited on the first TiAlC layer. The second gate stack includes a second lanthanum oxide (LaO) film in contact with the second dielectric layer, a second TiAlC layer, a second barrier layer, and a second metal layer sequentially deposited on the second lanthanum oxide layer. The threshold voltage of a plurality of transistors is able to be adjusted.

Description

반도체 장치{SEMICONDUCTOR DEVICE}Technical Field [0001] The present invention relates to a semiconductor device,

본 발명은 반도체 장치에 관한 것이다.The present invention relates to a semiconductor device.

반도체 장치는 서로 다른 문턱 전압(threshold voltage)을 가지는 트랜지스터들을 포함할 수 있다. 이렇게 문턱 전압이 다른 트랜지스터들의 예로는, 로직(Logic) 트랜지스터와, SRAM(Static Random Access Memory) 또는 DRAM(Dynamic Random Access Memory) 트랜지스터 등의 조합을 들 수 있다.The semiconductor device may include transistors having different threshold voltages. Examples of transistors having different threshold voltages include a logic transistor and a combination of a static random access memory (SRAM) or a dynamic random access memory (DRAM) transistor.

한편, 이렇게 반도체 장치에 포함되는 트렌지스터들의 문턱 전압을 조절하는 방법으로는 여러가지가 연구되고 있다.Meanwhile, various methods for controlling the threshold voltage of the transistors included in the semiconductor device have been studied.

본 발명이 해결하려는 과제는, 복수의 트렌지스터의 문턱 전압이 조절된 반도체 장치를 제공하는 것이다.A problem to be solved by the present invention is to provide a semiconductor device in which threshold voltages of a plurality of transistors are adjusted.

본 발명의 기술적 과제들은 이상에서 언급한 기술적 과제로 제한되지 않으며, 언급되지 않은 또 다른 기술적 과제들은 아래의 기재로부터 당업자에게 명확하게 이해될 수 있을 것이다.The technical objects of the present invention are not limited to the technical matters mentioned above, and other technical subjects not mentioned can be clearly understood by those skilled in the art from the following description.

상술한 기술적 과제를 해결하기 위하여, 본 발명의 몇몇 실시예에 따른 반도체 장치는 제1 영역 및 제2 영역을 포함하는 기판; 상기 제1 영역 및 제2 영역의 상기 기판 상에 각각 형성되는 제1 및 제2 유전막; 및 상기 제1 및 제2 유전막 상에 각각 형성되는 제1 및 제2 게이트 스택을 포함하고, 상기 제1 게이트 스택은 상기 제1 유전막과 접촉하는 제1 TiAlC막과, 상기 제1 TiAlC막 상에 순차 적층되는 제1 배리어막과 제1 금속층을 포함하고, 상기 제2 게이트 스택은 상기 제2 유전막과 접촉하는 제2 산화 란탄(LaO)막과, 상기 제2 산화 란탄막 상에 순차 적층되는 제2 TiAlC막, 제2 배리어막 및 제2 금속층을 포함할 수 있다.According to an aspect of the present invention, there is provided a semiconductor device comprising: a substrate including a first region and a second region; First and second dielectric layers formed on the substrate of the first and second regions, respectively; And first and second gate stacks formed on the first and second dielectric layers, respectively, wherein the first gate stack comprises a first TiAlC film in contact with the first dielectric layer, and a second TiAlC film on the first TiAlC film Wherein the second gate stack comprises a second lanthanum oxide (LaO) film in contact with the second dielectric layer, and a second oxide lanthanum (LaO) layer sequentially deposited on the second lanthanum oxide layer 2 TiAlC film, a second barrier film, and a second metal layer.

본 발명의 몇몇 실시예들에 있어서, 상기 제2 TiAlC막은 상기 제2 산화 란탄막과 접촉할 수 있다.In some embodiments of the present invention, the second TiAlC film may be in contact with the second lanthanum oxide film.

본 발명의 몇몇 실시예들에 있어서, 상기 제1 및 제2 게이트 스택은 질화 탄탈륨(TaN)을 미포함할 수 있다.In some embodiments of the present invention, the first and second gate stacks may comprise tantalum nitride (TaN).

본 발명의 몇몇 실시예들에 있어서, 상기 기판은 제3 영역 및 제4 영역을 더 포함하고, 상기 제3 영역 및 제4 영역의 상기 기판 상에 각각 형성되는 제3 및 제4 유전막; 및 상기 제3 및 제4 유전막 상에 각각 형성되는 제3 및 제4 게이트 스택을 더 포함하되, 상기 제3 게이트 스택은 상기 제3 유전막 상에 순차 적층되는 제3 TiN막, 제3 TiAlC막, 제3 배리어막 및 제3 금속층을 포함하고, 상기 제4 게이트 스택은 상기 제4 유전막 상에 순차 적층되는 제4 산화 란탄막, 제4 TiN막, 제4 TiAlC막, 제4 배리어막 및 제4 금속층을 포함할 수 있다.In some embodiments of the present invention, the substrate further includes a third region and a fourth region, and third and fourth dielectric films respectively formed on the substrate of the third region and the fourth region; And third and fourth gate stacks formed on the third and fourth dielectric layers, respectively, wherein the third gate stack comprises a third TiN film, a third TiAlC film, and a third TiN film sequentially stacked on the third dielectric film, Wherein the fourth gate stack comprises a fourth lanthanum film, a fourth TiN film, a fourth TiAlC film, a fourth barrier film, and a fourth dielectric film sequentially stacked on the fourth dielectric film, Metal layer.

본 발명의 몇몇 실시예들에 있어서, 상기 제1 내지 제4 게이트 스택은 각각 제1 내지 제4 트랜지스터를 형성하고, 상기 제1 내지 제4 트랜지스터의 문턱 전압은 서로 다를 수 있다.In some embodiments of the present invention, the first through fourth gate stacks form first through fourth transistors, respectively, and the threshold voltages of the first through fourth transistors may be different from each other.

본 발명의 몇몇 실시예들에 있어서, 상기 제1 내지 제4 트랜지스터는 N형 트랜지스터일 수 있다.In some embodiments of the present invention, the first to fourth transistors may be N-type transistors.

본 발명의 몇몇 실시예들에 있어서, 상기 제3 TiN막과 상기 제4 TiN막은 서로 다른 두께를 가질 수 있다.In some embodiments of the present invention, the third TiN film and the fourth TiN film may have different thicknesses.

본 발명의 몇몇 실시예들에 있어서, 상기 기판과 상기 제1 및 제2 유전막 사이에 각각 형성되는 제1 및 제2 인터페이스막을 더 포함할 수 있다.In some embodiments of the present invention, the semiconductor device may further include first and second interface films respectively formed between the substrate and the first and second dielectric films.

본 발명의 몇몇 실시예들에 있어서, 상기 제1 및 제2 유전막 각각은 상기 제1 및 제2 게이트 스택의 하면 및 측벽을 따라 상부로 연장될 수 있다.In some embodiments of the present invention, each of the first and second dielectric layers may extend upwardly along the bottom and sidewalls of the first and second gate stacks.

상술한 기술적 과제를 해결하기 위하여, 본 발명의 몇몇 실시예에 따른 반도체 장치는 제1 내지 제4 영역을 포함하는 기판; 상기 제1 내지 제4 영역의 상기 기판 상에 각각 형성되는 제1 내지 제4 유전막; 및 상기 제1 내지 제4 유전막 상에 각각 형성되는 제1 내지 제4 게이트 스택을 포함하고, 상기 제1 게이트 스택은 상기 제1 유전막 상에 순차 적층되는 제1 TiAlC막, 제1 배리어막과 제1 금속층을 포함하고, 상기 제2 게이트 스택은 상기 제2 유전막 상에 순차 적층되는 제2 산화 란탄(LaO)막, 제2 TiAlC막, 제2 배리어막 및 제2 금속층을 포함하고, 상기 제3 게이트 스택은 상기 제3 유전막 상에 순차 적층되는 제3 TiN막, 제3 TiAlC막, 제3 배리어막 및 제3 금속층을 포함하고, 상기 제4 게이트 스택은 상기 제4 유전막 상에 순차 적층되는 제4 산화 란탄막, 제4 TiN막, 제4 TiAlC막, 제4 배리어막 및 제4 금속층을 포함하되, 상기 제2 TiAlC막은 상기 제2 산화 란탄막과 접촉할 수 있다.According to an aspect of the present invention, there is provided a semiconductor device including: a substrate including first to fourth regions; First to fourth dielectric layers formed on the substrate of the first to fourth regions, respectively; And first to fourth gate stacks formed on the first to fourth dielectric layers, respectively, wherein the first gate stack includes a first TiAlC film sequentially stacked on the first dielectric film, 1 metal layer, the second gate stack comprising a second lanthanum oxide (LaO) film, a second TiAlC film, a second barrier film and a second metal layer sequentially deposited on the second dielectric layer, Wherein the gate stack comprises a third TiN film, a third TiAlC film, a third barrier film and a third metal layer sequentially stacked on the third dielectric layer, A fourth TiAlC film, a fourth TiAlC film, a fourth barrier film and a fourth metal layer, wherein the second TiAlC film is in contact with the second lanthanum oxide film.

본 발명의 몇몇 실시예들에 있어서, 상기 제1 내지 제4 게이트 스택은 질화 탄탈륨(TaN)을 미포함할 수 있다.In some embodiments of the present invention, the first through fourth gate stacks may comprise tantalum nitride (TaN).

본 발명의 몇몇 실시예들에 있어서, 상기 제1 내지 제4 게이트 스택은 각각 제1 내지 제4 트랜지스터를 형성하고, 상기 제1 내지 제4 트랜지스터의 문턱 전압은 서로 다를 수 있다.In some embodiments of the present invention, the first through fourth gate stacks form first through fourth transistors, respectively, and the threshold voltages of the first through fourth transistors may be different from each other.

본 발명의 몇몇 실시예들에 있어서, 상기 제1 내지 제4 트랜지스터는 N형 트랜지스터일 수 있다.In some embodiments of the present invention, the first to fourth transistors may be N-type transistors.

본 발명의 몇몇 실시예들에 있어서, 상기 제3 TiN막과 상기 제4 TiN막은 서로 다른 두께를 가질 수 있다.In some embodiments of the present invention, the third TiN film and the fourth TiN film may have different thicknesses.

본 발명의 몇몇 실시예들에 있어서, 상기 제1 내지 제4 유전막 각각은 상기 제1 내지 제4 게이트 스택의 하면 및 측벽을 따라 상부로 연장될 수 있다.In some embodiments of the present invention, each of the first to fourth dielectric layers may extend upward along the bottom surface and the sidewalls of the first to fourth gate stacks.

본 발명의 기타 구체적인 사항들은 상세한 설명 및 도면들에 포함되어 있다.Other specific details of the invention are included in the detailed description and drawings.

도 1은 본 발명의 몇몇 실시예에 따른 반도체 장치를 설명하기 위한 단면도이다.
도 2은 본 발명의 몇몇 실시예에 따른 반도체 장치를 설명하기 위한 단면도이다.
도 3 내지 도 20은 본 발명의 몇몇 실시예에 따른 반도체 장치의 제조 방법을 설명하기 위한 사시도 및 단면도들이다.
도 21은 본 발명의 몇몇 실시예에 따른 반도체 장치를 설명하기 위한 단면도이다.
도 22 내지 도 24는 몇몇 실시예에 따른 반도체 장치를 설명하기 위한 사시도 및 단면도들이다.
도 25는 본 발명의 몇몇 실시예에 따른 반도체 장치를 설명하기 위한 단면도이다.
도 26은 본 발명의 몇몇 실시예에 따른 반도체 장치를 설명하기 위한 단면도이다.
도 27은 본 발명의 몇몇 실시예에 따라 제조된 반도체 장치를 포함하는 전자 시스템의 블록도이다.
도 28는 본 발명의 몇몇 실시예에 따라 제조된 반도체 장치를 적용할 수 있는 예시적인 반도체 시스템이다
1 is a cross-sectional view illustrating a semiconductor device according to some embodiments of the present invention.
2 is a cross-sectional view illustrating a semiconductor device according to some embodiments of the present invention.
3 to 20 are a perspective view and a cross-sectional view for explaining a method of manufacturing a semiconductor device according to some embodiments of the present invention.
21 is a cross-sectional view illustrating a semiconductor device according to some embodiments of the present invention.
22 to 24 are a perspective view and a cross-sectional view for explaining a semiconductor device according to some embodiments.
25 is a cross-sectional view illustrating a semiconductor device according to some embodiments of the present invention.
26 is a cross-sectional view illustrating a semiconductor device according to some embodiments of the present invention.
27 is a block diagram of an electronic system including a semiconductor device manufactured in accordance with some embodiments of the present invention.
28 is an exemplary semiconductor system to which a semiconductor device fabricated in accordance with some embodiments of the present invention may be applied

본 발명의 이점 및 특징, 그리고 그것들을 달성하는 방법은 첨부되는 도면과 함께 상세하게 후술되어 있는 실시예들을 참조하면 명확해질 것이다. 그러나 본 발명은 이하에서 개시되는 실시예들에 한정되는 것이 아니라 서로 다른 다양한 형태로 구현될 것이며, 단지 본 실시예들은 본 발명의 개시가 완전하도록 하며, 본 발명이 속하는 기술분야에서 통상의 지식을 가진 자에게 발명의 범주를 완전하게 알려주기 위해 제공되는 것이며, 본 발명은 청구항의 범주에 의해 정의될 뿐이다. 명세서 전체에 걸쳐 동일 참조 부호는 동일 구성 요소를 지칭한다.BRIEF DESCRIPTION OF THE DRAWINGS The advantages and features of the present invention and the manner of achieving them will become apparent with reference to the embodiments described in detail below with reference to the accompanying drawings. The present invention may, however, be embodied in many different forms and should not be construed as being limited to the embodiments set forth herein. Rather, these embodiments are provided so that this disclosure will be thorough and complete, and will fully convey the scope of the invention to those skilled in the art. Is provided to fully convey the scope of the invention to those skilled in the art, and the invention is only defined by the scope of the claims. Like reference numerals refer to like elements throughout the specification.

하나의 소자(elements)가 다른 소자와 "접속된(connected to)" 또는 "커플링된(coupled to)" 이라고 지칭되는 것은, 다른 소자와 직접 연결 또는 커플링된 경우 또는 중간에 다른 소자를 개재한 경우를 모두 포함한다. 반면, 하나의 소자가 다른 소자와 "직접 접속된(directly connected to)" 또는 "직접 커플링된(directly coupled to)"으로 지칭되는 것은 중간에 다른 소자를 개재하지 않은 것을 나타낸다. 명세서 전체에 걸쳐 동일 참조 부호는 동일 구성 요소를 지칭한다. "및/또는"은 언급된 아이템들의 각각 및 하나 이상의 모든 조합을 포함한다. One element is referred to as being "connected to " or" coupled to "another element, either directly connected or coupled to another element, One case. On the other hand, when one element is referred to as being "directly connected to" or "directly coupled to " another element, it does not intervene another element in the middle. Like reference numerals refer to like elements throughout the specification. "And / or" include each and every combination of one or more of the mentioned items.

비록 제1, 제2 등이 다양한 소자, 구성요소 및/또는 섹션들을 서술하기 위해서 사용되나, 이들 소자, 구성요소 및/또는 섹션들은 이들 용어에 의해 제한되지 않음은 물론이다. 이들 용어들은 단지 하나의 소자, 구성요소 또는 섹션들을 다른 소자, 구성요소 또는 섹션들과 구별하기 위하여 사용하는 것이다. 따라서, 이하에서 언급되는 제1 소자, 제1 구성요소 또는 제1 섹션은 본 발명의 기술적 사상 내에서 제2 소자, 제2 구성요소 또는 제2 섹션일 수도 있음은 물론이다.Although the first, second, etc. are used to describe various elements, components and / or sections, it is needless to say that these elements, components and / or sections are not limited by these terms. These terms are only used to distinguish one element, element or section from another element, element or section. Therefore, it goes without saying that the first element, the first element or the first section mentioned below may be the second element, the second element or the second section within the technical spirit of the present invention.

본 명세서에서 사용된 용어는 실시예들을 설명하기 위한 것이며 본 발명을 제한하고자 하는 것은 아니다. 본 명세서에서, 단수형은 문구에서 특별히 언급하지 않는 한 복수형도 포함한다. 명세서에서 사용되는 "포함한다(comprises)" 및/또는 "포함하는(comprising)"은 언급된 구성요소, 단계, 동작 및/또는 소자는 하나 이상의 다른 구성요소, 단계, 동작 및/또는 소자의 존재 또는 추가를 배제하지 않는다.The terminology used herein is for the purpose of illustrating embodiments and is not intended to be limiting of the present invention. In the present specification, the singular form includes plural forms unless otherwise specified in the specification. It is noted that the terms "comprises" and / or "comprising" used in the specification are intended to be inclusive in a manner similar to the components, steps, operations, and / Or additions.

다른 정의가 없다면, 본 명세서에서 사용되는 모든 용어(기술 및 과학적 용어를 포함)는 본 발명이 속하는 기술분야에서 통상의 지식을 가진 자에게 공통적으로 이해될 수 있는 의미로 사용될 수 있을 것이다. 또 일반적으로 사용되는 사전에 정의되어 있는 용어들은 명백하게 특별히 정의되어 있지 않는 한 이상적으로 또는 과도하게 해석되지 않는다. Unless defined otherwise, all terms (including technical and scientific terms) used herein may be used in a sense commonly understood by one of ordinary skill in the art to which this invention belongs. Also, commonly used predefined terms are not ideally or excessively interpreted unless explicitly defined otherwise.

본 발명의 몇몇 실시예에 따른 반도체 장치에 관한 도면에서는, 예시적으로, 핀형 패턴 형상의 채널 영역을 포함하는 핀형 트랜지스터(FinFET)을 도시하였지만, 이에 제한되는 것은 아니다. 본 발명의 몇몇 실시예에 따른 반도체 장치는 터널링 트랜지스터(tunneling FET), 나노 와이어를 포함하는 트랜지스터, 나노 시트(sheet)를 포함하는 트랜지스터, 또는 3차원(3D) 트랜지스터를 포함할 수 있음은 물론이다. 또한, 본 발명의 몇몇 실시예에 따른 반도체 장치는 양극성 접합(bipolar junction) 트랜지스터, 횡형 이중 확산 트랜지스터(LDMOS) 등을 포함할 수도 있다.In the drawings relating to the semiconductor device according to some embodiments of the present invention, a pinned transistor (FinFET) including a channel region of a pin-shaped pattern shape is exemplarily shown, but the present invention is not limited thereto. The semiconductor device according to some embodiments of the present invention may include a tunneling FET, a transistor including a nanowire, a transistor including a nanosheet, or a three-dimensional (3D) transistor . Further, the semiconductor device according to some embodiments of the present invention may include a bipolar junction transistor, a lateral double diffusion transistor (LDMOS), and the like.

이어서, 도 1을 참조하여 본 발명의 몇몇 실시예에 따른 반도체 장치를 설명한다.Next, a semiconductor device according to some embodiments of the present invention will be described with reference to FIG.

도 1은 본 발명의 몇몇 실시예에 따른 반도체 장치의 단면도이다.1 is a cross-sectional view of a semiconductor device according to some embodiments of the present invention.

도 1을 참조하면, 본 실시예에 따른 반도체 장치의 기판(101)은 제1 및 제2 영역(Ⅰ, Ⅱ)을 포함한다. 제1 영역(Ⅰ)과 제2 영역(Ⅱ)은 서로 연결될 수도, 떨어져 있을 수도 있다.Referring to FIG. 1, a substrate 101 of a semiconductor device according to the present embodiment includes first and second regions I and II. The first region (I) and the second region (II) may be connected to each other or may be separated from each other.

제1 영역(Ⅰ)에는 제1 핀(F1), 제1 유전막(143) 및 제1 게이트 스택(170)이 형성될 수 있고, 제2 영역(Ⅱ)은 제2 핀(F2), 제2 유전막(243) 및 제2 게이트 스택(270)이 형성될 수 있다.The first region I may include a first fin F1, a first dielectric layer 143 and a first gate stack 170. The second region II may include a second fin F2, A dielectric film 243 and a second gate stack 270 can be formed.

여기서, 기판(101)은, 예를 들어, 벌크 실리콘 또는 SOI(silicon-on-insulator)일 수 있다. 이와 달리, 기판(101)은 실리콘 기판일 수도 있고, 또는 다른 물질, 예를 들어, 실리콘게르마늄, 안티몬화 인듐, 납 텔루르 화합물, 인듐 비소, 인듐 인화물, 갈륨 비소 또는 안티몬화 갈륨을 포함할 수 있다. Here, the substrate 101 may be, for example, bulk silicon or a silicon-on-insulator (SOI). Alternatively, the substrate 101 may be a silicon substrate or it may include other materials such as silicon germanium, indium antimonide, lead tellurium compound, indium arsenide, indium phosphide, gallium arsenide, or gallium antimonide .

또는, 기판(101)은 베이스 기판 상에 에피층이 형성된 것일 수도 있다. 베이스 기판 상에 형성된 에피층을 이용하여 액티브 핀을 형성할 경우, 에피층은 원소 반도체 물질인 실리콘 또는 게르마늄을 포함할 수 있다. 또한, 에피층은 화합물 반도체를 포함할 수 있고, 예를 들어, IV-IV족 화합물 반도체 또는 III-V족 화합물 반도체를 포함할 수 있다. 구체적으로, IV-IV족 화합물 반도체를 예로 들면, 에피층은 탄소(C), 규소(Si), 게르마늄(Ge), 주석(Sn) 중 적어도 2개 이상을 포함하는 이원계 화합물(binary compound), 삼원계 화합물(ternary compound) 또는 이들에 IV족 원소가 도핑된 화합물일 수 있다. III-V족 화합물 반도체를 예로 들면, 에피층은 III족 원소로 알루미늄(Al), 갈륨(Ga) 및 인듐(In) 중 적어도 하나와 V족 원소인 인(P), 비소(As) 및 안티모늄(Sb) 중 하나가 결합되어 형성되는 이원계 화합물, 삼원계 화합물 또는 사원계 화합물 중 하나일 수 있다.Alternatively, the substrate 101 may have an epilayer formed on the base substrate. When an active layer is formed using an epi layer formed on a base substrate, the epi layer may include silicon or germanium, which is an element semiconductor material. In addition, the epi layer may include a compound semiconductor, for example, a compound semiconductor of Group IV-IV or a group III-V compound semiconductor. Specifically, as an example of the IV-IV group compound semiconductor, the epi layer may be a binary compound including at least two of carbon (C), silicon (Si), germanium (Ge), and tin (Sn) A ternary compound or a compound doped with a Group IV element thereon. For example, the epitaxial layer of the III-V group compound semiconductor is a Group III element and includes at least one of aluminum (Al), gallium (Ga), and indium (In) and a group V element such as phosphorus (P), arsenic (As) Monovalent compound, or a siliceous compound in which one of mononuclear (Sb) is formed by bonding.

본 실시예에서, 기판(101)의 제1 및 제2 영역(I, Ⅱ) 영역에는, 각각 제1 및 제2 트랜지스터(TR1, TR2)가 형성될 수 있다. 제1 및 제2 트랜지스터(TR1, TR2)는 도시되지 않았지만, 기판(101) 내에 형성된 소자 분리막에 의해 서로 분리될 수 있다. 이러한 소자 분리막은 예를 들어, STI(Shallow Trench Isolation) 또는 DTI(Deep Trench Isolation)일 수 있다.In this embodiment, the first and second transistors TR1 and TR2 may be formed in the first and second regions I and II of the substrate 101, respectively. Although not shown, the first and second transistors TR1 and TR2 may be separated from each other by a device isolation film formed in the substrate 101. [ Such an element isolation film may be, for example, Shallow Trench Isolation (STI) or Deep Trench Isolation (DTI).

제1 및 제2 트랜지스터(TR1, TR2)는 각각 제1 및 제2 소오스-드레인 영역(123, 223), 제1 및 제2 스페이서(121, 221), 제1 및 제2 인터페이스막(141, 241), 제1 및 제2 유전막(143, 243) 및 제1 및 제2 게이트 스택(170, 270)을 포함할 수 있다. The first and second transistors TR1 and TR2 are connected to the first and second source and drain regions 123 and 223 and the first and second spacers 121 and 221 and the first and second interface films 141 and 142, 241, first and second dielectric layers 143, 243, and first and second gate stacks 170, 270.

구체적으로, 기판(101)의 제1 영역(Ⅰ)에 형성된 제1 트랜지스터(TR1)는, 제1 소오스-드레인 영역(123), 제1 스페이서(121), 제1 인터페이스막(141), 제1 유전막(143) 및 제1 게이트 스택(170)을 포함할 수 있다. 여기서, 제1 게이트 스택(170)은 제1 TiAlC막(151), 제2 배리어막(153) 및 제1 금속층(155)를 포함할 수 있다.Specifically, the first transistor TR1 formed in the first region I of the substrate 101 includes a first source-drain region 123, a first spacer 121, a first interface film 141, 1 dielectric layer 143 and a first gate stack 170. Here, the first gate stack 170 may include a first TiAlC film 151, a second barrier film 153, and a first metal layer 155.

또한, 기판(101)의 제2 영역(Ⅱ)에 형성된 제2 트랜지스터(TR2)는, 제2 소오스-드레인 영역(223), 제2 스페이서(221), 제2 인터페이스막(241), 제2 유전막(243) 및 제2 게이트 스택(260)을 포함할 수 있다. 여기서, 제2 게이트 스택(260)은 제2 TiAlC막(251), 제2 배리어막(253) 및 제2 금속층(255)를 포함할 수 있다.The second transistor TR2 formed in the second region II of the substrate 101 has a second source-drain region 223, a second spacer 221, a second interface film 241, A dielectric layer 243, and a second gate stack 260. Here, the second gate stack 260 may include a second TiAlC film 251, a second barrier film 253, and a second metal layer 255.

제1 및 제2 소오스-드레인 영역(123. 223)은 도시된 것과 같이 기판(101) 내에 소정의 불순물(impurity) 주입됨으로써 형성될 수 있다. 본 실시예에 따른 제1 및 제2 트랜지스터(TR1, TR2)가 NMOS 트랜지스터일 경우, 제1 및 제2 소오스-드레인 영역(123. 223)에는 n형 불순물이 주입될 수 있다.The first and second source-drain regions 123 and 223 may be formed by implanting a predetermined impurity into the substrate 101 as shown in the figure. When the first and second transistors TR1 and TR2 according to this embodiment are NMOS transistors, n-type impurities may be implanted into the first and second source-drain regions 123 and 223.

한편, 제1 및 제2 소오스-드레인 영역(123. 223)은 도시된 바와 같이 상승된(elevated) 형태로 형성될 수도 있다. 이 경우, 제1 및 제2 소오스-드레인 영역(123. 223)은 기판(101)에 형성된 트렌치 내에 에피층 형태로 형성될 수 있다. 그러나, 제1 및 제2 소오스-드레인 영역(123. 223) 각각의 형상은 도시된 것에 제한되지 않는다.Meanwhile, the first and second source-drain regions 123 and 223 may be formed in an elevated shape as shown in the figure. In this case, the first and second source-drain regions 123, 223 may be formed in the form of an epilayer in the trench formed in the substrate 101. However, the shape of each of the first and second source-drain regions 123, 223 is not limited to that shown.

층간 절연막(130)은 기판(101)의 제1 및 제2 영역(I, Ⅱ) 상에 각각 형성된 제1 트렌치(135)와 제2 트렌치(235)를 포함할 수 있다. 그리고 제1 트렌치(135)와 제2 트렌치(235) 각각의 양측으로는 도시된 것과 같이 제1 및 제2 스페이서(121, 221)가 배치될 수 있다.The interlayer insulating layer 130 may include a first trench 135 and a second trench 235 formed on the first and second regions I and II of the substrate 101, respectively. The first and second spacers 121 and 221 may be disposed on both sides of the first trench 135 and the second trench 235, respectively.

각각의 제1 및 제2 스페이서(121, 221)는 예를 들어, 실리콘 질화물(SiN), 실리콘 산질화물(SiON), 실리콘 산화물(SiO2), 실리콘 산탄질화물(SiOCN) 및 이들의 조합 중 적어도 하나를 포함할 수 있다.Each of the first and second spacers (121, 221) are, for example, silicon nitride (SiN), silicon oxynitride (SiON), silicon oxide (SiO 2), silicon shot nitride (SiOCN) and at least one of a combination of One can be included.

각각의 제1 및 제2 스페이서(121, 221)는 각각 단일막인 것으로 도시되었지만, 설명의 편의성을 위한 것일 뿐, 이에 제한되는 것은 아니다. 제1 및 제2 스페이서(121, 221)가 복수의 막일 경우, 각각의 제1 및 제2 스페이서(121, 221)에 포함된 막 중 적어도 하나의 막은 실리콘 산탄질화물(SiOCN)과 같은 저유전율 물질을 포함할 수 있다. Although each of the first and second spacers 121 and 221 is shown as a single film, it is for convenience of explanation, but is not limited thereto. When the first and second spacers 121 and 221 are a plurality of films, at least one of the films included in each of the first and second spacers 121 and 221 has a low dielectric constant material such as silicon oxynitride (SiOCN) . ≪ / RTI >

또한, 제1 및 제2 스페이서(121, 221)가 복수의 막일 경우, 각각의 제1 및 제2 스페이서(121, 221)에 포함된 막 중 적어도 하나의 막은 L자 모양의 형상을 가질 수 있다.In addition, when the first and second spacers 121 and 221 are a plurality of films, at least one of the films included in the first and second spacers 121 and 221 may have an L shape .

경우에 따라, 제1 및 제2 스페이서(121, 221)는 자기 정렬 컨택(Self Aligned Contact)을 형성하기 위한 가이드 역할을 할 수 있다. 이에, 제1 및 제2 스페이서(121, 221)는 층간 절연막(130)에 대한 식각 선택비를 갖는 물질을 포함할 수 있다.In some cases, the first and second spacers 121 and 221 may serve as guides for forming Self Aligned Contacts. Accordingly, the first and second spacers 121 and 221 may include a material having an etch selectivity to the interlayer insulating layer 130.

기판(101)의 제1 및 제2 영역(I, Ⅱ) 각각에 형성된 제1 및 제2 트렌치(135, 235) 내에는 도시된 것과 같이 제1 및 제2 인터페이스막(141, 241), 제1 및 제2 유전막(143, 243) 및 제1 및 제2 게이트 스택(170, 270)이 순차적으로 형성될 수 있다.The first and second trenches 135 and 235 formed in the first and second regions I and II of the substrate 101 are provided with first and second interface films 141 and 241, 1 and the second dielectric layers 143 and 243 and the first and second gate stacks 170 and 270 may be sequentially formed.

제1 및 제2 인터페이스막(141, 241)은 기판(101)과 제1 및 제2 유전막(143, 243) 사이의 불량 계면을 방지하는 역할을 할 수 있다. 제1 및 제2 인터페이스막(141, 241)은 유전율(k)이 9 이하인 저유전 물질층, 예를 들면 실리콘 산화막(k는 약 4) 또는 실리콘 산질화막 (산소 원자 및 질소 원자 함량에 따라 k는 약 4~8)을 포함할 수 있다. 또는, 제1 및 제2 인터페이스막(141, 241) 각각은 실리케이트로 이루어질 수도 있으며, 앞서 예시된 막들의 조합으로 이루어질 수도 있다.The first and second interface films 141 and 241 may prevent a poor interface between the substrate 101 and the first and second dielectric layers 143 and 243. The first and second interface films 141 and 241 may be formed of a low dielectric material layer having a dielectric constant k of 9 or less such as a silicon oxide film (k is about 4) or a silicon oxynitride film (k About 4 to 8). Alternatively, each of the first and second interface films 141 and 241 may be made of a silicate or a combination of the films exemplified above.

제1 및 제2 유전막(143, 243)은 고유전율을 갖는 물질로 이루어질 수 있다. 본 발명의 몇몇 실시예에서, 이러한 제1 및 제2 유전막(143, 243)은 예를 들어, HfO2, Al2O3, ZrO2, TaO2 등의 물질로 이루어질 수 있으나, 본 발명이 이에 제한되는 것은 아니다The first and second dielectric layers 143 and 243 may be made of a material having a high dielectric constant. In some embodiments of the present invention, the first and second dielectric layers 143 and 243 may be made of a material such as, for example, HfO 2, Al 2 O 3, ZrO 2, or TaO 2, but the present invention is not limited thereto

다시 도 1을 참조하면, 제1 및 제2 유전막(143, 243) 각각은 제1 및 제2 스페이서(121, 221)의 측벽을 따라 제1 방향(예를 들어, 도 1의 상하 방향)으로 연장된 형상으로 배치될 수 있다. 본 실시예에서, 제1 및 제2 유전막(143, 243)의 형상이 이러한 것은, 제1 및 제2 유전막(143, 243)이 리플레이스먼트 공정(replacement process)(또는 게이트 라스트 공정(gate last process))을 통해서 형성된 것이기 때문일 수 있다. Referring again to FIG. 1, each of the first and second dielectric layers 143 and 243 is formed in a first direction (for example, the up-down direction in FIG. 1) along the sidewalls of the first and second spacers 121 and 221 And can be arranged in an elongated shape. In this embodiment, the first and second dielectric layers 143 and 243 have the same shape as the first and second dielectric layers 143 and 243 in the replacement process (or gate last process) process).

그러나, 본 발명이 이에 제한되는 것은 아니며, 제1 및 제2 유전막(143, 243)의 형상은 얼마든지 다른 형태로 변형될 수 있다. 즉, 본 발명의 다른 몇몇 실시예에서, 제1 및 제2 유전막(143, 243)의 형상은 게이트 퍼스트 공정(gate first process)을 사용함으로써, 도 1에 도시된 것과 달리 제1 및 제2 스페이서(121, 221)의 측벽을 따라 상부로 연장되지 않을 수 있다.However, the present invention is not limited thereto, and the shapes of the first and second dielectric layers 143 and 243 can be modified into any other form. That is, in some other embodiments of the present invention, the shapes of the first and second dielectric layers 143 and 243 may be different from those shown in FIG. 1 by using a gate first process, May not extend upwardly along the sidewalls of the first and second portions 121 and 221.

다시 도 1을 참조하면, 기판(101)의 제1 및 제2 영역(I, Ⅱ) 각각의 제1 및 제2 유전막(143, 243) 상에 제1 및 제2 게이트 금속(170, 270)이 형성될 수 있다. Referring again to FIG. 1, first and second gate metals 170 and 270 are formed on first and second dielectric layers 143 and 243 of first and second regions I and II of the substrate 101, respectively. Can be formed.

구체적으로, 제1 게이트 금속(150)은 제1 유전막(143) 상에 순차적으로 형성된 제1 TiAlC막(151), 제1 배리어막(153) 및 제1 금속층(155)을 포함할 수 있으며, 제2 게이트 금속(250)은 제2 유전막(243) 상에 순차적으로 형성된 제2 산화 란탄막(245), 제2 TiAlC막(251), 제2 배리어막(253) 및 제2 금속층(255)을 포함할 수 있다.Specifically, the first gate metal 150 may include a first TiAlC film 151, a first barrier film 153, and a first metal layer 155 sequentially formed on the first dielectric layer 143, The second gate metal layer 250 is formed on the second dielectric layer 243 by sequentially forming a second lanthanum oxide layer 245, a second TiAlC layer 251, a second barrier layer 253, and a second metal layer 255, . ≪ / RTI >

제1 및 제2 TiAlC막(151, 251)은 TiAlC을 포함할 수 있다. 제1 및 제2 배리어막(153, 253)은 예를 들어, TiN을 포함할 수 있으며, 제1 및 제2 금속층(155, 255)이 포함하는 물질이 제1 및 제2 TiAlC막(151, 251)으로 확산하는 것을 방지할 수 있다. 제1 및 제2 금속층(155, 255)은 Al, W 등을 포함할 수 있으며, 제1 및 제2 영역(I, Ⅱ) 내의 제1 및 제2 트랜치(135, 235)의 나머지 부분을 채울 수 있다.The first and second TiAlC films 151 and 251 may include TiAlC. The first and second barrier layers 153 and 253 may comprise TiN, for example, and the materials that the first and second metal layers 155 and 255 may comprise may include first and second TiAlC layers 151 and 152, 251 from being diffused. The first and second metal layers 155 and 255 may include Al, W and the like and fill the remaining portions of the first and second trenches 135 and 235 in the first and second regions I and II .

한편, 제2 영역(Ⅱ)은 제1 영역(Ⅰ)과 달리, 제2 산화 란탄막(245)를 포함할 수 있다. 제2 산화 란탄막(245)는 예를 들어, LaO를 포함할 수 있다. 이를 통해, 제1 영역(Ⅰ)에 형성된 제1 트랜지스터(TR1)과 제2 영역(Ⅱ)에 형성된 제2 트랜지스터(TR2)는 서로 다른 문턱 전압을 가질 수 있다. 보다 구체적으로는, 제2 산화 란탄막(245)을 포함하는 제2 트랜지스터(TR2)의 문턱 전압(Vt2)이, 제1 트랜지스터(TR1)의 문턱 전압(Vt1)보다 작을 수 있다. 다만, 이에 제한되는 것은 아니다.On the other hand, the second region (II) may include a second lanthanum oxide film (245) unlike the first region (I). The second lanthanum oxide film 245 may comprise, for example, LaO. Accordingly, the first transistor TR1 formed in the first region I and the second transistor TR2 formed in the second region II may have different threshold voltages. More specifically, the threshold voltage Vt2 of the second transistor TR2 including the second lanthanum oxide film 245 may be smaller than the threshold voltage Vt1 of the first transistor TR1. However, the present invention is not limited thereto.

본 발명에 따른 제1 및 제2 게이트 스택(170, 270)은 일함수 조절 물질로 질화 탄탈륨(TaN)을 미포함한다. 따라서, 제1 및 제2 트랜지스터(TR1, TR2)의 문턱 전압(Vt1, Vt2) 각각은 제2 산화 란탄막(245)의 유무를 통해 제어될 수 있다.The first and second gate stacks 170 and 270 according to the present invention include tantalum nitride (TaN) as a work function control material. Therefore, the threshold voltages Vt1 and Vt2 of the first and second transistors TR1 and TR2 can be controlled through the presence or absence of the second lanthanum oxide film 245, respectively.

이어서, 도 2를 참조하여, 본 발명의 몇몇 실시예에 따른 반도체 장치를 설명한다.Next, a semiconductor device according to some embodiments of the present invention will be described with reference to FIG.

도 2는 본 발명의 몇몇 실시예에 따른 반도체 장치를 설명하기 위한 단면도이다.2 is a cross-sectional view illustrating a semiconductor device according to some embodiments of the present invention.

본 실시예에 따른 반도체 장치는 게이트 스택 상에 캡핑막을 포함하는 것을 제외하고, 도 1을 통해 설명한 반도체 장치와 실질적으로 동일하다. 따라서, 동일한 참조번호는 동일한 구성요소를 지칭하며, 반복되는 설명은 생략할 수 있다.The semiconductor device according to this embodiment is substantially the same as the semiconductor device described with reference to Fig. 1 except that it includes a capping film on the gate stack. Accordingly, like reference numerals refer to like elements, and repeated descriptions may be omitted.

도 2를 참조하면, 본 실시예에 따른 반도체 장치는 제1 및 제2 영역(Ⅰ, Ⅱ)을 포함한다. 기판(101)의 제1 및 제2 영역(I, Ⅱ) 영역에는, 각각 제1 및 제2 트랜지스터(TR1, TR2)가 형성될 수 있다. Referring to FIG. 2, the semiconductor device according to the present embodiment includes first and second regions I and II. The first and second transistors TR1 and TR2 may be formed in the first and second regions I and II of the substrate 101, respectively.

제1 및 제2 트랜지스터(TR1, TR2)는 각각 제1 및 제2 소오스-드레인 영역(123, 223), 제1 및 제2 스페이서(121, 221), 제1 및 제2 인터페이스막(141, 241), 제1 및 제2 유전막(143, 243) 및 제1 및 제2 게이트 스택(170, 270)을 포함할 수 있다. The first and second transistors TR1 and TR2 are connected to the first and second source and drain regions 123 and 223 and the first and second spacers 121 and 221 and the first and second interface films 141 and 142, 241, first and second dielectric layers 143, 243, and first and second gate stacks 170, 270.

또한, 제1 및 제2 게이트 스택(170, 270) 상에 각각 제1 및 제2 캡핑막(180, 280)을 배치할 수 있다. 구체적으로, 제1 및 제2 캡핑막(180, 280)은 각각 제1 및 제2 게이트 스택(170, 270) 상에 형성되고, 제1 및 제2 트렌치(135, 235)를 덮을 수 있다. 제1 및 제2 캡핑막(180, 280)은 질화물(예를 들어, SiN, SiON, SiCON 중 적어도 하나) 또는 산화물을 포함할 수 있다. 제1 및 제2 캡핑막(180, 280)은 제1 및 제2 게이트 스택(170, 270)을 외부와 차단하여 제1 및 제2 게이트 스택(170, 270)의 성능 변화를 방지할 수 있다. 예를 들어, 제1 및 제2 게이트 스택(170, 270) 내로 산소 원자가 침투할 수 있으며, 이 경우 제1 및 제2 게이트 스택(170, 270)의 문턱(threshold) 전압은 바뀔 수 있다. 따라서, 제1 및 제2 게이트 스택(170, 270)의 문턱 전압을 일정하게 유지하기 위해 제1 및 제2 캡핑막(180, 280)을 형성할 수 있다.Also, the first and second capping films 180 and 280 may be disposed on the first and second gate stacks 170 and 270, respectively. Specifically, the first and second capping films 180 and 280 may be formed on the first and second gate stacks 170 and 270, respectively, and may cover the first and second trenches 135 and 235. The first and second capping films 180 and 280 may comprise a nitride (e.g., at least one of SiN, SiON, SiCON) or an oxide. The first and second capping films 180 and 280 may block the first and second gate stacks 170 and 270 from being exposed to the outside to prevent a change in performance of the first and second gate stacks 170 and 270 . For example, oxygen atoms may penetrate into the first and second gate stacks 170 and 270, where the threshold voltage of the first and second gate stacks 170 and 270 may be varied. Accordingly, the first and second capping films 180 and 280 may be formed to keep the threshold voltages of the first and second gate stacks 170 and 270 constant.

이어서, 도 3 내지 도 20을 참조하여, 본 발명의 몇몇 실시예에 따른 반도체 장치의 제조 방법을 설명한다. Next, with reference to FIGS. 3 to 20, a method of manufacturing a semiconductor device according to some embodiments of the present invention will be described.

도 3 내지 도 20은 본 발명의 몇몇 실시예에 따른 반도체 장치의 제조 방법을 설명하기 위한 사시도 및 단면도들이다. 도 3 내지 도 6, 및 도 18은 사시도이고, 도 7 내지 도 17, 도 19 및 도 20은 단면도이다.3 to 20 are a perspective view and a cross-sectional view for explaining a method of manufacturing a semiconductor device according to some embodiments of the present invention. FIGS. 3 to 6 and 18 are perspective views, and FIGS. 7 to 17, 19 and 20 are sectional views.

도 3을 참조하면, 기판(101) 상에 각각 제1 핀(F1)과 제2 핀(F2)을 형성한다. 기판(101)에는 제1 영역(Ⅰ)과 제2 영역(Ⅱ)이 정의될 수 있다. 제1 영역(Ⅰ)과 제2 영역(Ⅱ)은 서로 붙어있을 수도, 떨어져 있을 수도 있다.Referring to FIG. 3, a first fin F1 and a second fin F2 are formed on a substrate 101, respectively. The first region I and the second region II may be defined on the substrate 101. [ The first region (I) and the second region (II) may be attached to each other or may be separated from each other.

제1 핀(F1)은 제1 영역(Ⅰ)에 형성되고, 제2 핀(F2)은 제2 영역(Ⅱ)에 형성될 수 있다. 제1 및 제2 핀(F1, F2)은 제3 방향(Z1)으로 돌출될 수 있다. 제1 및 제2 핀(F1, F2)은 길이 방향인 제2 방향(Y1)을 따라 길게 연장될 수 있으며, 제2 방향(Y1)의 장변과 제1 방향(X1)의 단변을 가질 수 있다. 그러나, 본 발명이 이에 제한되는 것은 아니며, 예를 들어, 장변 방향이 제1 방향(X1)이고 단변 방향이 제2 방향(Y1)일 수 있다.The first pin F1 may be formed in the first region I and the second pin F2 may be formed in the second region II. The first and second pins F1 and F2 may protrude in the third direction Z1. The first and second pins F1 and F2 may extend along the second longitudinal direction Y1 and may have a long side of the second direction Y1 and a short side of the first direction X1 . However, the present invention is not limited thereto. For example, the long side direction may be the first direction X1 and the short side direction may be the second direction Y1.

제1 및 제2 핀(F1, F2)은 기판(101)의 일부일 수도 있고, 기판(101)으로부터 성장된 에피층(epitaxial layer)을 포함할 수 있다. 예를 들어, Si 또는 SiGe 등을 포함할 수 있다.The first and second pins F1 and F2 may be part of the substrate 101 and may include an epitaxial layer grown from the substrate 101. [ For example, Si or SiGe.

도 4를 참조하면, 기판(101) 상에 제1 및 제2 핀(F1, F2)의 측벽을 덮도록 필드 절연막(110)을 형성한다. 필드 절연막(110)은 실리콘 산화막, 실리콘 질화막, 실리콘 산질화막 중 적어도 하나를 포함하는 물질로 형성될 수 있다.Referring to FIG. 4, a field insulating layer 110 is formed on the substrate 101 so as to cover sidewalls of the first and second fins F1 and F2. The field insulating film 110 may be formed of a material including at least one of a silicon oxide film, a silicon nitride film, and a silicon oxynitride film.

도 5를 참조하면, 필드 절연막(110)의 상부를 리세스하여 제1 및 제2 핀(F1, F2)의 상부를 노출시킨다. 리세스 공정은 선택적 식각 공정을 포함할 수 있다.Referring to FIG. 5, the upper portion of the field insulating film 110 is recessed to expose the upper portions of the first and second pins F1 and F2. The recess process may include an optional etch process.

한편, 필드 절연막(110) 위로 돌출된 제1 및 제2 핀(F1, F2)의 일부는, 에피택셜 공정에 의하여 형성될 수도 있다. 예를 들어, 필드 절연막(110) 형성 후, 리세스 공정없이 필드 절연막(110)에 의하여 노출된 제1 및 제2 핀(F1, F2)의 상면을 씨드로 하는 에피택셜 공정에 의하여 제1 및 제2 핀(F1, F2)의 일부가 형성될 수 있다.On the other hand, a part of the first and second pins F1 and F2 protruding above the field insulating film 110 may be formed by an epitaxial process. For example, after the formation of the field insulating film 110, the first and second fins F1 and F2 exposed by the field insulating film 110 without a recess process are epitaxially grown on the upper surfaces of the first and second fins F1 and F2. A part of the second pins F1 and F2 may be formed.

또한, 노출된 제1 및 제2 핀(F1, F2)에 문턱 전압 조절용 도핑이 수행될 수 있다. 예를 들어, 제1 영역(Ⅰ)의 제1 핀(F1)에는 불순물로 붕소(B)를 이용하여 도핑할 수 있고, 제2 영역(Ⅱ)의 제2 핀(F2)에는 불순물로 인(P) 또는 비소(As)를 이용하여 도핑할 수 있다. 다만, 이에 제한되는 것은 아니며, 제1 및 제2 핀(F1, F2)에는 동일한 종류의 불순물이 도핑될 수 있다.Also, doping for threshold voltage adjustment can be performed on the exposed first and second fins F1 and F2. For example, the first fin F1 of the first region I may be doped with boron (B) as an impurity, and the second fin F2 of the second region II may be doped with impurities P) or arsenic (As). However, the present invention is not limited thereto, and the first and second fins F1 and F2 may be doped with the same kind of impurity.

이어서, 제1 및 제2 핀(F1, F2) 상에 제1 및 제2 핀(F1, F2)을 교차하는 제1 및 제2 더미 게이트 구조체(111, 211)를 각각 형성한다. 도 5에서는 제1 및 제2 더미 게이트 구조체(111, 211)가 직각으로 즉, 제1 방향(X1)으로 제1 및 제2 핀(F1, F2)을 교차하는 것으로 도시되어 있지만 본 발명이 이에 제한되는 것은 아니며, 제1 및 제2 더미 게이트 구조체(111, 211)는 제1 방향(X1)과 예각 및/또는 둔각을 이루면서 제1 및 제2 핀(F1, F2)과 각각 교차할 수 있다. Next, first and second dummy gate structures 111 and 211 are formed on the first and second fins F1 and F2, respectively, which intersect the first and second fins F1 and F2. Although the first and second dummy gate structures 111 and 211 are shown as crossing the first and second pins F1 and F2 at right angles in the first direction X1 in FIG. 5, And the first and second dummy gate structures 111 and 211 may intersect the first and second pins F1 and F2 at an acute angle and / or an obtuse angle with the first direction X1, respectively .

제1 및 제2 더미 게이트 구조체(111, 211)는 각각 더미 게이트 절연막(113, 213)과 더미 게이트 전극(115, 215)을 포함할 수 있다. 더미 게이트 절연막(113, 213)과 더미 게이트 전극(115, 215)은 순차적으로 적층될 수 있다.The first and second dummy gate structures 111 and 211 may include dummy gate insulating films 113 and 213 and dummy gate electrodes 115 and 215, respectively. The dummy gate insulating films 113 and 213 and the dummy gate electrodes 115 and 215 may be sequentially stacked.

더미 게이트 절연막(113, 213)은 필드 절연막(110)에 의해 덮이지 않고 노출된 제1 및 제2 핀(F1, F2)의 측벽의 상부와 상면에 컨포말하게 형성될 수 있다. 또한, 더미 게이트 절연막(113, 213)은 더미 게이트 전극(115, 215)과 필드 절연막(110) 사이에 배치될 수 있다.The dummy gate insulating films 113 and 213 may be conformally formed on the upper and upper surfaces of the sidewalls of the exposed first and second fins F1 and F2 without being covered by the field insulating film 110. [ The dummy gate insulating films 113 and 213 may be disposed between the dummy gate electrodes 115 and 215 and the field insulating film 110.

더미 게이트 전극(115, 215)은 더미 게이트 절연막(113, 213) 상에 형성될 수 있다.The dummy gate electrodes 115 and 215 may be formed on the dummy gate insulating films 113 and 213.

예를 들어, 더미 게이트 전극(115, 215)은 실리콘 산화물을 포함할 수 있고, 더미 게이트 절연막(113, 213)은 폴리 실리콘을 포함할 수 있다.For example, the dummy gate electrodes 115 and 215 may include silicon oxide, and the dummy gate insulating films 113 and 213 may include polysilicon.

더미 하드 마스크막(117, 217) 각각은 제1 및 제2 더미 게이트 구조체(111, 211) 상에 형성될 수 있다. 더미 하드 마스크막(117, 217)은 실리콘 산화물, 실리콘 질화물, 실리콘 산질화물 중 적어도 하나를 포함할 수 있다.Each of the dummy hard mask films 117 and 217 may be formed on the first and second dummy gate structures 111 and 211. The dummy hard mask films 117 and 217 may include at least one of silicon oxide, silicon nitride, and silicon oxynitride.

도 6과 도 6의 A-A와 B-B를 전달한 단면도인 도 7을 참조하면, 제1 및 제2 더미 게이트 구조체(111, 211)의 양 측벽에 스페이서(121, 221)를 형성한다. 도 7은 도 6의 A-A와 B-B를 전달한 단면도이다.Referring to FIG. 7, which is a cross-sectional view illustrating A-A and B-B in FIG. 6 and FIG. 6, spacers 121 and 221 are formed on both side walls of the first and second dummy gate structures 111 and 211. 7 is a cross-sectional view showing A-A and B-B in Fig.

스페이서(121, 221)는 하드 마스크막(117, 217)의 상면을 노출할 수 있다. 스페이서(121, 221)는 실리콘 질화물 또는 실리콘 산질화물일 수 있다.The spacers 121 and 221 may expose the upper surfaces of the hard mask films 117 and 217. Spacers 121 and 221 may be silicon nitride or silicon oxynitride.

이어서, 제1 및 제2 더미 게이트 구조체(111, 211)가 덮지 않고 노출된 제1 및 제2 핀(F1, F2)을 식각한다. 스페이서(121, 221)와 제1 및 제2 더미 게이트 구조체(111, 211)를 식각 마스크로 이용하여, 제1 및 제2 핀(F1, F2)을 식각할 수 있다.Then, the first and second fins F1 and F2 are etched without exposing the first and second dummy gate structures 111 and 211, respectively. The first and second fins F1 and F2 can be etched using the spacers 121 and 221 and the first and second dummy gate structures 111 and 211 as an etch mask.

이어서, 제1 및 제2 핀(F1, F2)의 식각된 부분에 제1 및 제2 소오스/드레인 영역(123, 223)을 형성한다. 제1 핀(F1) 내에 제1 소오스/드레인 영역(123)을, 제2 핀(F2) 내에 제2 소오스/드레인 영역(223)을 형성할 수 있다. 제1 및 제2 소오스/드레인 영역(123, 223)은 상승된(elevated) 소오스/드레인 영역일 수 있다. 따라서, 도 20과 같이 제1 및 제2 소오스/드레인 영역(123, 223)의 상면은 제1 및 제2 핀(F1, F2)의 상면보다 높을 수 있다.Then, first and second source / drain regions 123 and 223 are formed in the etched portions of the first and second fins F1 and F2. The first source / drain region 123 can be formed in the first fin F1 and the second source / drain region 223 can be formed in the second fin F2. The first and second source / drain regions 123 and 223 may be elevated source / drain regions. Therefore, as shown in FIG. 20, the upper surfaces of the first and second source / drain regions 123 and 223 may be higher than the upper surfaces of the first and second pins F1 and F2.

제1 소오스/드레인 영역(123) 및/또는 제2 소오스/드레인 영역(223)은 인장 스트레스 물질을 포함할 수 있다. 제1 소오스/드레인 영역(123) 및/또는 제2 소오스/드레인 영역(223)은 기판(101)과 동일 물질 또는, 인장 스트레스 물질일 수 있다. 예를 들어, 기판(101)이 Si일 때, 제1 소오스/드레인 영역(123) 및/또는 제2 소오스/드레인 영역(223)은 Si이거나, Si보다 격자 상수가 작은 물질(예를 들어, SiC, SiP)일 수 있다.The first source / drain region 123 and / or the second source / drain region 223 may comprise a tensile stress material. The first source / drain region 123 and / or the second source / drain region 223 may be the same material as the substrate 101 or a tensile stress material. For example, when the substrate 101 is Si, the first source / drain region 123 and / or the second source / drain region 223 may be Si or a material having a smaller lattice constant than Si (e.g., SiC, SiP).

다만, 이에 제한되는 것은 아니며 제1 소오스/드레인 영역(123) 및/또는 제2 소오스/드레인 영역(223)은 압축 스트레스 물질을 포함할 수 있다. 예를 들어, 상기 압축 스트레스 물질은 Si에 비해서 격자상수가 큰 물질일 수 있고, 예를 들어 SiGe일 수 있다. 제1 및 제2 소오스/드레인 영역(123, 223)은 에피택셜 성장시켜 형성할 수 있다.However, the first source / drain region 123 and / or the second source / drain region 223 may include a compressive stress material. For example, the compressive stress material may be a material having a larger lattice constant than Si, and may be, for example, SiGe. The first and second source / drain regions 123 and 223 can be formed by epitaxial growth.

한편, 도 6에서는 제1 및 제2 소오스/드레인 영역(123, 223)이 오각형인 것으로 도시하였으나, 본 발명이 이에 제한되는 것은 아니며, 예를 들어 제1 및 제2 소오스/드레인 영역(123, 223)은 사각형, 원형, 육각형 등의 형상을 가질 수 있다.6, the first and second source / drain regions 123 and 223 are pentagonal. However, the present invention is not limited thereto. For example, the first and second source / 223 may have a shape such as a rectangle, a circle, or a hexagon.

도 8을 참조하면, 제1 및 제2 소오스/드레인 영역(123, 223)을 덮는 층간 절연막(130)을 형성한다. 층간 절연막(130)은 스페이서(121, 221)의 측벽을 덮을 수 있으며, 하드 마스크막(117, 217)의 상면은 노출시킨다. 층간 절연막(130)은 예를 들어, 실리콘 산화물을 포함할 수 있다.Referring to FIG. 8, an interlayer insulating film 130 is formed to cover the first and second source / drain regions 123 and 223. The interlayer insulating film 130 may cover the sidewalls of the spacers 121 and 221 and expose the upper surfaces of the hard mask films 117 and 217. The interlayer insulating film 130 may include, for example, silicon oxide.

도 9를 참조하면, 제1 및 제2 핀(F1, F2)의 상부를 노출시키는 제1 및 제2 트렌치(135, 235)를 형성한다. 먼저, 하드 마스크막(117, 217)을 제거한다. 하드 마스크막(117, 217)은 평탄화 공정 등을 통해 제거될 수 있으며, 평탄화 공정을 수행하면, 층간 절연막(130)도 일부 식각될 수 있다.Referring to FIG. 9, first and second trenches 135 and 235 are formed to expose the tops of the first and second pins F1 and F2. First, the hard mask films 117 and 217 are removed. The hard mask films 117 and 217 can be removed through a planarization process or the like, and if the planarization process is performed, the interlayer insulating film 130 can also be partially etched.

이어서, 제1 및 제2 더미 게이트 구조체(111, 211)를 제거한다. 더미 게이트 전극(115, 215)과 더미 게이트 절연막(113, 213)을 제거하여 제1 및 제2 핀(F1, F2)을 노출한다. 제1 트렌치(135)는 제1 더미 게이트 구조체(111)가 있던 자리에, 제2 트렌치(235)는 제2 더미 게이트 구조체(211)가 있던 자리에 형성된다. 제1 및 제2 트렌치(135, 235)에 의하여 스페이서(121, 221)의 측벽이 노출될 수 있다.Then, the first and second dummy gate structures 111 and 211 are removed. The dummy gate electrodes 115 and 215 and the dummy gate insulating films 113 and 213 are removed to expose the first and second pins F1 and F2. The first trench 135 is formed at a position where the first dummy gate structure 111 was present and the second trench 235 is formed at a place where the second dummy gate structure 211 was located. The sidewalls of the spacers 121 and 221 can be exposed by the first and second trenches 135 and 235.

도 10을 참조하면, 제1 및 제2 트렌치(135, 235) 내에 제1 및 제2 인터페이스막(141, 241)을 형성한다. 제1 및 제2 인터페이스막(141, 241)은 제1 및 제2 핀(F1, F2)의 상면과 측벽의 상부를 따라 형성될 수 있다.Referring to FIG. 10, first and second interface films 141 and 241 are formed in first and second trenches 135 and 235, respectively. The first and second interface films 141 and 241 may be formed along the upper surface of the first and second pins F1 and F2 and the upper surface of the sidewall.

제1 및 제2 인터페이스막(141, 241)은 제1 및 제2 트렌치(135, 235) 내의 노출된 제1 및 제2 핀(F1, F2)을 산화시켜서 형성할 수 있으나 이에 한정되는 것은 아니다. 제1 및 제2 인터페이스막(141, 241)은 각각 제1 및 제2 트렌치(135, 235)의 바닥면을 따라서 형성될 수 있다. The first and second interface films 141 and 241 may be formed by oxidizing the exposed first and second fins F1 and F2 in the first and second trenches 135 and 235 . The first and second interface films 141 and 241 may be formed along the bottom surfaces of the first and second trenches 135 and 235, respectively.

이어서, 제1 트렌치(135) 내에 제1 유전막(143a)을, 제2 트렌치(235) 내에 제2 유전막(243a)을 형성한다. 구체적으로, 제1 유전막(143a)은 제1 트렌치(135)의 측벽과 하면을 따라 컨포말하게 형성될 수 있고, 필드 절연막(110), 제1 핀(F1)의 측벽 상부와 상면을 따라 컨포말하게 형성될 수 있다. 제2 유전막(243a)은 제2 트렌치(235)의 측벽과 바닥면을 따라 컨포말하게 형성될 수 있고, 필드 절연막(210), 제2 핀(F2)의 측벽 상부와 상면을 따라 컨포말하게 형성될 수 있다. 또한, 제1 및 제2 유전막(143a, 243a)은 층간 절연막(130) 상에도 형성될 수 있다.A first dielectric layer 143a is formed in the first trench 135 and a second dielectric layer 243a is formed in the second trench 235. Next, Specifically, the first dielectric layer 143a may be conformally formed along the sidewalls and the bottom surface of the first trench 135, and may include a field insulating layer 110, It can be formed into a foam. The second dielectric layer 243a may be conformally formed along the sidewalls and the bottom surface of the second trench 235 and may conform to the top surface and top surface of the sidewall of the field insulating layer 210, . Also, the first and second dielectric layers 143a and 243a may be formed on the dielectric interlayer 130 as well.

제1 및 제2 유전막(143a, 243a)은 실리콘 산화막보다 높은 유전 상수를 갖는 고유전체 물질을 포함할 수 있다. 예를 들어, 제1 및 제2 유전막(143a, 243a)은, HfSiON, HfO2, ZrO2, Ta2O5, TiO2, SrTiO3 또는 (Ba,Sr)TiO3 등을 포함하는 그룹에서 선택된 물질을 포함할 수 있다. 이러한 제1 및 제2 유전막(143a, 243a)은 형성하고자 하는 소자의 종류에 따라 적절한 두께로 형성될 수 있다.The first and second dielectric layers 143a and 243a may include a dielectric material having a higher dielectric constant than the silicon oxide layer. For example, the first and the second dielectric layer (143a, 243a) is, HfSiON, HfO 2, ZrO 2, Ta 2 O 5, TiO 2, SrTiO 3, or selected from the group consisting of such as (Ba, Sr) TiO 3 ≪ / RTI > The first and second dielectric layers 143a and 243a may be formed to have an appropriate thickness depending on the type of device to be formed.

도 11를 참조하면, 제1 트렌치(135) 내에 제1 산화 란탄막(145a)을 형성하고, 제2 트렌치(235) 내에 제2 산화 란탄막(245a)을 형성한다. 제1 및 제2 산화 란탄막(145a, 245a)은 각각 제1 및 제2 트렌치(145, 245) 내의 측벽과 바닥면을 따라 컨포말하게 형성될 수 있다. 또한, 제1 및 제2 핀(F1, F2)의 측벽 상부와 상면을 따라 형성될 수 있다. 제1 및 제2 산화 란탄막(145a, 245a)은 예를 들어, LaO을 포함할 수 있으나 이에 제한되는 것은 아니다.Referring to FIG. 11, a first lanthanum oxide film 145a is formed in the first trench 135 and a second lanthanum oxide film 245a is formed in the second trench 235. The first and second lanthanum oxide films 145a and 245a may be conformally formed along the sidewalls and the bottom surface in the first and second trenches 145 and 245, respectively. Further, it may be formed along the upper and upper surfaces of the side walls of the first and second pins F1 and F2. The first and second lanthanum oxide films 145a and 245a may include, but are not limited to, LaO.

도 12를 참조하면, 제1 마스크 패턴(1001)을 통해, 제1 영역(Ⅰ)의 제1 산화 란탄막(145a)을 제거한다. 구체적으로, 제1 및 제2 영역(Ⅰ, Ⅱ)을 덮는 마스크층을 형성하고, 상기 마스크층을 패터닝하여 제1 마스크 패턴(1001)을 형성할 수 있다. 이어서, 제1 마스크 패턴(1001)을 식각 마스크로 이용하여 제1 영역(Ⅰ)의 제1 산화 란탄막(145a)을 식각 공정을 통해 제거할 수 있다. 따라서, 제1 유전막(143a)이 노출될 수 있다.Referring to FIG. 12, the first lanthanum oxide film 145a of the first region I is removed through the first mask pattern 1001. Specifically, a first mask pattern 1001 can be formed by forming a mask layer covering the first and second regions I and II, and patterning the mask layer. Then, the first lanthanum oxide film 145a of the first region I may be removed by an etching process using the first mask pattern 1001 as an etching mask. Accordingly, the first dielectric layer 143a can be exposed.

도 13을 참조하면, 제1 및 제2 확산막(147, 247)과 제1 및 제2 차단막(149, 249)을 순차적으로 형성한다. 제1 트렌치(135) 내에 제1 확산막(147)을 형성하고, 제2 트렌치(235) 내에 제2 확산막(247)을 형성한다. 제1 및 제2 확산막(147, 247)은 각각 제1 및 제2 트렌치(135, 235) 내의 측벽과 바닥면을 따라 컨포말하게 형성될 수 있다. 또한, 제1 및 제2 핀(F1, F2)의 측벽 상부와 상면을 따라 형성될 수 있다. 제1 및 제2 확산막(147, 247)은 예를 들어, TiN을 포함할 수 있으나 이에 제한되는 것은 아니다.Referring to FIG. 13, the first and second diffusion layers 147 and 247 and the first and second isolation layers 149 and 249 are sequentially formed. A first diffusion film 147 is formed in the first trench 135 and a second diffusion film 247 is formed in the second trench 235. The first and second diffusion films 147 and 247 may be conformally formed along the sidewalls and bottom surfaces of the first and second trenches 135 and 235, respectively. Further, it may be formed along the upper and upper surfaces of the side walls of the first and second pins F1 and F2. The first and second diffusion films 147 and 247 may include, but are not limited to, TiN.

이어서, 제1 및 제2 확산막(147, 247) 상에 제1 및 제2 차단막(149, 249)을 형성한다. 제1 및 제2 차단막(149, 249)은 각각 제1 및 제2 트렌치(135, 235)를 채울 수 있으며, 제1 및 제2 확산막(147, 247)이 외부로 노출되지 않도록 덮을 수 있다. 제1 및 제2 차단막(149, 249)은 예를 들어, Si를 포함할 수 있다.Then, first and second blocking films 149 and 249 are formed on the first and second diffusion films 147 and 247, respectively. The first and second blocking films 149 and 249 may fill the first and second trenches 135 and 235 and may cover the first and second diffusion films 147 and 247 so as not to be exposed to the outside . The first and second blocking films 149 and 249 may comprise, for example, Si.

이어서, 어닐링(150)을 수행한다. 제1 및 제2 유전막(143a, 243a)은 산소 원자를 포함하고 있다. 산소 원자는 제1 및 제2 유전막(143a, 243a) 내의 다른 물질(예를 들어, Hf, Zr, Ta, Ti 등)과 결합되어 있는데, 일부는 결합이 깨져 있을 수 있다. 결합이 깨져 있으면, 누설 전류 등이 발생하여 트랜지스터의 성능이 열화될 수 있다. 이러한 문제를 방지하기 위하여, 결합이 깨져있는 부분에 산소 원자를 결합시키기 위하여 어닐링(150)을 수행한다. 어닐링(150)을 수행하면, 제1 확산막(147)에 포함되어 있는 산소 원자가 제1 유전막(143a)에 제공될 수 있다. 또한, 제2 확산막(247) 및/또는 제2 산화 란탄막(245a)에 포함되어 있는 산소 원자가 제2 유전막(243a)에 제공될 수 있다.Annealing 150 is then performed. The first and second dielectric layers 143a and 243a contain oxygen atoms. The oxygen atoms are bonded to other materials (for example, Hf, Zr, Ta, Ti, etc.) in the first and second dielectric layers 143a and 243a. If the coupling is broken, a leak current or the like may be generated and the performance of the transistor may deteriorate. To prevent this problem, annealing 150 is performed to bond the oxygen atoms to the broken part of the bond. When the annealing 150 is performed, oxygen atoms contained in the first diffusion layer 147 can be provided to the first dielectric layer 143a. In addition, the oxygen atoms contained in the second diffusion film 247 and / or the second lanthanum film 245a may be provided to the second dielectric film 243a.

한편, 어닐링(150)을 수행할 때 제1 및 제2 확산막(147, 247)이 노출되어 있으면, 어닐링(150) 시 외부의 산소 원자가 제1 및 제2 확산막(147, 247)에 침투하여 제1 및 제2 확산막(147, 247) 하부로 이동하는 산소 원자 수가 증가한다. 제1 및 제2 유전막(143a, 243a)이 필요로 하는 산소 원자 수를 초과하여 산소 원자가 공급되면, 초과 분의 산소 원자가 제1 및 제2 트렌치(135, 235) 내의 제1 및 제2 핀(F1, F2)과 반응할 수 있다. 이에 따라 제1 및 제2 인터페이스막(141, 241)의 두께가 두꺼워지며 트랜지스터의 성능이 열화될 수 있다. 따라서, 제1 및 제2 확산막(147, 247) 상에 제1 및 제2 차단막(149, 249)을 형성하여 어닐링(150) 시 제1 및 제2 확산막(147, 247)을 외부와 차단함으로써 산소 원자의 공급량을 적절하게 조절할 수 있다.On the other hand, if the first and second diffusion films 147 and 247 are exposed during the annealing 150, the oxygen atoms outside the first and second diffusion films 147 and 247 penetrate into the first and second diffusion films 147 and 247 during the annealing 150 The number of oxygen atoms moving to the lower portion of the first and second diffusion films 147 and 247 increases. When oxygen atoms are supplied in excess of the required number of oxygen atoms of the first and second dielectric layers 143a and 243a, excess oxygen atoms are supplied to the first and second pins 135 and 235 in the first and second trenches 135 and 235 F1, < / RTI > F2). As a result, the thickness of the first and second interface films 141 and 241 becomes thick, and the performance of the transistor may deteriorate. The first and second barrier films 149 and 249 are formed on the first and second diffusion films 147 and 247 so that the first and second diffusion films 147 and 247 are exposed to the outside The supply amount of oxygen atoms can be appropriately adjusted by blocking.

어닐링(150)은 500℃ 내지 1500℃ 온도에서 수행될 수 있다.The annealing 150 may be performed at a temperature of 500 ° C to 1500 ° C.

제1 및 제2 확산막(147, 247)의 두께는 공급하고자 하는 산소 원자의 개수에 따라 달라질 수 있다.The thickness of the first and second diffusion layers 147 and 247 may vary depending on the number of oxygen atoms to be supplied.

도 14를 참조하면, 순차적으로 제1 및 제2 차단막(149, 249)과 제1 및 제2 확산막(147, 247)을 제거한다. 이에 따라 제1 유전막(143a)과 제2 산화 란탄막(245a)이 노출될 수 있다. Referring to FIG. 14, the first and second shielding films 149 and 249 and the first and second diffusion films 147 and 247 are sequentially removed. Accordingly, the first dielectric layer 143a and the second lanthanum oxide layer 245a can be exposed.

이어서, 도 15를 참조하면, 제1 유전막(143a)와 제2 산화 란탄막(245a) 상에 각각 제1 및 제2 TiAlC막(151a, 251a)을 형성한다. 제1 및 제2 TiAlC막(151a, 251a)은 각각 제1 및 제2 트렌치(135, 235)의 측벽과 바닥면을 따라 컨포말하게 형성할 수 있다. 또한, 제1 및 제2 배리어막(151a, 251a)은 예를 들어, TiAlC를 포함할 수 있다.Next, referring to FIG. 15, first and second TiAlC films 151a and 251a are formed on the first dielectric layer 143a and the second lanthanum oxide layer 245a, respectively. The first and second TiAlC films 151a and 251a may be conformally formed along the sidewalls and bottom surfaces of the first and second trenches 135 and 235, respectively. In addition, the first and second barrier films 151a and 251a may include, for example, TiAlC.

이어서, 제1 및 제2 TiAlC막(151a, 251a)상에 각각 제1 및 제2 배리어막(153a, 253a)을 형성한다. 구체적으로, 제1 및 제2 배리어막(153a, 253a)은 각각 제1 및 제2 트렌치(130, 230)의 측벽과 바닥면을 따라서 컨포말하게 형성될 수 있다. 제1 및 제2 배리어막(153a, 253a)은 예를 들어, TiN을 포함할 수 있다. 제1 및 제2 배리어막(153a, 253a)은 제1 및 제2 금속막(155a, 255a)이 포함하는 물질이 제1 및 제2 트렌치(130, 230) 내로 확산되는 것을 방지할 수 있다.Then, first and second barrier films 153a and 253a are formed on the first and second TiAlC films 151a and 251a, respectively. Specifically, the first and second barrier layers 153a and 253a may be conformally formed along the sidewalls and bottom surfaces of the first and second trenches 130 and 230, respectively. The first and second barrier films 153a and 253a may include, for example, TiN. The first and second barrier films 153a and 253a can prevent the materials included in the first and second metal films 155a and 255a from diffusing into the first and second trenches 130 and 230. [

제1 및 제2 배리어막(153a, 253a) 상에는 각각 제1 및 제2 금속막(155a, 255a)이 형성된다. 제1 및 제2 금속막(155a, 255a)은 제1 및 제2 트렌치(130, 230)의 나머지 부분을 채울 수 있다. 제1 및 제2 금속막(155a, 255a)은 예를 들어, Al, W 등을 포함할 수 있다.First and second metal films 155a and 255a are formed on the first and second barrier films 153a and 253a, respectively. The first and second metal films 155a and 255a may fill the remaining portions of the first and second trenches 130 and 230. [ The first and second metal films 155a and 255a may include, for example, Al, W, and the like.

도 16을 참조하면, 제1 및 제2 게이트 구조체(170, 270)를 형성한다. 도 15의 결과물에서, 층간 절연막(130)이 노출되도록 평탄화 공정을 수행하면, 제1 영역(Ⅰ)에서는 제1 게이트 구조체(170)를 형성할 수 있고, 제2 영역(Ⅱ)에서는 제2 게이트 구조체(270)를 형성할 수 있다. Referring to FIG. 16, first and second gate structures 170 and 270 are formed. 15, the first gate structure 170 can be formed in the first region I and the second gate structure 170 can be formed in the second region II by performing the planarization process so that the interlayer insulating film 130 is exposed. The structure 270 can be formed.

도 17을 참조하면, 제1 및 제2 게이트 구조체(170, 270) 상에 각각 제1 및 제2 캡핑막(180, 280)을 형성한다. Referring to FIG. 17, first and second capping films 180 and 280 are formed on the first and second gate structures 170 and 270, respectively.

제1 및 제2 캡핑막(180, 280)을 형성하기 전에, 제1 및 제2 게이트 구조체(170, 270)의 높이 조절을 위하여 제1 및 제2 게이트 구조체(170, 270)를 일부 제거할 수 있다. 따라서, 제1 및 제2 트렌치(135, 235) 내의 제1 및 제2 유전막(143, 243), 제1 및 제2 배리어막(153, 253), 제1 및 제2 TiAlC막(151, 251), 제1 및 제2 금속막(155, 255) 및 제2 산화 란탄막(245)은 일부 제거될 수 있다. 이 경우, 제1 및 제2 캡핑막(180, 280) 각각의 측벽은 스페이서(121, 221)의 측벽과 접할 수 있다. 또한, 제1 및 제2 캡핑막(180, 280)의 상면은 층간 절연막(130)과 동일 평면 상에 배치될 수 있다.The first and second gate structures 170 and 270 may be partially removed to adjust the height of the first and second gate structures 170 and 270 before forming the first and second capping layers 180 and 280 . The first and second dielectric films 143 and 243 and the first and second barrier films 153 and 253 and the first and second TiAlC films 151 and 251 in the first and second trenches 135 and 235 ), The first and second metal films 155 and 255, and the second lanthanum oxide film 245 may be partially removed. In this case, the sidewalls of the first and second capping films 180 and 280 can contact the sidewalls of the spacers 121 and 221, respectively. In addition, the upper surfaces of the first and second capping films 180 and 280 may be disposed on the same plane as the interlayer insulating film 130.

이어서, 도 18 내지 도 20을 참조하면, 제1 영역(Ⅰ)에 제1 트랜지스터(TR1)이 형성되고, 제2 영역(Ⅱ)에 제2 트랜지스터(TR2)가 형성될 수 있다. 참고로, 도 19는 도 18의 A-A 및 B-B를 따라 절단한 단면도이고, 도 20은 도 18의 C-C 및 D-D를 따라 절단한 단면도이다.18 to 20, a first transistor TR1 may be formed in the first region I, and a second transistor TR2 may be formed in the second region II. 19 is a cross-sectional view taken along the line A-A and B-B in Fig. 18, and Fig. 20 is a cross-sectional view taken along the line C-C and D-D in Fig.

층간 절연막(130) 상에 제2 층간 절연막(132, 232)을 형성한다. 제2 층간 절연막(132, 232)은 제1 및 제2 캡핑막(180, 280)을 덮을 수 있다. 제2 층간 절연막(132, 232)은 층간 절연막(130)과 동일한 물질을 포함할 수 있으며, 예를 들어, 실리콘 산화물을 포함할 수 있다.Second interlayer insulating films 132 and 232 are formed on the interlayer insulating film 130. The second interlayer insulating films 132 and 232 may cover the first and second capping films 180 and 280. The second interlayer insulating films 132 and 232 may include the same material as the interlayer insulating film 130, and may include, for example, silicon oxide.

제1 및 제2 소오스/드레인 영역(123, 223) 상에 각각 제1 및 제2 실리사이드막(191, 291)을 형성하고, 제1 및 제2 소오스/드레인 영역(123, 223) 상에 층간 절연막(130)과 제2 층간 절연막(132, 232)을 관통하는 제1 및 제2 컨택(193, 293)을 형성하여 본 실시예에 따른 반도체 장치를 형성할 수 있다. 다만, 이에 본 발명의 기술적 사상이 제한되는 것은 아니다. 제1 및 제2 실리사이드막(191, 291)은 제1 및 제2 소오스/드레인 영역(123, 223)의 면 저항, 접촉 저항 등을 감소시키는 역할을 할 수 있으며, 예를 들어, Pt, Ni, Co 등을 포함할 수 있다. 제1 및 제2 컨택(193, 293)은 예를 들어, W, Al Cu 등을 포함할 수 있다.First and second silicide films 191 and 291 are formed on the first and second source and drain regions 123 and 223 and the first and second source and drain regions 123 and 223 are formed on the first and second source / The first and second contacts 193 and 293 penetrating the insulating film 130 and the second interlayer insulating films 132 and 232 may be formed to form the semiconductor device according to the present embodiment. However, the technical idea of the present invention is not limited thereto. The first and second silicide layers 191 and 291 may reduce the surface resistance and the contact resistance of the first and second source and drain regions 123 and 223. For example, , Co, and the like. The first and second contacts 193 and 293 may include, for example, W, Al Cu, and the like.

이어서, 도 21을 참조하면, 본 발명의 몇몇 실시예에 따른 반도체 장치를 설명한다. 도 21은 본 발명의 몇몇 실시예에 따른 반도체 장치를 설명하기 위한 단면도이다. 본 실시예에 따른 반도체 장치는 상술한 반도체 장치의 도 20과 대응될 수 있다. 따라서, 본 실시예에 따른 반도체 장치는 핀과 필드 절연막 사이에 제2 필드 절연막을 더 포함하는 것을 제외하고 도 21의 실시예와 실질적으로 동일하다. 따라서, 동일한 참조번호는 동일한 구성요소를 지칭하며 반복되는 설명은 생략될 수 있다.Next, referring to FIG. 21, a semiconductor device according to some embodiments of the present invention will be described. 21 is a cross-sectional view illustrating a semiconductor device according to some embodiments of the present invention. The semiconductor device according to the present embodiment can correspond to Fig. 20 of the above-described semiconductor device. Therefore, the semiconductor device according to this embodiment is substantially the same as the embodiment of FIG. 21 except that it further includes a second field insulating film between the fin and the field insulating film. Accordingly, like reference numerals refer to like elements and repeated descriptions may be omitted.

도 21을 참조하면, 제1 및 제2 핀(F1, F2)과 필드 절연막(110) 사이에 제2 필드 절연막(105, 205)를 더 포함할 수 있다. 구체적으로, 제2 필드 절연막(105, 205)는 기판(101)의 상면과 제1 및 제2 핀(F1, F2)의 측벽을 덮을 수 있다. 제2 필드 절연막(105, 205)는 상면과 제1 및 제2 핀(F1, F2)의 측벽을 따라 컨포말하게 형성될 수 있다.Referring to FIG. 21, a second field insulating film 105 and 205 may be further disposed between the first and second fins F1 and F2 and the field insulating film 110. Referring to FIG. Specifically, the second field insulating films 105 and 205 may cover the upper surface of the substrate 101 and the sidewalls of the first and second pins F1 and F2. The second field insulating films 105 and 205 may be conformally formed along the sidewalls of the upper surface and the first and second fins F1 and F2.

이어서, 도 22 내지 도 24를 참조하여, 본 발명의 몇몇 실시예에 따른 반도체 장치를 설명한다.Next, with reference to Figs. 22 to 24, a semiconductor device according to some embodiments of the present invention will be described.

도 22 내지 도 24는 본 발명의 몇몇 실시예에 따른 반도체 장치를 설명하기 위한 사시도 및 단면도들이다. 도 22는 본 실시예에 따른 반도체 장치의 사시도이다. 도 23은 도 22의 A1-A1 및 B1-B1를 따라 절단한 단면도이고, 도 24는 도 22의 C1-C1 및 D1-D1을 따라 절단한 단면도이다.22 to 24 are a perspective view and a cross-sectional view for explaining a semiconductor device according to some embodiments of the present invention. 22 is a perspective view of the semiconductor device according to the present embodiment. FIG. 23 is a sectional view taken along line A1-A1 and B1-B1 in FIG. 22, and FIG. 24 is a sectional view taken along line C1-C1 and D1-D1 in FIG.

본 실시예에 따른 반도체 장치는 도 18 내지 도 20을 통해 설명한 반도체 장치와 비교하여, 제1 및 제2 게이트 스택 각각이 제1 TiN막 및 제2 TiN막을 더 포함하는 것을 제외하고는 실질적으로 동일하다. 따라서, 동일한 참조번호는 동일한 구성요소를 지칭하며, 반복되는 설명은 생략할 수 있다.The semiconductor device according to the present embodiment is substantially the same as the semiconductor device described above with reference to Figs. 18 to 20 except that each of the first and second gate stacks further includes a first TiN film and a second TiN film. Do. Accordingly, like reference numerals refer to like elements, and repeated descriptions may be omitted.

도 18 내지 도 20을 참조하면, 기판(101)은 제1 및 제2 영역(I, Ⅱ) 영역을 포함할 수 있고, 제1 및 제2 영역(I, Ⅱ) 영역 각각에는 제1 및 제2 트랜지스터(TR1, TR2)가 형성될 수 있다. 18 to 20, the substrate 101 may include first and second regions I and II, and each of the first and second regions I and II may include first and second regions I and II, 2 transistors TR1 and TR2 may be formed.

제1 및 제2 트랜지스터(TR1, TR2)는 각각 제1 및 제2 소오스-드레인 영역(123, 223), 제1 및 제2 스페이서(121, 221), 제1 및 제2 인터페이스막(141, 241), 제1 및 제2 유전막(143, 243) 및 제1 및 제2 게이트 스택(170, 270)을 포함할 수 있다. The first and second transistors TR1 and TR2 are connected to the first and second source and drain regions 123 and 223 and the first and second spacers 121 and 221 and the first and second interface films 141 and 142, 241, first and second dielectric layers 143, 243, and first and second gate stacks 170, 270.

제1 트랜지스터(TR1)는, 제1 소오스-드레인 영역(123), 제1 스페이서(121), 제1 인터페이스막(141), 제1 유전막(143) 및 제1 게이트 스택(170)을 포함할 수 있다. 여기서, 제1 게이트 스택(170)은 제1 TiN막(157), 제1 TiAlC막(151), 제2 배리어막(153) 및 제1 금속층(155)를 포함할 수 있다.The first transistor TR1 includes a first source-drain region 123, a first spacer 121, a first interface film 141, a first dielectric layer 143, and a first gate stack 170 . Here, the first gate stack 170 may include a first TiN film 157, a first TiAlC film 151, a second barrier film 153, and a first metal layer 155.

제2 트랜지스터(TR2)는, 제2 소오스-드레인 영역(223), 제2 스페이서(221), 제2 인터페이스막(241), 제2 유전막(243) 및 제2 게이트 스택(260)을 포함할 수 있다. 여기서, 제2 게이트 스택(260)은 제2 산화 란탄막(245), 제2 TiN막(257), 제2 TiAlC막(251), 제2 배리어막(253) 및 제2 금속층(255)를 포함할 수 있다.The second transistor TR2 includes a second source-drain region 223, a second spacer 221, a second interface film 241, a second dielectric layer 243 and a second gate stack 260 . Here, the second gate stack 260 includes a second lanthanum film 245, a second TiN film 257, a second TiAlC film 251, a second barrier film 253, and a second metal layer 255 .

본 실시예에 있어서, 제1 및 제2 트랜지스터(TR1, TR2) 각각은 제1 TiN막(157) 및 제2 TiN막(257)을 포함할 수 있고, 이를 통해, 제1 및 제2 트랜지스터(TR1, TR2) 각각의 문턱 전압을 제어할 수 있다. 본 실시예에 있어서, 제1 TiN막(157) 및 제2 TiN막(257)는 TiN을 포함할 수 있으며, 서로 다른 두께를 가질 수 있다.In this embodiment, each of the first and second transistors TR1 and TR2 may include a first TiN film 157 and a second TiN film 257 through which the first and second transistors TR1 and TR2 TR1 and TR2, respectively. In the present embodiment, the first TiN film 157 and the second TiN film 257 may include TiN and may have different thicknesses.

이어서, 도 25를 참조하여, 본 발명의 몇몇 실시예에 따른 반도체 장치를 설명한다. Next, a semiconductor device according to some embodiments of the present invention will be described with reference to FIG.

도 25는 본 발명의 몇몇 실시예에 따른 반도체 장치를 설명하기 위한 단면도이다. 25 is a cross-sectional view illustrating a semiconductor device according to some embodiments of the present invention.

본 실시예에 따른 반도체 장치는 제1 내지 제4 영역(I~IV)을 포함할 수 있다. 제1 내지 제4 영역(I~IV) 각각은 제1 내지 제4 트랜지스터(T1, T2, T3, T4)를 포함할 수 있다. The semiconductor device according to the present embodiment may include the first to fourth regions I to IV. Each of the first to fourth regions I to IV may include first to fourth transistors T1, T2, T3, and T4.

제1 트랜지스터(T1)는 도 1을 통해 설명한 제1 트랜지스터(TR1)과 실질적으로 동일하고, 제2 트랜지스터(T2)는 도 1을 통해 설명한 제2 트랜지스터(TR2)와 실질적으로 동일하다. 따라서, 동일한 참조번호는 동일한 구성요소를 지칭하며, 반복되는 설명은 생략할 수 있다.The first transistor T1 is substantially the same as the first transistor TR1 described with reference to FIG. 1 and the second transistor T2 is substantially the same as the second transistor TR2 described with reference to FIG. Accordingly, like reference numerals refer to like elements, and repeated descriptions may be omitted.

또한, 제3 트랜지스터(T3)은 도 23을 통해 설명한 제1 트랜지스터(TR1)와 실질적으로 동일하고, 제4 트랜지스터(T4)는 도 23을 통해 설명한 제2 트랜지스터(TR2)와 실질적으로 동일하다. The third transistor T3 is substantially the same as the first transistor TR1 described with reference to FIG. 23, and the fourth transistor T4 is substantially the same as the second transistor TR2 described with reference to FIG.

보다 구체적으로, 제3 트랜지스터(T3)는 제3 소오스-드레인 영역(323), 제3 스페이서(321), 제3 인터페이스막(341), 제3 유전막(343) 및 제3 게이트 스택(370)을 포함하고, 제3 게이트 스택(370)은 제3 TiN막(357), 제3 TiAlC막(351), 제3 배리어막(353) 및 제3 금속층(355)를 포함할 수 있다.More specifically, the third transistor T3 includes a third source-drain region 323, a third spacer 321, a third interface film 341, a third dielectric layer 343, and a third gate stack 370, And the third gate stack 370 may include a third TiN film 357, a third TiAlC film 351, a third barrier film 353, and a third metal layer 355.

여기서, 제3 소오스-드레인 영역(323), 제3 스페이서(321), 제3 인터페이스막(341), 제3 유전막(343) 및 제3 게이트 스택(370), 제3 TiN막(357), 제3 TiAlC막(351), 제3 배리어막(353) 및 제3 금속층(355) 각각은 도 23의 제1 트랜지스터(TR1)이 포함하는, 제1 소오스-드레인 영역(123), 제1 스페이서(121), 제1 인터페이스막(141), 제1 유전막(143) 및 제1 게이트 스택(170), 제1 TiN막(157), 제1 TiAlC막(151), 제1 배리어막(153) 및 제1 금속층(155)과 실질적으로 대응될 수 있다.Here, the third source-drain region 323, the third spacer 321, the third interface film 341, the third dielectric layer 343 and the third gate stack 370, the third TiN film 357, Each of the third TiAlC film 351, the third barrier film 353 and the third metal layer 355 includes a first source-drain region 123 included in the first transistor TR1 in FIG. 23, The first TiN film 157, the first TiAlC film 151, the first barrier film 153, the first dielectric film 121, the first interface film 141, the first dielectric film 143 and the first gate stack 170, And the first metal layer 155, as shown in FIG.

또한, 제4 트랜지스터(T4)는, 제4 소오스-드레인 영역(423), 제4 스페이서(421), 제4 인터페이스막(441), 제4 유전막(443), 제4 산화 란탄막(445) 및 제4 게이트 스택(460)을 포함할 수 있고, 제4 게이트 스택(460)은 제4 TiN막(457), 제4 TiAlC막(451), 제4 배리어막(453) 및 제4 금속층(455)를 포함할 수 있다.The fourth transistor T4 includes a fourth source-drain region 423, a fourth spacer 421, a fourth interface film 441, a fourth dielectric layer 443, a fourth lanthanum oxide film 445, And a fourth gate stack 460. The fourth gate stack 460 may include a fourth TiN film 457, a fourth TiAlC film 451, a fourth barrier film 453 and a fourth metal layer 455).

여기서, 제4 소오스-드레인 영역(423), 제4 스페이서(421), 제4 인터페이스막(441), 제4 유전막(443), 제4 게이트 스택(460), 제4 TiN막(457), 제4 TiAlC막(451), 제4 배리어막(453), 제4 금속층(455) 및 제4 산화 란탄막(445) 은 도 23의 제2 트랜지스터(TR2)이 포함하는, 제2 소오스-드레인 영역(223), 제2 스페이서(221), 제2 인터페이스막(241), 제2 유전막(243), 제2 게이트 스택(260), 제2 TiN막(257), 제2 TiAlC막(251), 제2 배리어막(253), 제2 금속층(255) 및 제2 산화 란탄막(245)과 실질적으로 대응될 수 있다.Here, the fourth source-drain region 423, the fourth spacer 421, the fourth interface film 441, the fourth dielectric layer 443, the fourth gate stack 460, the fourth TiN film 457, The fourth TiAlC film 451, the fourth barrier film 453, the fourth metal layer 455 and the fourth lanthanum oxide film 445 are formed by the second source-drain A second dielectric film 243, a second gate stack 260, a second TiN film 257, a second TiAlC film 251, The second barrier layer 253, the second metal layer 255, and the second lanthanum oxide layer 245, as shown in FIG.

본 실시예에 있어서, 제1 내지 제4 트랜지스터(T1~T4) 각각은 서로 다른 문턱전압을 가질 수 있다. 구체적으로, 제2 및 제4 트랜지스터(T2, T4)는 LaO를 포함하는 제2 및 제4 산화 란탄막(245, 445)를 포함하고, 제1 및 제3 트랜지스터(T1, T3)은 LaO를 포함하지 않는다. 나아가, 제3 및 제4 트랜지스터(T3, T4)는 각각 TiN을 포함하는 제3 TiN막(357) 및 제4 TiN막(457)을 포함한다. 또한, 제1 및 제2 트랜지스터(T1, T2)는 제3 TiN막(357) 및 제4 TiN막(457)와 대응되는 구성을 포함하지 않는다. 한편, 제1 내지 제4 트랜지스터(T1~T4) 각각은 모두 TaN을 미포함한다.In the present embodiment, each of the first to fourth transistors T1 to T4 may have different threshold voltages. Specifically, the second and fourth transistors T2 and T4 include second and fourth lanthanum oxide films 245 and 445 including LaO, and the first and third transistors T1 and T3 include LaO do not include. Furthermore, the third and fourth transistors T3 and T4 include a third TiN film 357 and a fourth TiN film 457, respectively, including TiN. In addition, the first and second transistors T1 and T2 do not include a configuration corresponding to the third TiN film 357 and the fourth TiN film 457. [ On the other hand, each of the first to fourth transistors T1 to T4 includes TaN.

즉, 본 실시예에 있어서, 제1 내지 제4 트랜지스터(T1~T4) 각각은 TaN을 포함하지 않으면서, 일부 트랜지스터는 LaO를 포함하고, 나머지 트랜지스터는 LaO를 포함하지 않는 것을 통해, 문턱전압을 달리할 수 있다. 한편, 본 실시예에 있어서, 제1 내지 제4 트랜지스터(T1~T4) 각각은 N형 트랜지스터일 수 있으나, 이에 제한되는 것은 아니다.That is, in this embodiment, each of the first to fourth transistors T1 to T4 does not include TaN, and some of the transistors include LaO and the remaining transistors do not include LaO, Can be different. Meanwhile, in the present embodiment, each of the first to fourth transistors T1 to T4 may be an N-type transistor, but is not limited thereto.

제1 내지 제4 트랜지스터(T1~T4) 각각은 N형 트랜지스터인 경우, 제2 트랜지스터(T2), 제1 트랜지스터(T1), 제4 트랜지스터(T4) 및 제3 트랜지스터(T3) 순으로 문턱 전압이 커질 수 있으나, 이에 제한되는 것은 아니다.Each of the first to fourth transistors T1 to T4 is an N-type transistor in which the second transistor T2, the first transistor T1, the fourth transistor T4 and the third transistor T3, But is not limited thereto.

이어서, 도 26을 참조하면, 본 발명의 몇몇 실시예에 따른 반도체 장치를 설명한다. Next, referring to FIG. 26, a semiconductor device according to some embodiments of the present invention will be described.

도 26은 본 발명의 몇몇 실시예에 따른 반도체 장치를 설명하기 위한 단면도이다. 본 실시예에 따른 반도체 장치는 도 25를 통해 설명한 반도체 장치와 비교하여, 제1 및 제2 TiN막(157, 257)을 더 포함하는 것을 제외하고 실질적으로 동일하다. 따라서, 동일한 참조번호는 동일한 구성요소를 지칭하며, 반복되는 설명은 생략될 수 있다.26 is a cross-sectional view illustrating a semiconductor device according to some embodiments of the present invention. The semiconductor device according to this embodiment is substantially the same as the semiconductor device described above with reference to FIG. 25 except that it further includes first and second TiN films 157 and 257. Accordingly, like reference numerals refer to like elements, and repeated descriptions may be omitted.

도 26을 참조하면, 제1 트랜지스터(T1)는 제1 TiN막(157)을 더 포함하고, 제2 트랜지스터(T2)는 제2 TiN막(257)을 더 포함할 수 있다. 제1 내지 제4 TiN막(157, 257, 357, 457) 각각은 서로 다른 두께를 가질 수 있다. 한편, 제1, 제2 및 제4 TiN막(157, 257, 457)이 다층 구조인 것으로 도시하였지만, 이에 제한되는 것은 아니며, 제1, 제2 및 제4 TiN막(157, 257, 457)은 하나의 층으로 형성될 수 있다.Referring to FIG. 26, the first transistor T1 may further include a first TiN film 157, and the second transistor T2 may further include a second TiN film 257. Referring to FIG. Each of the first to fourth TiN films 157, 257, 357, and 457 may have different thicknesses. Although the first, second and fourth TiN films 157, 257 and 457 are illustrated as having a multilayer structure, the first, second and fourth TiN films 157, 257 and 457 are not limited thereto. May be formed as a single layer.

본 실시예에 있어서, 제1 내지 제4 트랜지스터(T1~T4) 각각은 서로 다른 문턱전압을 가질 수 있다. 구체적으로, 제2 및 제4 트랜지스터(T2, T4)는 LaO를 포함하는 제2 및 제4 산화 란탄막(245, 445)를 포함하고, 제1 및 제3 트랜지스터(T1, T3)은 LaO를 포함하지 않는다. 한편, 제1 내지 제4 트랜지스터(T1~T4) 각각은 모두 TaN을 미포함한다.In the present embodiment, each of the first to fourth transistors T1 to T4 may have different threshold voltages. Specifically, the second and fourth transistors T2 and T4 include second and fourth lanthanum oxide films 245 and 445 including LaO, and the first and third transistors T1 and T3 include LaO do not include. On the other hand, each of the first to fourth transistors T1 to T4 includes TaN.

즉, 본 실시예에 있어서, 제1 내지 제4 트랜지스터(T1~T4) 각각은 TaN을 포함하지 않으면서, 일부 트랜지스터는 LaO를 포함하고, 나머지 트랜지스터는 LaO를 포함하지 않는 것을 통해, 문턱전압을 달리할 수 있다. 한편, 본 실시예에 있어서, 제1 내지 제4 트랜지스터(T1~T4) 각각은 P형 트랜지스터일 수 있으나, 이에 제한되는 것은 아니다.That is, in this embodiment, each of the first to fourth transistors T1 to T4 does not include TaN, and some of the transistors include LaO and the remaining transistors do not include LaO, Can be different. Meanwhile, in the present embodiment, each of the first to fourth transistors T1 to T4 may be a P-type transistor, but is not limited thereto.

제1 내지 제4 트랜지스터(T1~T4) 각각은 P형 트랜지스터인 경우, 제1 트랜지스터(T1), 제2 트랜지스터(T2), 제3 트랜지스터(T3) 및 제4 트랜지스터(T4) 순으로 문턱 전압이 커질 수 있으나, 이에 제한되는 것은 아니다.Each of the first to fourth transistors T1 to T4 is a P-type transistor in which the first transistor T1, the second transistor T2, the third transistor T3 and the fourth transistor T4, But is not limited thereto.

도 27은 본 발명의 몇몇 실시예들에 따른 반도체 장치를 포함하는 전자 시스템의 블록도이다.Figure 27 is a block diagram of an electronic system including a semiconductor device in accordance with some embodiments of the present invention.

도 27을 참조하면, 본 발명의 실시예에 따른 전자 시스템(11000)은 컨트롤러(11100), 입출력 장치(11200, I/O), 기억 장치(11300, memory device), 인터페이스(11400) 및 버스(11500, bus)를 포함할 수 있다. 컨트롤러(11100), 입출력 장치(11200), 기억 장치(11300) 및/또는 인터페이스(11400)는 버스(11500)를 통하여 서로 결합 될 수 있다. 버스(11500)는 데이터들이 이동되는 통로(path)에 해당한다.27, an electronic system 11000 according to an embodiment of the present invention includes a controller 11100, an input / output (I / O) device 11200, a memory device 11300, an interface 11400, 11500, bus). The controller 11100, the input / output device 11200, the storage device 11300, and / or the interface 11400 may be coupled to each other via the bus 11500. [ Bus 11500 corresponds to a path through which data is moved.

컨트롤러(11100)는 마이크로프로세서, 디지털 신호 프로세스, 마이크로컨트롤러, 및 이들과 유사한 기능을 수행할 수 있는 논리 소자들 중에서 적어도 하나를 포함할 수 있다. 입출력 장치(11200)는 키패드(keypad), 키보드 및 디스플레이 장치 등을 포함할 수 있다. 기억 장치(11300)는 데이터 및/또는 명령어 등을 저장할 수 있다. 인터페이스(11400)는 통신 네트워크로 데이터를 전송하거나 통신 네트워크로부터 데이터를 수신하는 기능을 수행할 수 있다. 인터페이스(11400)는 유선 또는 무선 형태일 수 있다. 예컨대, 인터페이스(11400)는 안테나 또는 유무선 트랜시버 등을 포함할 수 있다. 도시하지 않았지만, 전자 시스템(11000)은 컨트롤러(11100)의 동작을 향상시키기 위한 동작 메모리로서, 고속의 디램 및/또는 에스램 등을 더 포함할 수도 있다. 본 발명의 몇몇 실시예에 따른 반도체 장치(1~11)는 기억 장치(11300) 내에 제공되거나, 컨트롤러(11100), 입출력 장치(11200, I/O) 등의 일부로 제공될 수 있다.The controller 11100 may include at least one of a microprocessor, a digital signal process, a microcontroller, and logic elements capable of performing similar functions. The input / output device 11200 may include a keypad, a keyboard, a display device, and the like. The storage device 11300 may store data and / or instructions and the like. The interface 11400 may perform functions to transmit data to or receive data from the communication network. Interface 11400 may be in wired or wireless form. For example, the interface 11400 may include an antenna or a wired or wireless transceiver. Although not shown, the electronic system 11000 is an operation memory for improving the operation of the controller 11100, and may further include a high-speed DRAM and / or an SRAM. The semiconductor devices 1-11 according to some embodiments of the present invention may be provided in the storage device 11300 or may be provided as a part of the controller 11100, the input / output device 11200, the I / O device, and the like.

전자 시스템(11000)은 개인 휴대용 정보 단말기(PDA, personal digital assistant) 포터블 컴퓨터(portable computer), 웹 타블렛(web tablet), 무선 전화기(wireless phone), 스마트 폰(smart phone), 모바일 폰(mobile phone), 디지털 뮤직 플레이어(digital music player), 메모리 카드(memory card), 또는 정보를 무선환경에서 송신 및/또는 수신할 수 있는 모든 전자 제품에 적용될 수 있다.The electronic system 11000 may be a personal digital assistant (PDA) portable computer, a web tablet, a wireless phone, a smart phone, a mobile phone, ), A digital music player, a memory card, or any electronic device capable of transmitting and / or receiving information in a wireless environment.

도 28는 본 발명의 몇몇 실시예들에 따른 반도체 장치를 적용할 수 있는 예시적인 반도체 시스템이다. 도 2는 태블릿 PC를 도시한 것이다. 본 발명의 몇몇 실시예에 따라 제조된 반도체 장치는 태블릿 PC, 노트북 등에 사용될 수 있다. 본 발명의 몇몇 실시예에 따라 제조된 반도체 장치는 예시하지 않는 다른 집적 회로 장치에도 적용될 수 있음은 당업자에게 자명하다.28 is an exemplary semiconductor system to which a semiconductor device according to some embodiments of the present invention may be applied. 2 shows a tablet PC. The semiconductor device manufactured according to some embodiments of the present invention can be used for a tablet PC, a notebook computer, and the like. It will be apparent to those skilled in the art that semiconductor devices fabricated in accordance with some embodiments of the present invention may be applied to other integrated circuit devices not illustrated.

이상 첨부된 도면을 참조하여 본 발명의 실시예들을 설명하였으나, 본 발명은 상기 실시예들에 한정되는 것이 아니라 서로 다른 다양한 형태로 제조될 수 있으며, 본 발명이 속하는 기술분야에서 통상의 지식을 가진 자는 본 발명의 기술적 사상이나 필수적인 특징을 변경하지 않고서 다른 구체적인 형태로 실시될 수 있다는 것을 이해할 수 있을 것이다. 그러므로 이상에서 기술한 실시예들은 모든 면에서 예시적인 것이며 한정적이 아닌 것으로 이해해야만 한다.While the present invention has been described in connection with what is presently considered to be practical exemplary embodiments, it is to be understood that the invention is not limited to the disclosed embodiments, but, on the contrary, It is to be understood that the invention may be embodied in other specific forms without departing from the spirit or essential characteristics thereof. It is therefore to be understood that the above-described embodiments are illustrative in all aspects and not restrictive.

이상 첨부된 도면을 참조하여 본 발명의 실시예들을 설명하였으나, 본 발명은 상기 실시예들에 한정되는 것이 아니라 서로 다른 다양한 형태로 제조될 수 있으며, 본 발명이 속하는 기술분야에서 통상의 지식을 가진 자는 본 발명의 기술적 사상이나 필수적인 특징을 변경하지 않고서 다른 구체적인 형태로 실시될 수 있다는 것을 이해할 수 있을 것이다. 그러므로 이상에서 기술한 실시예들은 모든 면에서 예시적인 것이며 한정적이 아닌 것으로 이해해야만 한다.While the present invention has been described in connection with what is presently considered to be practical exemplary embodiments, it is to be understood that the invention is not limited to the disclosed embodiments, but, on the contrary, It is to be understood that the invention may be embodied in other specific forms without departing from the spirit or essential characteristics thereof. It is therefore to be understood that the above-described embodiments are illustrative in all aspects and not restrictive.

110: 기판 130: 층간 절연막
123, 223, 323, 423: 소오스/드레인 영역
121, 221, 321, 421: 스페이서
135, 235, 335, 435: 트렌치 141, 241, 341, 441: 인터페이스막
143, 243, 343, 443: 유전막 170, 270, 370, 470: 게이트 스택
110: substrate 130: interlayer insulating film
123, 223, 323, 423: source / drain regions
121, 221, 321, 421: spacers
135, 235, 335, 435: trenches 141, 241, 341, 441:
143, 243, 343, 443: Dielectric layer 170, 270, 370, 470: Gate stack

Claims (10)

제1 영역 및 제2 영역을 포함하는 기판;
상기 제1 영역 및 제2 영역의 상기 기판 상에 각각 형성되는 제1 및 제2 유전막; 및
상기 제1 및 제2 유전막 상에 각각 형성되는 제1 및 제2 게이트 스택을 포함하고,
상기 제1 게이트 스택은 상기 제1 유전막과 접촉하는 제1 TiAlC막과, 상기 제1 TiAlC막 상에 순차 적층되는 제1 배리어막과 제1 금속층을 포함하고,
상기 제2 게이트 스택은 상기 제2 유전막과 접촉하는 제2 산화 란탄(LaO)막과, 상기 제2 산화 란탄막 상에 순차 적층되는 제2 TiAlC막, 제2 배리어막 및 제2 금속층을 포함하는 반도체 장치.
A substrate comprising a first region and a second region;
First and second dielectric layers formed on the substrate of the first and second regions, respectively; And
And first and second gate stacks formed on the first and second dielectric layers, respectively,
Wherein the first gate stack comprises a first TiAlC film in contact with the first dielectric layer, a first barrier layer and a first metal layer sequentially deposited on the first TiAlC layer,
Wherein the second gate stack comprises a second lanthanum oxide (LaO) film in contact with the second dielectric film, a second TiAlC film, a second barrier film and a second metal layer sequentially deposited on the second lanthanum oxide film A semiconductor device.
제 1항에 있어서,
상기 제2 TiAlC막은 상기 제2 산화 란탄막과 접촉하는 반도체 장치.
The method according to claim 1,
And the second TiAlC film is in contact with the second lanthanum oxide film.
제 2항에 있어서,
상기 제1 및 제2 게이트 스택은 질화 탄탈륨(TaN)을 미포함하는 반도체 장치.
3. The method of claim 2,
Wherein said first and second gate stacks comprise tantalum nitride (TaN).
제 2항에 있어서,
상기 기판은 제3 영역 및 제4 영역을 더 포함하고,
상기 제3 영역 및 제4 영역의 상기 기판 상에 각각 형성되는 제3 및 제4 유전막; 및
상기 제3 및 제4 유전막 상에 각각 형성되는 제3 및 제4 게이트 스택을 더 포함하되,
상기 제3 게이트 스택은 상기 제3 유전막 상에 순차 적층되는 제3 TiN막, 제3 TiAlC막, 제3 배리어막 및 제3 금속층을 포함하고,
상기 제4 게이트 스택은 상기 제4 유전막 상에 순차 적층되는 제4 산화 란탄막, 제4 TiN막, 제4 TiAlC막, 제4 배리어막 및 제4 금속층을 포함하는 반도체 장치.
3. The method of claim 2,
Wherein the substrate further comprises a third region and a fourth region,
Third and fourth dielectric layers respectively formed on the substrate of the third region and the fourth region; And
Further comprising third and fourth gate stacks formed on the third and fourth dielectric layers, respectively,
The third gate stack includes a third TiN film, a third TiAlC film, a third barrier film, and a third metal layer sequentially deposited on the third dielectric layer,
Wherein the fourth gate stack comprises a fourth lanthanum film, a fourth TiN film, a fourth TiAlC film, a fourth barrier film, and a fourth metal layer sequentially deposited on the fourth dielectric layer.
제 4항에 있어서,
상기 제1 내지 제4 게이트 스택은 각각 제1 내지 제4 트랜지스터를 형성하고, 상기 제1 내지 제4 트랜지스터의 문턱 전압은 서로 다른 반도체 장치.
5. The method of claim 4,
Wherein the first through fourth gate stacks form first through fourth transistors, respectively, and the threshold voltages of the first through fourth transistors are different from each other.
제 5항에 있어서,
상기 제1 내지 제4 트랜지스터는 N형 트랜지스터인 반도체 장치.
6. The method of claim 5,
And the first to fourth transistors are N-type transistors.
제 4항에 있어서,
상기 제3 TiN막과 상기 제4 TiN막은 서로 다른 두께를 가지는 반도체 장치.
5. The method of claim 4,
Wherein the third TiN film and the fourth TiN film have different thicknesses.
제 1항에 있어서,
상기 기판과 상기 제1 및 제2 유전막 사이에 각각 형성되는 제1 및 제2 인터페이스막을 더 포함하는 반도체 장치.
The method according to claim 1,
And first and second interface films respectively formed between the substrate and the first and second dielectric films.
제 1항에 있어서,
상기 제1 및 제2 유전막 각각은 상기 제1 및 제2 게이트 스택의 하면 및 측벽을 따라 상부로 연장되는 반도체 장치.
The method according to claim 1,
Wherein each of the first and second dielectric layers extends upwardly along lower and sidewalls of the first and second gate stacks.
제1 내지 제4 영역을 포함하는 기판;
상기 제1 내지 제4 영역의 상기 기판 상에 각각 형성되는 제1 내지 제4 유전막; 및
상기 제1 내지 제4 유전막 상에 각각 형성되는 제1 내지 제4 게이트 스택을 포함하고,
상기 제1 게이트 스택은 상기 제1 유전막 상에 순차 적층되는 제1 TiAlC막, 제1 배리어막과 제1 금속층을 포함하고,
상기 제2 게이트 스택은 상기 제2 유전막 상에 순차 적층되는 제2 산화 란탄(LaO)막, 제2 TiAlC막, 제2 배리어막 및 제2 금속층을 포함하고,
상기 제3 게이트 스택은 상기 제3 유전막 상에 순차 적층되는 제3 TiN막, 제3 TiAlC막, 제3 배리어막 및 제3 금속층을 포함하고,
상기 제4 게이트 스택은 상기 제4 유전막 상에 순차 적층되는 제4 산화 란탄막, 제4 TiN막, 제4 TiAlC막, 제4 배리어막 및 제4 금속층을 포함하되,
상기 제2 TiAlC막은 상기 제2 산화 란탄막과 접촉하는 반도체 장치.
A substrate comprising first to fourth regions;
First to fourth dielectric layers formed on the substrate of the first to fourth regions, respectively; And
And first to fourth gate stacks formed on the first to fourth dielectric layers, respectively,
Wherein the first gate stack comprises a first TiAlC film sequentially deposited on the first dielectric layer, a first barrier layer and a first metal layer,
Wherein the second gate stack comprises a second lanthanum oxide (LaO) film, a second TiAlC film, a second barrier film and a second metal layer sequentially deposited on the second dielectric layer,
The third gate stack includes a third TiN film, a third TiAlC film, a third barrier film, and a third metal layer sequentially deposited on the third dielectric layer,
Wherein the fourth gate stack comprises a fourth lanthanum film, a fourth TiN film, a fourth TiAlC film, a fourth barrier film, and a fourth metal layer sequentially deposited on the fourth dielectric layer,
And the second TiAlC film is in contact with the second lanthanum oxide film.
KR1020160029549A 2016-02-11 2016-03-11 Semiconductor device KR102382555B1 (en)

Priority Applications (3)

Application Number Priority Date Filing Date Title
KR1020160029549A KR102382555B1 (en) 2016-03-11 2016-03-11 Semiconductor device
US15/430,265 US10431583B2 (en) 2016-02-11 2017-02-10 Semiconductor device including transistors with adjusted threshold voltages
US16/503,250 US20190326284A1 (en) 2016-02-11 2019-07-03 Semiconductor device including transistors with adjusted threshold voltages

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020160029549A KR102382555B1 (en) 2016-03-11 2016-03-11 Semiconductor device

Publications (2)

Publication Number Publication Date
KR20170106006A true KR20170106006A (en) 2017-09-20
KR102382555B1 KR102382555B1 (en) 2022-04-01

Family

ID=60033955

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020160029549A KR102382555B1 (en) 2016-02-11 2016-03-11 Semiconductor device

Country Status (1)

Country Link
KR (1) KR102382555B1 (en)

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070001241A1 (en) * 2005-06-30 2007-01-04 Samsung Electronics Co., Ltd. Semiconductor devices having nitrogen-incorporated active region and methods of fabricating the same
US20120256276A1 (en) * 2011-04-07 2012-10-11 Guang-Yaw Hwang Metal Gate and Fabricating Method Thereof
US20140008720A1 (en) * 2012-07-05 2014-01-09 International Business Machines Corporation Integrated circuit and method for fabricating the same having a replacement gate structure
US20160268259A1 (en) * 2015-03-10 2016-09-15 United Microelectronics Corp. Semiconductor process of forming metal gates with different threshold voltages and semiconductor structure thereof

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070001241A1 (en) * 2005-06-30 2007-01-04 Samsung Electronics Co., Ltd. Semiconductor devices having nitrogen-incorporated active region and methods of fabricating the same
US20120256276A1 (en) * 2011-04-07 2012-10-11 Guang-Yaw Hwang Metal Gate and Fabricating Method Thereof
US20140008720A1 (en) * 2012-07-05 2014-01-09 International Business Machines Corporation Integrated circuit and method for fabricating the same having a replacement gate structure
US20160268259A1 (en) * 2015-03-10 2016-09-15 United Microelectronics Corp. Semiconductor process of forming metal gates with different threshold voltages and semiconductor structure thereof

Also Published As

Publication number Publication date
KR102382555B1 (en) 2022-04-01

Similar Documents

Publication Publication Date Title
US9553094B2 (en) Semiconductor device and method for fabricating the same
US9337057B2 (en) Semiconductor device and method for fabricating the same
US9634144B2 (en) Semiconductor devices and methods of fabricating the same
US9431478B2 (en) Semiconductor device and method of fabricating the same
US11670716B2 (en) Semiconductor devices
US9514990B2 (en) Methods for manufacturing semiconductor devices having different threshold voltages
KR20140148189A (en) Semiconductor device and method for fabricating the same
KR20160059862A (en) Semiconductor device and the method for fabricating thereof
KR20150077543A (en) Semiconductor device and method for fabricating the same
CN104934474A (en) Combination FinFET and Methods of Forming Same
US20150132908A1 (en) Method for fabricating semiconductor device
KR20140141258A (en) Semiconductor device and fabricating method thereof
US20170256608A1 (en) Semiconductor device and method for fabricating the same
US9595595B2 (en) Method of forming field effect transistors (FETs) with abrupt junctions and integrated circuit chips with the FETs
US20140225169A1 (en) Gate All Around Semiconductor Device
US20170018623A1 (en) Semiconductor device and method of fabricating the same
KR20140000087A (en) Semiconductor device and fabricated method thereof
KR102311282B1 (en) Memory device and method for fabricating the same
CN103000664B (en) Semiconductor device and manufacture method thereof
CN103579314A (en) Semiconductor device and manufacturing method thereof
US9240409B2 (en) Semiconductor device and method for fabricating the same
KR102274734B1 (en) Semiconductor device and method for fabricating the same
KR102382555B1 (en) Semiconductor device
KR102421320B1 (en) Semiconductor device and fabrication method thereof
KR102114345B1 (en) Semiconductor device

Legal Events

Date Code Title Description
A201 Request for examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant