KR20170103657A - Systems and methods for using one or more fixtures and efficiency to determine parameters of a match network model - Google Patents

Systems and methods for using one or more fixtures and efficiency to determine parameters of a match network model Download PDF

Info

Publication number
KR20170103657A
KR20170103657A KR1020170025209A KR20170025209A KR20170103657A KR 20170103657 A KR20170103657 A KR 20170103657A KR 1020170025209 A KR1020170025209 A KR 1020170025209A KR 20170025209 A KR20170025209 A KR 20170025209A KR 20170103657 A KR20170103657 A KR 20170103657A
Authority
KR
South Korea
Prior art keywords
matching network
impedance
fixed
efficiency
processor
Prior art date
Application number
KR1020170025209A
Other languages
Korean (ko)
Inventor
아더 엠. 하워드
주니어 존 씨. 발코어
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US15/059,778 external-priority patent/US9831071B2/en
Priority claimed from US15/145,601 external-priority patent/US9837252B2/en
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20170103657A publication Critical patent/KR20170103657A/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • H01J37/32183Matching circuits
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/36Circuit design at the analogue level
    • G06F30/367Design verification, e.g. using simulation, simulation program with integrated circuit emphasis [SPICE], direct methods or relaxation methods
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/36Circuit design at the analogue level
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03HIMPEDANCE NETWORKS, e.g. RESONANT CIRCUITS; RESONATORS
    • H03H7/00Multiple-port networks comprising only passive electrical elements as network components
    • H03H7/38Impedance-matching networks

Abstract

Disclosed are systems and methods for using at least one fixture and efficiency to determine parameters of a matching network model. The methods comprises: comparing a value of efficiency measured by using a network analyzer with a predicted value of efficiency determined by using a matching network model; and performing a comparison to determine whether fixed parameters are assigned to the matching network model.

Description

매칭 네트워크 모델의 파라미터들을 결정하도록 하나 이상의 픽스처들 및 효율을 사용하기 위한 시스템들 및 방법들{SYSTEMS AND METHODS FOR USING ONE OR MORE FIXTURES AND EFFICIENCY TO DETERMINE PARAMETERS OF A MATCH NETWORK MODEL}FIELD OF THE INVENTION The present invention relates to systems and methods for using one or more fixtures and efficiencies to determine parameters of a matching network model. ≪ RTI ID = 0.0 > [0001] < / RTI >

본 실시예들은 매칭 네트워크 모델의 파라미터들을 결정하도록 하나 이상의 픽스처들 및 효율을 사용하기 위한 시스템들 및 방법들에 관계된다. The embodiments relate to systems and methods for using one or more fixtures and efficiencies to determine parameters of a matching network model.

플라즈마 시스템들은 플라즈마 프로세스들을 제어하기 위해 사용된다. 플라즈마 시스템은 복수의 RF (radio frequency) 소스들, 임피던스 매칭, 및 플라즈마 반응기를 포함한다. 워크피스는 플라즈마 챔버 내부에 위치되고 플라즈마는 제품을 프로세스하도록 플라즈마 챔버 내에서 생성된다. 워크피스가 플라즈마 시스템의 다른 부분과 함께 플라즈마 시스템의 일 부분의 교체 또는 사용과 독립적으로 유사하거나 균일한 방식으로 프로세싱되는 것이 중요하다. 예를 들어, 플라즈마 시스템의 일부분이 또 다른 일부분과 교체되는 경우 워크피스는 상이하게 프로세싱된다.Plasma systems are used to control plasma processes. The plasma system includes a plurality of radio frequency (RF) sources, impedance matching, and a plasma reactor. The workpiece is positioned within the plasma chamber and the plasma is generated in the plasma chamber to process the product. It is important that the workpiece is processed in a similar or uniform manner independently of the replacement or use of a portion of the plasma system with other portions of the plasma system. For example, if a portion of the plasma system is replaced with another portion, the workpiece is processed differently.

본 개시에 기술된 실시예들은 이러한 맥락에서 나타난다.The embodiments described in this disclosure appear in this context.

개시된 실시예들은 매칭 네트워크 모델의 파라미터들을 결정하도록 하나 이상의 픽스처들 및 효율을 사용하기 위한 장치, 방법들 및 컴퓨터 프로그램들을 제공한다. 본 실시예들은 수많은 방식들, 예를 들어, 프로세스, 장치, 시스템, 하드웨어의 일부, 또는 컴퓨터-판독가능한 매체 상의 방법으로 구현될 수 있다는 것이 인정되어야 한다. 몇몇 실시예들이 이하에 기술된다.The disclosed embodiments provide apparatus, methods and computer programs for using one or more fixtures and efficiencies to determine parameters of a matching network model. It should be appreciated that the embodiments may be implemented in numerous ways, for example, in a process, an apparatus, a system, a portion of hardware, or a method on a computer-readable medium. Some embodiments are described below.

RF (radio frequency) 매칭 네트워크 모델은 물리적 임피던스 매칭 네트워크의 컴퓨터 표현 또는 수학적 표현이고 임피던스 매칭 네트워크의 입력부에서 RF 특성들의 측정치로부터 임피던스 매칭 네트워크의 출력부에서 RF 특성들, 예를 들어, 전류, 전압, 및 위상 (phase) 등을 예측하는데 사용된다. 시작 지점으로, 매칭 네트워크 모델은 다양한 모듈들을 포함하는 모듈러 형태를 가진다. 모듈들의 예들은 출원번호 제 14/245,803 호를 가지는 특허 출원에서 제공된다. 모듈 각각은 하나 이상의 회로 엘리먼트들을 포함한다. 모듈들 내의 회로 엘리먼트들의 값들은 임피던스 매칭 네트워크의 개념도 (schematic) 로부터의 커패시턴스 및 인덕턴스의 알려진 값들에 기초하고 개념도에 포함되지 않는 연결 스트랩들 (straps) 의 인덕턴스와 같은 일부 물리량의 근사치들에 기초한다. 매칭 네트워크 모델의 시작 지점은 실험적인 측정치의 세트를 만들어냄으로써 그리고 매칭 네트워크 모델의 예측들과 측정치들 사이의 피팅 (fit) 을 제공하도록 회로 엘리먼트들의 값들을 조정함으로써 개선된다. 실험적인 측정치들을 얻는 하나의 방식은 플라즈마 툴 내에서 웨이퍼들을 사용하는 것이다. 온-툴 (on-tool) 측정 동안, 고-정확 (high-accuracy) RF 전압 및 전류 프로브는 다양한 플라즈마 레시피들을 실행하도록 그리고 레시피들 각각에 대한 임피던스 매칭 네트워크의 출력부에서 측정된 RF 전압 및 전류를 기록하도록, 그리고 예측들과 측정치들 사이의 피팅을 제공하도록 매칭 네트워크 모델의 모듈들 내의 회로 엘리먼트들의 값들을 가변하도록 플라즈마 툴 내에 구현된 임피던스 매칭 네트워크의 출력부에 일시적으로 설치된다.A radio frequency (RF) matching network model is a computer representation or mathematical representation of a physical impedance matching network and is used to derive RF characteristics at the output of the impedance matching network from measurements of RF characteristics at the input of the impedance matching network, And phase of the signal. As a starting point, the matching network model has a modular form including various modules. Examples of modules are provided in a patent application having Application Serial No. 14 / 245,803. Each of the modules includes one or more circuit elements. The values of the circuit elements in the modules are based on known values of the capacitance and inductance from the schematic of the impedance matching network and are based on approximations of some physical quantities such as the inductance of the connection straps that are not included in the conceptual diagram . The starting point of the matching network model is improved by adjusting the values of the circuit elements to create a set of experimental measurements and to provide a fit between the predictions and measurements of the matching network model. One way to obtain experimental measurements is to use wafers in a plasma tool. During on-tool measurements, a high-accuracy RF voltage and current probe is used to perform various plasma recipes and to measure the RF voltage and current measured at the output of the impedance matching network for each of the recipes And is temporarily installed at the output of the impedance matching network implemented in the plasma tool to vary the values of the circuit elements in the modules of the matching network model to provide fitting between predictions and measurements.

그러나, 플라즈마 툴을 사용하여 툴 시간이 점유되는 온-툴 측정은 시간소모적이다. 고-정확 RF 전압 및 전류 프로브를 사용함으로써, 매칭 네트워크 모델의 기준 값들은 임피던스 매칭 네트워크 각각에 대해 생성된다. 그러나 특정한 시리얼 번호 및 모델 번호를 가지는 개별적인 매칭 네트워크 각각은 또 다른 시리얼 번호 및 동일한 모델 번호를 가지는 임의의 다른 개별적인 매칭 네트워크와는 약간 상이하다. 고-정확 RF 전압 및 전류 프로브의 사용은 수 주 (few weeks) 가 소요되는 약 6 개의 개별적인 매칭 네트워크들 상에서 수행된다.However, on-tool measurements where tool time is occupied using plasma tools are time consuming. By using high-accurate RF voltage and current probes, the reference values of the matching network model are generated for each of the impedance matching networks. However, each individual matching network having a particular serial number and model number is slightly different from any other individual matching network having another serial number and the same model number. The use of high-accuracy RF voltage and current probes is performed on approximately six individual matching networks that take several weeks.

기준 매칭 모델이 존재할 때, 개별적인 매칭 네트워크들에 대한 보다 정확한 모델들이 매칭 네트워크 각각에 대해 얻어진 벤치 (bench) 네트워크 분석기 측정치들을 사용하여 만들어진다. 측정치들은 때때로 본 명세서에서 부하 임피던스 픽스처 (load impedance fixture) 로 지칭되는 물리적 테스트 픽스처를 테스트 중인 임피던스 매칭 네트워크의 출력부에 부착함으로써 그리고 임피던스 매칭 네트워크의 입력부에서 측정치를 얻도록 네트워크 분석기를 사용함으로써 얻어진다. 부하 임피던스 픽스처는 복수의 플라즈마 조건들 중 하나와 동일한 임피던스를 가지게 설계되어서 네트워크 분석기에 의한 측정치는 많은 온-툴 테스트들의 측정치를 닮는다 (mimics). 매칭 네트워크 모델은 기준 모델이 임피던스 매칭 네트워크에 적용된 경우보다 정확한 결과를 생성하도록 부하 임피던스 픽스처를 사용하여 얻어진 측정치에 기초하여 임피던스 매칭 네트워크에 대해 조정된다.When a criterion matching model is present, more accurate models for individual matching networks are created using bench network analyzer measurements obtained for each matching network. Measurements are sometimes obtained by attaching a physical test fixture, referred to herein as a load impedance fixture, to the output of the impedance matching network under test and by using a network analyzer to obtain measurements at the input of the impedance matching network . The load impedance fixture is designed to have the same impedance as one of the plurality of plasma conditions so that the measurements by the network analyzer mimics the measurements of many on-tool tests. The matching network model is adjusted for the impedance matching network based on the measurements obtained using the load impedance fixture to produce a more accurate result when the reference model is applied to the impedance matching network.

플라즈마 임피던스는 다양한 주파수들, 예를 들어, 2 ㎒, 27 ㎒, 60 ㎒, 400㎑ 등의 다수의 RF 생성기들에 따라 변한다는 것을 주의해야 한다. 즉, 일부 실시예들에서, 400 ㎑, 2 ㎒, 27 ㎒ 및 60 ㎒ RF 생성기들 중 둘 이상이 사용되는 멀티-주파수 플라즈마 시스템에 대해, RF 생성기들의 상이한 주파수에서 플라즈마의 임피던스는 상이하다.It should be noted that the plasma impedance varies with various RF generators, such as 2 MHz, 27 MHz, 60 MHz, 400 KHz, and the like. That is, in some embodiments, for multi-frequency plasma systems where more than two of the 400 kHz, 2 MHz, 27 MHz and 60 MHz RF generators are used, the impedances of the plasma at different frequencies of the RF generators are different.

다양한 실시예들에서, 상이한 부하 임피던스 픽스처들은 상이한 주파수들에 대해 사용된다. 예를 들어, 제 1 부하 임피던스 픽스처는 2 ㎒에 대해 사용되고, 제 2 부하 임피던스 픽스처는 27 ㎒에 대해 사용되고, 그리고 제 3 부하 임피던스 픽스처는 60 ㎒에 대해 사용된다.In various embodiments, different load impedance fixtures are used for different frequencies. For example, a first load impedance fixture is used for 2 MHz, a second load impedance fixture is used for 27 MHz, and a third load impedance fixture is used for 60 MHz.

일부 실시예들에서, 때때로 본 명세서에서 부하 임피던스 픽스처들로 지칭되는 복수의 벤치-상단 (bench-top) 픽스처들의 세트는 복수의 네트워크 분석기 측정치들을 얻도록 다수의 온-툴 플라즈마 조건을 닮도록 사용된다. 복수의 벤치-상단 픽스처들을 사용한 복수의 네트워크 분석기 측정치들은 플라즈마로 플라즈마 툴 상의 웨이퍼들에 실행할 필요 없이 매칭 네트워크 모델의 기준 값들을 생성하도록 사용되고, 이는 온-라인 (on-line) 툴의 사용 및 온-라인 툴의 리소스들과 연관된 시간을 절약한다. 복수의 벤치-상단 픽스처들은 비싸지 않다. 복수의 벤치-상단 픽스처들은 레지스터, 또는 커패시터, 또는 인덕터, 또는 케이블, 또는 이들의 두 가지 이상의 조합으로부터 만들어진다. 예를 들어, 픽스처들 중 하나는 레지스터와 가변 길이 동축 케이블 (variable length coaxial cable) 을 포함한다. 복수의 벤치-상단 픽스처들 각각은 임피던스 매칭 네트워크의 출력부와 연속하여 연결되고, 임피던스 매칭 네트워크의 결합된 가변 커패시턴스의 하나 이상의 값들 및 RF 주파수에서 매칭 네트워크의 입력부과 연관된 네트워크 분석기 측정치들이 얻어진다. 매칭 네트워크 모델의 회로 엘리먼트들의 값들은 플라즈마를 사용하지 않고 네트워크 분석기 측정치들로부터 생성된 예측된 값들과 네트워크 분석기 측정치들 사이의 일치 (agreement) 를 얻도록 최적화된다.In some embodiments, a plurality of sets of bench-top fixtures, sometimes referred to herein as load impedance fixtures, are used to resemble a plurality of on-tool plasma conditions to obtain a plurality of network analyzer measurements. do. A plurality of network analyzer measurements using a plurality of bench-top fixtures are used to generate reference values of the matching network model without having to run on the wafers on the plasma tool with the plasma, which is the on- - saves time associated with line tool resources. Multiple bench-top fixtures are not expensive. The plurality of bench-top fixtures are made from resistors, or capacitors, or inductors, or cables, or a combination of two or more thereof. For example, one of the fixtures includes a resistor and a variable length coaxial cable. Each of the plurality of bench-top fixtures is connected in series with the output of the impedance matching network and one or more values of the combined variable capacitance of the impedance matching network and network analyzer measurements associated with the input of the matching network at the RF frequency are obtained. The values of the circuit elements of the matching network model are optimized to obtain an agreement between the network analyzer measurements and the predicted values generated from the network analyzer measurements without using the plasma.

다양한 실시예들에서, 효율은 부하 임피던스 픽스처 및 네트워크 분석기를 사용하여 측정되고, 효율은 매칭 네트워크 모델을 사용하여 예측되고, 일치는 매칭 네트워크 모델의 파라미터들을 결정하도록 예측된 효율과 측정된 효율 사이에서 얻어진다. 효율들의 사용은 파라미터들의 정확한 결정을 제공한다. 게다가, 상기 설명된 바와 같이, 효율들을 계산하는데 플라즈마 툴, 예를 들어, 플라즈마 챔버 등은 사용되지 않는다. 이러한 플라즈마 툴의 미-사용은 툴 시간을 절약한다.In various embodiments, the efficiency is measured using a load impedance fixture and network analyzer, the efficiency is predicted using a matching network model, the agreement is made between the predicted efficiency and the measured efficiency to determine the parameters of the matching network model . The use of efficiencies provides an accurate determination of the parameters. In addition, as described above, plasma tools, e.g., plasma chambers, etc., are not used to calculate efficiencies. Unprecedented use of such a plasma tool saves tool time.

일부 실시예들에서, 매칭 네트워크 모델을 사용하여 예측된 임피던스들과 복수의 벤치-상단 픽스처들을 사용하여 측정된 임피던스들 사이의 일치, 및 예측된 효율과 측정된 효율 사이의 일치는 파라미터들을 계산하도록 얻어진다. 효율들 및 임피던스들의 사용은 파라미터들의 정확한 결정을 발생시킨다.In some embodiments, a match between the predicted impedances and the measured impedances using the plurality of bench-top fixtures using the matching network model, and the agreement between the predicted and measured efficiencies, . The use of efficiencies and impedances results in an accurate determination of the parameters.

본 명세서에서 기술된 시스템들 및 방법들의 일부 이점들은 매칭 네트워크 모델이 웨이퍼들 및 툴 시간을 사용해야함 없이 테스트 벤치 상에서 생성되고 확인된다는 것을 포함한다. 본 명세서에서 기술된 시스템들 및 방법들의 추가적인 이점들은 플라즈마 툴에서 실제 상이한 레시피들을 사용하여 커버되는 범위보다 복수의 픽스처들을 사용하여 플라즈마 조건들의 넓은 범위를 커버하는 것을 포함한다. 매칭 네트워크 모델은, 플라즈마 툴을 사용하여 생성된 경우, 테스트 웨이퍼들이 프로세싱될 RF 주파수들 및 임피던스 매칭 네트워크의 가변 커패시턴스들의 범위에 대해 정확하다. 미래의 새 프로세스가 상이한 가변 커패시턴스 또는 상이한 RF 주파수를 사용하는 경우, 매칭 네트워크 모델은 상이한 가변 커패시턴스 및 상이한 RF 주파수에 대해 마찬가지로 정확하지 않을 것이다. 복수의 픽스처들을 사용함으로써, 넓은 범위의 플라즈마 조건들이 닮아지고, 그 결과 매칭 네트워크 모델은 넓은 봄위의 플라즈마 조건들을 가지고 사용되도록 생성된다. 또한, 복수의 픽스처들은 제조하는데 상대적으로 저렴하다.Some of the advantages of the systems and methods described herein include that the matching network model is created and identified on a test bench without having to use wafers and tool time. Additional advantages of the systems and methods described herein include covering a wide range of plasma conditions using a plurality of fixtures over a range covered using actual different recipes in a plasma tool. The matching network model is accurate for the range of RF frequencies and impedance tuning network variable capacitances that the test wafers are to be processed, when generated using a plasma tool. If the future new process uses different variable capacitances or different RF frequencies, the matching network model will not be as accurate for different variable capacitances and different RF frequencies as well. By using a plurality of fixtures, a wide range of plasma conditions are resembled so that the matching network model is created to be used with wide-bladed plasma conditions. Also, a plurality of fixtures are relatively inexpensive to manufacture.

추가적인 이점은 매칭 네트워크 모델의 파라미터들을 결정하도록 측정된 효율 및 예측된 효율을 사용하는 것을 포함한다. 효율들의 사용은 파라미터들의 정밀한 결정을 발생시킨다.An additional benefit includes using the measured efficiency and the predicted efficiency to determine the parameters of the matching network model. The use of efficiencies results in a precise determination of the parameters.

다른 양태들은 첨부된 도면들과 함께 취해진 이하의 상세한 설명으로부터 명백해질 것이다.Other aspects will become apparent from the following detailed description taken in conjunction with the accompanying drawings.

실시예들은 첨부된 도면들과 함께 취해진 이하의 설명을 참조하여 이해된다.
도 1a는 매칭 네트워크 모델에서 하나 이상의 가변 커패시턴스들 및 하나 이상의 가변 주파수들의 사용에 대한 임피던스 매칭 네트워크의 하나 이상의 가변 커패시턴스들의 결정 및 부하 임피던스 픽스처 1에 연결된 네트워크 분석기의 하나 이상의 가변 주파수들의 결정을 예시하는 선도이다.
도 1b는 매칭 네트워크 모델에서 하나 이상의 가변 커패시턴스들 및 하나 이상의 가변 주파수들의 사용에 대한 임피던스 매칭 네트워크의 하나 이상의 가변 커패시턴스들의 결정 및 부하 임피던스 픽스처 N에 연결된 네트워크 분석기의 하나 이상의 가변 주파수들의 결정을 예시하는 선도이다.
도 2a는 부하 임피던스 픽스처의 다양한 실시예들을 예시하는 선도이다.
도 2b는 부하 임피던스 픽스처 N을 통해 부하 임피던스 픽스처 1의 사용과 함께 여러 가지의 플라즈마 조건들의 달성을 예시하는 실시예 그래프이다.
도 3은 매칭 네트워크 모델의 고정 (fixed) 파라미터들의 결정을 예시하기 위한 호스트 컴퓨터 시스템의 실시예의 선도이다.
도 4는 임피던스 매칭 네트워크의 측정된 효율의 결정을 예시하기 위한 시스템의 실시예의 선도이다.
도 5는 예측된 효율 및 임피던스 매칭 네트워크가 부하 임피던스 픽스처 1에 연결된 경우 측정된 효율에 기초한 고정 파라미터들의 값들의 결정을 예시하는 호스트 컴퓨터 시스템의 실시예의 선도이다.
도 6은 임피던스들 및 효율들을 사용함으로써 고정 파라미터들을 결정하기 위한 방법의 실시예의 플로우차트이다.
도 7은 임피던스 매칭 네트워크가 부하 임피던스 픽스처 N에 연결된 경우 임피던스 매칭 네트워크의 측정된 효율의 결정을 예시하기 위한 시스템의 실시예의 선도이다.
도 8은 예측된 효율 및 임피던스 매칭 네트워크가 부하 임피던스 픽스처 N에 연결된 경우 측정된 효율에 기초한 고정 파라미터들의 값들을 결정하도록 호스트 컴퓨터 시스템에 의해 실행되는 방법을 예시하기 위한 선도이다.
도 9는 임피던스들 및 효율들을 사용함으로써 고정 파라미터들을 결정하기 위한 방법의 실시예의 플로우차트이다.
도 10은 플라즈마 시스템 내에서 매칭 네트워크 모델의 사용을 예시하기 위한 플라즈마 시스템의 실시예의 선도이다.
도 11은 매칭 네트워크 모델의 실시예의 블록도이다.
The embodiments are understood with reference to the following description taken in conjunction with the accompanying drawings.
Figure 1a illustrates the determination of one or more variable capacitances of an impedance matching network for use of one or more variable capacitances and one or more variable frequencies in a matching network model and the determination of one or more variable frequencies of a network analyzer connected to load impedance fixture 1 It is leading.
1B illustrates the determination of one or more variable capacitances of an impedance matching network for use of one or more variable capacitances and one or more variable frequencies in a matching network model and the determination of one or more variable frequencies of a network analyzer connected to the load impedance fixture N It is leading.
2A is a diagram illustrating various embodiments of a load impedance fixture.
2B is an example graph illustrating the achievement of various plasma conditions with the use of a load impedance fixture 1 through a load impedance fixture N. FIG.
Figure 3 is a diagram of an embodiment of a host computer system for illustrating determination of fixed parameters of a matching network model.
4 is a diagram of an embodiment of a system for illustrating determination of measured efficiency of an impedance matching network.
5 is a diagram of an embodiment of a host computer system illustrating the determination of the values of fixed parameters based on the measured efficiency when the predicted efficiency and impedance matching network is connected to the load impedance fixture 1. [
6 is a flowchart of an embodiment of a method for determining fixed parameters by using impedances and efficiencies.
7 is a diagram of an embodiment of a system for illustrating the determination of measured efficiency of an impedance matching network when an impedance matching network is connected to a load impedance fixture N. Fig.
8 is a diagram illustrating a method performed by the host computer system to determine the values of the fixed parameters based on the measured efficiency when the predicted efficiency and impedance matching network is connected to the load impedance fixture N. [
9 is a flowchart of an embodiment of a method for determining fixed parameters by using impedances and efficiencies.
10 is a diagram of an embodiment of a plasma system for illustrating the use of a matching network model in a plasma system.
11 is a block diagram of an embodiment of a matching network model.

이하의 실시예들은 매칭 네트워크 모델의 파라미터들을 결정하도록 하나 이상의 픽스처들 및 효율을 사용하기 위한 시스템들 및 방법들을 기술한다. 본 실시예들이 구체적인 세부사항들의 일부 또는 전부 없이 실시될 수도 있다는 것이 명백할 것이다. 다른 예들에서, 잘 알려진 프로세스 동작들은 본 실시예들을 불필요하게 모호하게 하지 않도록 자세히 기술되지 않았다.The following embodiments describe systems and methods for using one or more fixtures and efficiencies to determine parameters of a matching network model. It will be apparent that the embodiments may be practiced without some or all of the specific details. In other instances, well-known process operations have not been described in detail so as not to unnecessarily obscure the embodiments.

다양한 실시예들에서, 효율은 네트워크 분석기를 사용하여 측정되고 효율은 매칭 네트워크 모델을 사용하여 예측된다. 측정된 효율과 예측된 효율 사이의 일치의 레벨이 있는지가 결정된다. 일치가 존재한다고 결정 시, 예측된 효율이 기초하여 결정되는 파라미터들은 매칭 네트워크 모델에 할당된다. 그렇지 않으면, 파라미터들은 일치가 도달되는 때까지 변화한다. 변화된 파라미터들은 그 후 매칭 네트워크 모델에 할당된다.In various embodiments, the efficiency is measured using a network analyzer and the efficiency is predicted using a matching network model. It is determined whether there is a level of agreement between the measured efficiency and the predicted efficiency. When it is determined that there is a match, the parameters determined based on the predicted efficiency are assigned to the matching network model. Otherwise, the parameters change until a match is reached. The changed parameters are then assigned to the matching network model.

도 1a는 매칭 네트워크 모델에서 하나 이상의 가변 커패시턴스들 및 하나 이상의 가변 주파수들의 사용을 위해 임피던스 매칭 네트워크 1의 하나 이상의 가변 커패시턴스들의 결정 및 부하 임피던스 픽스처 1에 연결된 네트워크 분석기 (102) 의 하나 이상의 가변 주파수들의 결정을 예시하는 선도이다. 일부 실시예들에서, 네트워크 분석기 (102) 는 네트워크 분석기 (102) 에 연결된 전기적 네트워크들의 s-파라미터들을 측정하기 위한 측정 디바이스들이다. 예를 들어, 네트워크 분석기 (102) 는 반사 및 전기적 네트워크들의 전송 (transmission) 파라미터들, 예를 들어, 임피던스, 반사 계수 (reflection coefficient), 전압 정재 파 비 (voltage standing wave ratio) 등을 측정한다. FIG. 1A illustrates the determination of one or more variable capacitances of the impedance matching network 1 for use of one or more variable capacitances and one or more variable frequencies in a matching network model and the determination of one or more variable frequencies of the network analyzer 102 connected to the load impedance fixture 1 It is a diagram illustrating the decision. In some embodiments, the network analyzer 102 is a measurement device for measuring s-parameters of electrical networks coupled to the network analyzer 102. For example, the network analyzer 102 measures the transmission parameters of the reflective and electrical networks, e.g., impedance, reflection coefficient, voltage standing wave ratio, and the like.

몇몇 실시예들에서, 네트워크 분석기는, 본 명세서에서 사용된 바와 같이, 신호 생성기, 하나 이상의 센서들, 및 디스플레이 스크린을 포함한다. 신호 생성기는 RF 신호를 생성하고, 하나 이상의 센서들은 s-파라미터를 센싱하고, 그리고 디스플레이 스크린은 s-파라미터를 디스플레이한다.In some embodiments, the network analyzer includes a signal generator, one or more sensors, and a display screen, as used herein. The signal generator generates the RF signal, one or more sensors sense the s-parameter, and the display screen displays the s-parameter.

네트워크 분석기 (102) 는 RF 케이블 (104) 을 통해 네트워크 분석기의 출력부 (113) 에서 부하 임피던스 픽스처 1의 입력부 (1111) 로 연결된다. 부하 임피던스 픽스처 1은 플라즈마 조건을 나타내는 임피던스, 예를 들어, 플라즈마 챔버 내의 임피던스 등을 가진다. 네트워크 분석기 (102) 는 주파수 (f11) 를 가지는 RF 신호를 생성하고 출력부 (113), RF 케이블 (104), 및 입력부 (1111) 을 통해 부하 임피던스 픽스처 1로 RF 신호를 제공한다. 주파수 (f11) 를 가지는 RF 신호가 부하 임피던스 픽스처 1에 제공되는 경우, 부하 임피던스 (Zo1m) 는 부하 임피던스 픽스처 1의 입력부 (1111) 에서 측정된다.The network analyzer 102 is connected from the output 113 of the network analyzer to the input 1111 of the load impedance fixture 1 via the RF cable 104. The load impedance fixture 1 has an impedance representing a plasma condition, for example, an impedance in a plasma chamber, and the like. The network analyzer 102 generates an RF signal having a frequency f11 and provides an RF signal to the load impedance fixture 1 through an output unit 113, an RF cable 104, and an input unit 1111. [ When an RF signal having a frequency f11 is provided to the load impedance fixture 1, the load impedance Zo1m is measured at the input 1111 of the load impedance fixture 1.

네트워크 분석기 (102) 는 부하 임피던스 픽스처 1로부터 연결해제 (disconnected) 되고 그 후 RF 케이블 (106) 을 통해 네트워크 분석기 (102) 의 출력부 (113) 에서 임피던스 매칭 네트워크 1의 분기 (branch) 회로의 입력부 (107) 로 연결된다. 예를 들어, 분기 회로는 웨이퍼의 프로세싱 동안 x ㎒ RF 생성기에 또는 y ㎒ RF 생성기에 또는 z ㎒ RF 생성기에 연결된다. 분기 회로는 복수의 RF 생성기들이 사용되는 경우 복수의 분기 회로들 중 하나이다. 예를 들어, x ㎒ 및 y ㎒ RF 생성기들이 사용되는 경우, 두 개의 분기 회로들이 임피던스 매칭 네트워크 1 내에 구현된다. 두 개의 분기 회로들 중 하나는 x ㎒ RF 생성기의 출력부에 연결되고 두 개의 분기 회로들 중 다른 하나는 y ㎒ RF 생성기의 출력부에 연결된다. 두 개의 분기 회로들의 출력부들은 서로 연결되고 RF 전송선 (transmission line) 또는 부하 임피던스 픽스처에 연결된다. 일부 실시예들에서, x ㎒ RF 생성기의 예는 2 ㎒ RF 생성기를 포함하고, y ㎒ RF 생성기의 예는 27 ㎒ RF 생성기를 포함하고, 그리고 z ㎒ RF 생성기의 예는 60 ㎒ RF 생성기를 포함한다. 다양한 실시예들에서, x ㎒ RF 생성기의 예는 400 ㎑ RF 생성기를 포함하고, y ㎒ RF 생성기의 예는 27 ㎒ RF 생성기를 포함하고, 그리고 z ㎒ RF 생성기의 예는 60 ㎒ RF 생성기를 포함한다.The network analyzer 102 is disconnected from the load impedance fixture 1 and then is connected to the input of the branch circuit of the impedance matching network 1 at the output 113 of the network analyzer 102 via the RF cable 106 (Not shown). For example, the branch circuit is connected to the x ㎒ RF generator or to the y ㎒ RF generator or to the z ㎒ RF generator during the processing of the wafer. The branch circuit is one of a plurality of branch circuits when a plurality of RF generators are used. For example, when x MHz and y MHz RF generators are used, two branch circuits are implemented in the impedance matching network 1. One of the two branch circuits is connected to the output of the x ㎒ RF generator and the other of the two branch circuits is connected to the output of the y ㎒ RF generator. The outputs of the two branch circuits are connected together and connected to an RF transmission line or load impedance fixture. In some embodiments, an example of an x MHz RF generator includes a 2 MHz RF generator, an example of a y MHz RF generator includes a 27 MHz RF generator, and an example of a z MHz RF generator includes a 60 MHz RF generator do. In various embodiments, an example of an x MHz RF generator includes a 400 MHz RF generator, an example of a y MHz RF generator includes a 27 MHz RF generator, and an example of a z MHz RF generator includes a 60 MHz RF generator do.

임피던스 매칭 네트워크 1의 분기 회로 각각은 하나 이상의 인덕터들, 또는 하나 이상의 커패시터들, 또는 하나 이상의 레지스터들, 또는 이들의 조합을 포함한다. 예를 들어, 임피던스 매칭 네트워크 1의 분기 회로는 커패시터와 직렬로 커플링된 인덕터를 포함하는 직렬 회로를 포함한다. 임피던스 매칭 네트워크 1의 분기 회로는 직렬 회로에 연결된 션트 (shunt) 회로를 더 포함한다. 션트 회로는 인덕토와 직렬로 연결된 커패시터를 포함한다. 임피던스 매칭 네트워크 1의 분기 회로는 하나 이상의 커패시터들을 포함하고 하나 이상의 커패시터들의 대응하는 커패시턴스들은 웨이퍼의 프로세싱 동안 가변적, 예를 들어 구동 어셈블리 (drive assembly) 등을 사용하여 가변된다. 예를 들어, 호스트 컴퓨터 시스템 (112) 의 프로세서는 특정한 커패시턴스를 달성하도록 가변 커패시터의 커패시턴스를 더 변화시키기 위해 두 판들 사이의 면적을 변화시키도록 임피던스 매칭 네트워크 1의 가변 커패시터의 하나 또는 양쪽 판들의 위치를 변화시키게 구동 어셈블리에 신호를 보낸다. 임피던스 매칭 네트워크 1의 하나 이상의 가변 커패시터들의 결합된 가변 커패시턴스는 값 (C11) 으로 설정된다. 예를 들어, 하나 이상의 가변 커패시터들의 대응하는 마주보며-위치한 (oppositely-located) 판들의 위치들은 가변 커패시턴스 (C11) 로 설정되도록 조정된다. 예시를 위해, 병렬로 서로 연결된 둘 이상의 커패시터들의 결합된 커패시턴스는 커패시터들의 커패시턴스들의 합이다. 다른 예시로서, 직렬로 서로 연결된 둘 이상의 커패시터들의 결합된 커패시턴스는 커패시터들의 커패시턴스들의 역수들의 합의 역수이다. 또 다른 예시로서, 호스트 컴퓨터 시스템 (112) 의 프로세서는, 이하에 더 기술되는 바와 같이, 커패시턴스 (C11) 를 달성하도록 임피던스 매칭 네트워크 1의 가변 커패시터의 판들을 움직이게 구동 어셈블리를 제어한다. 임피던스 매칭 네트워크 1의 예는 출원번호 제 14/716,797 호를 가지는 특허 출원에서 제공된다.Each of the branch circuits of the impedance matching network 1 includes one or more inductors, or one or more capacitors, or one or more resistors, or a combination thereof. For example, the branch circuit of the impedance matching network 1 includes a series circuit including an inductor coupled in series with a capacitor. The branch circuit of the impedance matching network 1 further includes a shunt circuit connected to the series circuit. The shunt circuit includes a capacitor connected in series with the inductor. The branch circuit of the impedance matching network 1 comprises one or more capacitors and the corresponding capacitances of the one or more capacitors are variable during the processing of the wafer, for example using a drive assembly or the like. For example, the processor of the host computer system 112 may determine the location of one or both plates of the variable capacitor of the impedance matching network 1 so as to vary the area between the two plates to further vary the capacitance of the variable capacitor to achieve a certain capacitance To the drive assembly. The combined variable capacitance of one or more variable capacitors of the impedance matching network 1 is set to a value C11. For example, the positions of corresponding oppositely-located plates of one or more variable capacitors are adjusted to be set to variable capacitance C11. For purposes of illustration, the combined capacitance of two or more capacitors connected in parallel is the sum of the capacitances of the capacitors. As another example, the combined capacitance of two or more capacitors connected in series is the reciprocal of the sum of the reciprocals of the capacitances of the capacitors. As another example, the processor of the host computer system 112 controls the drive assembly to move the plates of the variable capacitor of the impedance matching network 1 to achieve the capacitance C11, as described further below. An example of an impedance matching network 1 is provided in a patent application having application Ser. No. 14 / 716,797.

임피던스 매칭 네트워크 1은 또한 RF 케이블 (108) 을 통해 분기 회로의 출력부인 임피던스 매칭 네트워크 1의 출력부 (109) 에서 부하 임피던스 픽스처 1의 입력부 (1111) 으로 연결된다. 분기 회로는 입력부 (107) 에서 출력부 (113) 로 연결된다. 게다가, 임피던스 매칭 네트워크 1의 결합된 가변 커패시턴스는 값 (C11) 으로 설정된다. 부하 임피던스 픽스처 1은 플라즈마 조건을 나타내는 임피던스, 예를 들어, 플라즈마 챔버 내에서 임피던스 등을 가진다. 네트워크 분석기 (102) 는 주파수 (f11) 를 갖는 RF 신호를 생성하고 출력부 (113), RF 케이블 (106), 및 입력부 (107) 를 통해 임피던스 매칭 네트워크 1에 RF 신호를 제공한다. 임피던스 매칭 네트워크 1은 RF 신호인 변경된 (modified) 신호를 생성하도록 임피던스 매칭 네트워크 1에 연결된 부하의 임피던스를 임피던스 매칭 네트워크 1에 연결된 소스의 임피던스와 매칭시킨다. 부하의 예들은 부하 임피던스 픽스처 1 및 RF 케이블 (108) 을 포함하고, 소스의 예들은 네트워크 분석기 (102) 및 RF 케이블 (106) 을 포함한다. 변경된 신호는 출력부 (109) 및 입력부 (1111) 를 통해 임피던스 매칭 네트워크 1로부터 부하 임피던스 픽스처 1로 제공된다. RF 신호가 결합된 가변 커패시턴스 (C11) 를 가지는 임피던스 매칭 네트워크 1에 RF 케이블 (106) 을 통해 네트워크 분석기 (102) 에 의해 공급되는 경우, 임피던스 매칭 네트워크 1의 입력부 (107) 에서의 입력 임피던스 (Zi1m) 는 네트워크 분석기 (102) 에 의해 측정된다. 본 명세서에서 사용되는 임피던스는 복소수 값이다. 예를 들어, 임피던스 Z는 R이 레지스턴스, X가 리액턴스, 그리고 j가 복소수인 복소수 값 R+jX이다.The impedance matching network 1 is also connected to the input 1111 of the load impedance fixture 1 at the output 109 of the impedance matching network 1, which is the output of the branch circuit via the RF cable 108. The branching circuit is connected from the input section 107 to the output section 113. In addition, the combined variable capacitance of the impedance matching network 1 is set to the value C11. The load impedance fixture 1 has an impedance representing a plasma condition, for example, an impedance in the plasma chamber. The network analyzer 102 generates an RF signal having a frequency f11 and provides an RF signal to the impedance matching network 1 through an output unit 113, an RF cable 106, and an input unit 107. [ The impedance matching network 1 matches the impedance of the load connected to the impedance matching network 1 to the impedance of the source connected to the impedance matching network 1 to generate a modified signal which is an RF signal. Examples of loads include a load impedance fixture 1 and an RF cable 108, and examples of sources include a network analyzer 102 and an RF cable 106. The changed signal is supplied from the impedance matching network 1 to the load impedance fixture 1 through the output unit 109 and the input unit 1111. [ When supplied by the network analyzer 102 via the RF cable 106 to the impedance matching network 1 having the variable capacitance C11 coupled with the RF signal, the input impedance Zi1m at the input 107 of the impedance matching network 1 ) Is measured by the network analyzer 102. The impedance used herein is a complex value. For example, the impedance Z is a complex value R + jX where R is resistance, X is reactance, and j is a complex number.

네트워크 분석기 (102) 는 네트워크 케이블 (110) 을 통해 프로세서 및 메모리 디바이스를 포함하는 호스트 컴퓨터 시스템 (112) 에 연결된다. 호스트 컴퓨터 시스템 (112) 의 예들은 랩탑 컴퓨터 또는 데스크탑 컴퓨터 또는 태블릿 또는 스마트폰 등을 포함한다. 본 명세서에서 사용된 바와 같이, 프로세서 대신에, CPU (central processing unit), 제어기, ASIC (application specific integrated circuit), 또는 PLD (programmable logic device) 가 사용되고, 이러한 용어들은 본 명세서에서 상호 교환적으로 사용된다. 메모리 디바이스의 예들은 ROM (read-only memory), RAM (random access memory), 하드 디스크, 휘발성 (volatile) 메모리, 비-휘발성 (non-volatile) 메모리, 저장 디스크들의 리던던트 (redundant) 어레이, 플래시 메모리 등을 포함한다. 본 명세서에 사용되는 네트워크 케이블의 예들은 직렬 방식으로, 또는 병렬 방식으로, 또는 USB (Universal Serial Bus) 프로토콜 등을 사용하여 데이터를 이송하는데 사용되는 케이블이다.The network analyzer 102 is coupled to the host computer system 112 via a network cable 110, including a processor and a memory device. Examples of the host computer system 112 include a laptop computer or a desktop computer or a tablet or smart phone. As used herein, a central processing unit (CPU), a controller, an application specific integrated circuit (ASIC), or a programmable logic device (PLD) is used in place of the processor and these terms are used interchangeably herein do. Examples of memory devices include read-only memory (ROM), random access memory (RAM), hard disk, volatile memory, non-volatile memory, redundant arrays of storage disks, And the like. Examples of network cables used herein are cables used to transfer data in a serial manner, in a parallel manner, or using a Universal Serial Bus (USB) protocol or the like.

호스트 컴퓨터 시스템 (112) 의 프로세서는 네트워크 케이블 (110) 을 통해 네트워크 분석기 (102) 로부터 측정된 입력 임피던스 (Zi1m) 를 수신한다. 프로세서는, 방법 (130) 의 동작 (132) 에서, 측정된 입력 임피던스 (Zi1m) 가 미리-결정된 임피던스의 미리-결정된 문턱값, 예를 들어, 50 Ω, 55 Ω, 60 Ω, 45 Ω과 50 Ω 사이의 임피던스 등 이내인지 결정한다. 일부 실시예들에서, 미리-결정된 문턱값 및 미리-결정된 임피던스는, 이하에 더 기술되는, 입력 디바이스를 통해 사용자로부터 프로세서에 의해 입력으로 수신되고, 그리고 호스트 컴퓨터 시스템 (112) 의 메모리 디바이스 내에 프로세서에 의해 저장된다. 일부 실시예들에서, 미리-결정된 문턱값 및 미리-결정된 임피던스는 입력 임피던스, 예를 들어 Zi1m 등이 네트워크 분석기 (102) 에 의해 측정된 시간 전에 프로세서에 의해 수신된다. 측정된 입력 임피던스 (Zi1m) 가 미리-결정된 임피던스의 미리-결정된 문턱값 내인 경우, 프로세서는, 방법 (130) 의 동작 (134) 에서, 호스트 컴퓨터 시스템 (112) 의 메모리 디바이스 내에 주파수 (f11) 및 가변 커패시턴스 (C11) 를 저장한다.The processor of the host computer system 112 receives the measured input impedance Zi1m from the network analyzer 102 via the network cable 110. [ The processor may determine that at operation 132 of method 130 the measured input impedance Zi1m is less than a predetermined threshold of pre-determined impedance, e.g., 50, 55, 60, Ω and the like. In some embodiments, the pre-determined threshold and the pre-determined impedance are received as input by a processor from a user via an input device, as described further below, and within a memory device of the host computer system 112, Lt; / RTI > In some embodiments, the pre-determined threshold and the pre-determined impedance are received by the processor before the time measured by the network analyzer 102, such as input impedance, e.g., Zi1m. If the measured input impedance Zi1m is within a pre-determined threshold of the pre-determined impedance, the processor determines at operation 134 of method 130 whether the frequency f11 and / And stores the variable capacitance C11.

반면에, 측정된 입력 임피던스 (Zi1m) 가 미리-결정된 임피던스의 미리-결정된 문턱값 이내가 아닌 경우, 프로세서는, 방법 (130) 의 동작 (136) 에서, 주파수 (f11) 에 미리-결정된 가중치를 할당하기로 결정하고 가변 커패시턴스 (C11) 에 미리-결정된 가중치를 할당하기로 결정한다. 예를 들어, 미리-결정된 가중치는 가중된 주파수 (fw11) 를 생성하도록 주파수 (f11) 에 프로세서에 의해 할당되고 미리-결정된 가중치는 가중된 커패시턴스 (Cw11) 를 생성하도록 가변 커패시턴스 (C11) 에 프로세서에 의해 할당되고, 그리고 가중된 주파수 (fw11) 와 다른 가중된 주파수 (fww11) 의 합 (Sf1) 및 가중된 커패시턴스 (Cw11) 와 다른 가중된 커패시턴스 (Cww11) 의 합 (Sc1) 은 이하의 프로세서에 의해 생성되고 사용된다. 다른 커패시턴스 (Co11) 보다 낮은 양의 가중치가 커패시턴스 (C11) 에 할당되고 다른 주파수 (fo11) 와 비교하여 보다 낮은 양의 가중치가 주파수 (f11) 에 할당된다. 다른 가중된 커패시턴스 (Cww11) 는 다른 커패시턴스 (Co11) 에 가중치를 할당함으로써 프로세서에 의해 생성되고 다른 가중된 주파수 (fww11) 는 다른 주파수 (fo11) 에 가중치를 할당함으로써 프로세서에 의해 생성된다. 다른 주파수 (fo11) 및 다른 커패시턴스 (Co11) 는 임피던스 매칭 네트워크 1의 입력부 (107) 에서 측정된 임피던스가 미리-결정된 임피던스의 문턱값 내인 것들이다. 다른 예로서, 0의 가중치가 가변 커패시턴스 (C11) 에 할당되고 0의 가중치가 주파수 (f11) 에 할당된다. 또 다른 예로서, 가변 커패시턴스 (C11) 및 주파수 (f11) 는 나중의 사용을 위해 호스트 컴퓨터 시스템 (112) 의 메모리 디바이스 내에 저장되지 않는다.If, on the other hand, the measured input impedance Zi1m is not within a pre-determined threshold of the pre-determined impedance, the processor determines, at operation 136 of method 130, a pre-determined weight for frequency f11 And decides to assign a pre-determined weight to the variable capacitance C11. For example, the pre-determined weight is assigned by the processor at frequency f11 to produce the weighted frequency fw11 and the pre-determined weight is applied to the processor at variable capacitance C11 to produce a weighted capacitance Cw11 And the sum of the weighted frequency fw11 and the sum of other weighted frequencies fww11 and the weighted capacitance Cw11 and the sum of the weighted capacitances Cww11 and Scw1 is calculated by the following processor Generated and used. A lower weight than the other capacitance Co11 is assigned to the capacitance C11 and a lower weight is assigned to the frequency f11 in comparison with the other frequency fo11. Another weighted capacitance Cww11 is generated by the processor by assigning a weight to the other capacitance Co11 and another weighted frequency fww11 is generated by the processor by assigning a weight to the other frequency fo11. The other frequency fo11 and the other capacitance Co11 are those whose impedance measured at the input 107 of the impedance matching network 1 is within the threshold of a pre-determined impedance. As another example, a weight of 0 is assigned to the variable capacitance C11 and a weight of 0 is assigned to the frequency f11. As another example, variable capacitance C11 and frequency f11 are not stored in the memory device of host computer system 112 for later use.

미리-결정된 가중치를 주파수 (f11) 에 할당하고 미리-결정된 가중치를 가변 커패시턴스 (C11) 에 할당하는 경우, 방법 (130) 의 동작 (138) 이 수행된다. 예를 들어, Q가 0보다 큰 정수이고, 임피던스 매칭 네트워크 1의 입력부 (107) 에서 측정된 입력 임피던스 (Zi1Qm) 가 미리-결정된 임피던스의 미리-결정된 문턱값 내이도록, 네트워크 분석기 (102) 에 의해 생성된 RF 신호의 주파수는, 예를 들어, f11에서 f12로, f12에서 f13으로 등으로 변경되고 그리고/또는 임피던스 매칭 네트워크 1의 결합된 가변 커패시턴스는 예를 들어, C11에서 C12로, C12에서 C13으로 등으로 변경된다. 예를 들어, 네트워크 분석기 (102) 는 RF 신호의 주파수를 f11에서 f12로 변화시키고, 가변 커패시턴스 (C11) 는 변화되지 않는다. 네트워크 분석기 (102) 에 의해 측정된 입력 임피던스 (Zi1Qm) 는 미리-결정된 임피던스의 미리-결정된 문턱값 내이다. 프로세서는 메모리 디바이스 내에 주파수 (f12) 및 가변 커패시턴스 (C11) 를 저장한다. 다른 예로서, 임피던스 매칭 네트워크 1 의 가변 커패시턴스 (C11) 는 C11에서 C12로 변한다. 예를 들어, 구동 어셈블리는 임피던스 매칭 네트워크 1의 모든 가변 커패시터들의 결합된 가변 커패시턴스가 C12이도록 가변 커패시터의 가변 커패시턴스를 변경시키게 임피던스 매칭 네트워크 1의 가변 커패시터의 판들을 제어한다. 네트워크 분석기가 임피던스 매칭 네트워크 1에 주파수 (f11) 를 가지는 RF 신호를 공급하는 경우, 네트워크 분석기는 임피던스 매칭 네트워크 1의 입력부 (107) 에서 임피던스 (Zi1Qm) 를 측정하고 프로세서는 임피던스 (Zi1Qm) 가 미리-결정된 임피던스로부터 미리-결정된 문턱값 이내라고 결정한다. 주파수 (f11) 및 가변 커패시턴스 (C12) 는 메모리 디바이스에 저장된다. 이 방식으로, 임피던스 (Zi1Qm) 가 미리-결정된 문턱값 내이고, n이 0보다 큰 정수인 복수의 주파수들 (f1n) 및 복수의 커패시턴스들 (C1n) 이 계산되고 메모리 디바이스에 저장된다.When assigning a pre-determined weight to frequency f11 and assigning a pre-determined weight to variable capacitance C11, operation 138 of method 130 is performed. For example, if the network analyzer 102 determines that Q is an integer greater than 0 and the input impedance Zi1Qm measured at the input 107 of the impedance matching network 1 is within a pre-determined threshold of the pre-determined impedance The frequency of the generated RF signal is changed from, for example, f11 to f12, f12 to f13, and / or the combined variable capacitance of the impedance matching network 1 is changed from, for example, C11 to C12, And so on. For example, the network analyzer 102 changes the frequency of the RF signal from f11 to f12, and the variable capacitance C11 is not changed. The input impedance Zi1Qm measured by the network analyzer 102 is within a pre-determined threshold of a pre-determined impedance. The processor stores frequency (f12) and variable capacitance (C11) in the memory device. As another example, the variable capacitance C11 of the impedance matching network 1 changes from C11 to C12. For example, the drive assembly controls the plates of the variable capacitors of the impedance matching network 1 to change the variable capacitance of the variable capacitors so that the combined variable capacitance of all the variable capacitors of the impedance matching network 1 is C12. When the network analyzer supplies an RF signal having a frequency f11 to the impedance matching network 1, the network analyzer measures the impedance Zi1Qm at the input 107 of the impedance matching network 1 and the processor determines that the impedance Zi1Qm is pre- Is determined to be within a pre-determined threshold value from the determined impedance. The frequency f11 and the variable capacitance C12 are stored in the memory device. In this manner, a plurality of frequencies f1n and a plurality of capacitances C1n, whose impedances Zi1Qm are within a pre-determined threshold and n is an integer greater than zero, and a plurality of capacitances C1n are calculated and stored in the memory device.

도 1b는 N이 1보다 큰 정수인 부하 임피던스 픽스처 N에 연결된 네트워크 분석기 (102) 의 하나 이상의 가변 주파수들의 결정 및 매칭 네트워크 모델 내의 하나 이상의 가변 커패시턴스들 및 하나 이상의 가변 주파수들의 사용에 대한 임피던스 매칭 네트워크 1의 하나 이상의 가변 커패시턴스들의 결정을 예시하기 위한 선도이다. 네트워크 분석기 (102) 는 부하 임피던스 픽스처 1로부터 연결해제되고 네트워크 분석기 (102) 의 출력부 (113) 에서 RF 케이블 (104) 을 통해 부하 임피던스 픽스처 N의 입력부 (111N) 에 연결된다. 부하 임피던스 픽스처 N은 플라즈마 조건을 나타내는 임피던스를 가지고 이 플라즈마 조건은 부하 임피던스 픽스처 1에 의해 나타난 플라즈마 조건과는 상이하다. 예를 들어, 부하 임피던스 픽스처 N은 부하 임피던스 픽스처 1의 임피던스와 상이한 임피던스를 가진다. 네트워크 분석기 (102) 는 주파수 (fN1) 를 가지는 RF 신호를 생성하고 출력부 (113), RF 케이블 (104), 및 입력부 (111N) 를 통해 부하 임피던스 픽스처 N에 RF 신호를 제공한다. RF 신호가 부하 임피던스 픽스처 N에 제공되는 경우, 부하 임피던스 (ZoNm) 는 부하 임피던스 픽스처 N의 입력부 (111N) 에서 측정된다.1B illustrates the determination of one or more variable frequencies of a network analyzer 102 coupled to a load impedance fix N that is an integer greater than one and an impedance matching network 1 for use of one or more variable capacitances and one or more variable frequencies in a matching network model. Lt; RTI ID = 0.0 > of < / RTI > one or more variable capacitances. The network analyzer 102 is disconnected from the load impedance fixture 1 and connected to the input 111N of the load impedance fixture N via the RF cable 104 at the output 113 of the network analyzer 102. [ The load impedance fixture N has an impedance representing the plasma condition, which is different from the plasma condition exhibited by the load impedance fixture 1. For example, the load impedance fixture N has an impedance that is different from the impedance of the load impedance fixture 1. The network analyzer 102 generates an RF signal having a frequency fN1 and provides an RF signal to the load impedance fix N through the output 113, the RF cable 104, and the input 111N. When an RF signal is provided to the load impedance fixture N, the load impedance ZoNm is measured at the input 111N of the load impedance fixture N. [

값들 (Zo1m 및 ZoNm) 이 상수 값들이 아니라는 것을 주의해야 한다. 예를 들어, 값 (Zo1m) 은 부하 임피던스 픽스처 1의 동작의 RF 주파수에 따라 변하고 값 (ZoNm) 은 부하 임피던스 픽스처 N의 동작의 RF 주파수에 따라 변한다.It should be noted that the values (Zo1m and ZoNm) are not constant values. For example, the value Zo1m varies with the RF frequency of the operation of the load impedance fixture 1 and the value ZoNm varies with the RF frequency of the operation of the load impedance fixture N. [

네트워크 분석기 (102) 는 부하 임피던스 픽스처 1로부터 연결해제되고 RF 케이블 (106) 을 통해 임피던스 매칭 네트워크 1의 분기 회로의 입력부 (107) 에 연결되고, 그리고 분기 회로의 출력부 (109) 는 RF 케이블 (108) 을 통해 부하 임피던스 픽스처 N의 입력부 (111N) 에 연결된다. 부하 임피던스 픽스처 N은 플라즈마 조건을 나타내는 임피던스를 가지고 이 플라즈마 조건은 부하 임피던스 픽스처 1에 의해 나타난 플라즈마 조건과 상이하다. 예를 들어, 부하 임피던스 픽스처 N은 부하 임피던스 픽스처 1의 임피던스와 상이한 임피던스를 가진다.The network analyzer 102 is disconnected from the load impedance fixture 1 and connected to the input 107 of the branch circuit of the impedance matching network 1 via the RF cable 106 and the output 109 of the branch circuit is connected to the RF cable 108 to the input 111N of the load impedance fixture N. [ The load impedance fixture N has an impedance representing the plasma condition, which is different from the plasma condition exhibited by the load impedance fixture 1. For example, the load impedance fixture N has an impedance that is different from the impedance of the load impedance fixture 1.

임피던스 매칭 네트워크 1의 하나 이상의 가변 커패시터들의 결합된 가변 커패시턴스는 값 (CN1) 을 달성하도록 구동 어셈블리를 통해 조정된다. 네트워크 분석기 (102) 는 주파수 (fN1) 를 가지는 RF 신호를 생성하고 출력부 (113), RF 케이블 (106), 및 입력부 (107) 를 통해 임피던스 매칭 네트워크 1로 RF 신호를 공급한다. 임피던스 매칭 네트워크 1은 임피던스 매칭 네트워크 1에 연결된 부하의 임피던스를 RF 신호인 변경된 신호를 생성하도록 임피던스 매칭 네트워크 1에 연결된 소스의 임피던스에 매칭시킨다. 부하의 예들은 부하 임피던스 픽스처 N 및 RF 케이블 (108) 을 포함하고, 소스의 예들은 네트워크 분석기 (102) 및 RF 케이블 (106) 을 포함한다. 변경된 신호는 출력부 (109), RF 케이블 (108), 및 입력부 (111N) 를 통해 임피던스 매칭 네트워크 1로부터 부하 임피던스 픽스처 N으로 제공된다. 주파수 (fN1) 를 가지는 RF 신호가 RF 케이블 (106) 을 통해 임피던스 매칭 네트워크 1의 분기 회로로 공급되고 임피던스 매칭 네트워크 1의 결합된 가변 커패시턴스가 CN1인 경우, 입력 임피던스 (ZiNm) 는 임피던스 매칭 네트워크 1의 입력부 (107) 에서 측정된다.The combined variable capacitance of the one or more variable capacitors of the impedance matching network 1 is adjusted through the drive assembly to achieve the value CNl. The network analyzer 102 generates an RF signal having a frequency fN1 and supplies an RF signal to the impedance matching network 1 through an output unit 113, an RF cable 106, and an input unit 107. [ The impedance matching network 1 matches the impedance of the load connected to the impedance matching network 1 to the impedance of the source connected to the impedance matching network 1 to generate a modified signal which is an RF signal. Examples of loads include a load impedance fixture N and an RF cable 108, and examples of sources include a network analyzer 102 and an RF cable 106. The changed signal is provided from the impedance matching network 1 to the load impedance fixture N via the output unit 109, the RF cable 108, and the input unit 111N. When the RF signal having the frequency fN1 is supplied to the branch circuit of the impedance matching network 1 through the RF cable 106 and the combined variable capacitance of the impedance matching network 1 is CN1, the input impedance ZiNm is supplied to the impedance matching network 1 In the input unit 107 of FIG.

호스트 컴퓨터 시스템 (112) 의 프로세서는 네트워크 케이블 (110) 을 통해 네트워크 분석기 (102) 로부터 측정된 입력 임피던스 (ZiNm) 를 수신한다. 프로세서는, 방법 (150) 의 동작 (152) 에서, 측정된 입력 임피던스 (ZiNm) 가 미리-결정된 임피던스의 미리-결정된 문턱값 이내인지를 결정한다. 측정된 입력 임피던스 (ZiNm) 가 미리-결정된 임피던스의 미리-결정된 문턱값 이내라고 결정하는 경우, 프로세서는, 방법 (150) 의 동작 (154) 에서, 호스트 컴퓨터 시스템의 메모리 디바이스 내에 주파수 (fN1) 및 가변 커패시턴스 (CN1) 를 저장한다. The processor of the host computer system 112 receives the measured input impedance ZiNm from the network analyzer 102 via the network cable 110. [ The processor determines at operation 152 of method 150 whether the measured input impedance ZiNm is within a pre-determined threshold of a pre-determined impedance. If the measured input impedance ZiNm is determined to be within a pre-determined threshold of the pre-determined impedance, then at step 154 of the method 150, the processor determines whether the frequency fN1 and / And stores the variable capacitance CN1.

반면에, 측정된 입력 임피던스 (ZiNm) 가 미리-결정된 임피던스의 미리-결정된 문턱값 이내가 아니라고 결정한 경우, 프로세서는, 방법 (150) 의 동작 (156) 에서, 주파수 (fN1) 에 미리-결정된 가중치를 할당하고 가변 커패시턴스 (CN1) 에 미리-결정된 가중치를 할당한다. 예를 들어, 프로세서는 가중된 주파수 (fwN1) 를 생성하도록 주파수 (fN1) 에 미리-결정된 가중치를 할당하고 가중된 커패시턴스 (CwN1) 를 생성하도록 가변 커패시턴스 (CN1) 에 미리-결정된 가중치를 할당하고, 그리고 가중된 주파수 (fwN1) 와 또 다른 가중된 주파수 (fwwN1) 의 합 (SfN) 및 가중된 커패시턴스 (CwN1) 와 또 다른 가중된 커패시턴스 (CwwN1) 의 합 (ScN) 은 이하의 프로세서에 의해 생성되고 사용된다. 다른 커패시턴스 (CoN1) 보다 낮은 양의 가중치가 커패시턴스 (CN1) 에 할당되고 다른 주파수 (foN1) 와 비교하여 보다 낮은 양의 가중치가 주파수 (fN1) 에 할당된다. 다른 가중된 커패시턴스 (CwwN1) 는 다른 커패시턴스 (CoN1) 에 가중치를 할당함으로써 프로세서에 의해 생성되고 다른 가중된 주파수 (fwwN1) 는 다른 주파수 (foN1) 에 가중치를 할당함으로써 프로세서에 의해 생성된다. 다른 주파수 (foN1) 및 다른 커패시턴스 (CoN1) 는 임피던스 매칭 네트워크 1의 입력부 (107) 에서 측정된 임피던스가 미리-결정된 임피던스의 문턱값 내인 것들이다. 다른 예로서, 0의 가중치가 가변 커패시턴스 (CN1) 에 할당되고 0의 가중치가 주파수 (fN1) 에 할당된다. 또 다른 예로서, 가변 커패시턴스 (CN1) 및 주파수 (fN1) 는 나중의 사용을 위해 호스트 컴퓨터 시스템 (112) 의 메모리 디바이스 내에 저장되지 않는다.If, on the other hand, it is determined that the measured input impedance ZiNm is not within a pre-determined threshold of the pre-determined impedance, the processor determines in operation 156 of method 150 that the pre- And assigns a pre-determined weight to the variable capacitance CN1. For example, the processor may assign a pre-determined weight to the variable capacitance CN1 to assign a pre-determined weight to the frequency fN1 to produce a weighted frequency fwN1 and to produce a weighted capacitance CwNl, And the sum of the weighted frequency fwN1 and another weighted frequency fwwN1 and the sum of the weighted capacitance CwN1 and another weighted capacitance CwwN1 are generated by the following processors Is used. A lower weight than the other capacitance CoN1 is assigned to the capacitance CN1 and a lower weight is assigned to the frequency fN1 as compared to the other frequency foNl. The other weighted capacitance CwwN1 is generated by the processor by assigning weights to the other capacitances CoN1 and the other weighted frequency fwwN1 is generated by the processor by assigning weights to the other frequency foNl. The other frequency foN1 and the other capacitance CoN1 are those whose impedances measured at the input 107 of the impedance matching network 1 are within the threshold of a pre-determined impedance. As another example, a weight of 0 is assigned to the variable capacitance CN1 and a weight of 0 is assigned to the frequency fN1. As another example, the variable capacitance CN1 and the frequency fN1 are not stored in the memory device of the host computer system 112 for later use.

미리-결정된 가중치를 주파수 (fN1) 에 할당하고 미리-결정된 가중치를 가변 커패시턴스 (CN1) 에 할당하는 경우, 방법 (150) 의 동작 (158) 이 수행된다. 예를 들어, 임피던스 매칭 네트워크 1의 입력부 (107) 에서 측정된 입력 임피던스 (ZiNQm) 가 미리-결정된 임피던스의 미리-결정된 문턱값 내이도록, 네트워크 분석기 (102) 에 의해 생성된 RF 신호의 주파수는, 예를 들어, fN1에서 fN2로, fN2에서 fN3으로 등으로 변경되고 그리고/또는 임피던스 매칭 네트워크 1의 결합된 가변 커패시턴스는 예를 들어, CN1에서 CN2로, CN2에서 CN3으로 등으로 변경된다. 예를 들어, 네트워크 분석기 (102) 는 RF 신호의 주파수를 fN1에서 fN2로 변화시키고, 가변 커패시턴스 (CN1) 는 변화되지 않는다. 네트워크 분석기 (102) 에 의해 측정된 입력 임피던스 (ZiNQm) 는 미리-결정된 임피던스의 미리-결정된 문턱값 내이다. 프로세서는 메모리 디바이스 내에 주파수 (fN2) 및 가변 커패시턴스 (CN1) 를 저장한다. 다른 예로서, 임피던스 매칭 네트워크 1의 가변 커패시턴스 (CN1) 는 CN1에서 CN2로 변한다. 예를 들어, 구동 어셈블리는 임피던스 매칭 네트워크 1의 모든 가변 커패시터들의 결합된 가변 커패시턴스가 CN2이도록 가변 커패시터의 가변 커패시턴스를 변경시키도록 임피던스 매칭 네트워크 1의 가변 커패시터의 판들을 제어한다. 네트워크 분석기 (102) 가 임피던스 매칭 네트워크 1에 주파수 (fN1) 를 가지는 RF 신호를 공급하는 경우, 네트워크 분석기 (102) 는 임피던스 매칭 네트워크 1의 입력부 (107) 에서 임피던스 (ZiNQm) 를 측정하고 프로세서는 임피던스 (ZiNQm) 가 미리-결정된 임피던스로부터 미리-결정된 문턱값 이내라고 결정한다. 주파수 (fN1) 및 가변 커패시턴스 (CN2) 는 메모리 디바이스에 저장된다. 이 방식으로, 임피던스 (ZiNQm) 가 미리-결정된 문턱값 내인 복수의 주파수들 (fNn) 및 복수의 커패시턴스들 (CNn) 이 계산되고 메모리 디바이스 내에 저장된다.If the pre-determined weight is assigned to frequency fN1 and the pre-determined weight is assigned to variable capacitance CN1, then operation 158 of method 150 is performed. For example, the frequency of the RF signal generated by the network analyzer 102, such that the input impedance ZiNQm measured at the input 107 of the impedance matching network 1 is within a pre-determined threshold of a pre-determined impedance, For example, from fN1 to fN2, from fN2 to fN3, and / or the combined variable capacitance of the impedance matching network 1 is changed from CN1 to CN2, CN2 to CN3, and so on. For example, the network analyzer 102 changes the frequency of the RF signal from fN1 to fN2, and the variable capacitance CN1 is not changed. The input impedance ZiNQm measured by the network analyzer 102 is within a pre-determined threshold of a pre-determined impedance. The processor stores frequency (fN2) and variable capacitance (CN1) in the memory device. As another example, the variable capacitance CN1 of the impedance matching network 1 changes from CN1 to CN2. For example, the drive assembly controls the plates of the variable capacitor of the impedance matching network 1 to change the variable capacitance of the variable capacitor such that the combined variable capacitance of all the variable capacitors of the impedance matching network 1 is CN2. When the network analyzer 102 supplies the RF signal having the frequency fN1 to the impedance matching network 1, the network analyzer 102 measures the impedance ZiNQm at the input 107 of the impedance matching network 1, (ZiNQm) is within a pre-determined threshold from a pre-determined impedance. The frequency fN1 and the variable capacitance CN2 are stored in the memory device. In this manner, a plurality of frequencies fNn and a plurality of capacitances CNn whose impedances ZiNQm are within a pre-determined threshold are calculated and stored in the memory device.

일부 실시예들에서, 임의의 수, 예를 들어, 10, 15, 20, 100, 200, 300, 1000, 10000, 100000, 1000000 등의 부하 임피던스 픽스처들, 예를 들어 부하 임피던스 픽스처 N 등이 임피던스 매칭 네트워크 1의 분기 회로의 입력부 (107) 에서 임피던스가 미리-결정된 임피던스의 미리-결정된 문턱값 내인 임피던스 매칭 네트워크 1의 가변 커패시턴스들 및 네트워크 분석기 (102) 의 주파수들을 결정하는데 사용된다. 부하 임피던스 픽스처 N 각각은 플라즈마 챔버 내에서 플라즈마의 상이한 조건을 닮는다.In some embodiments, any number of load impedance fixes, such as load impedance fixtures N, such as 10, 15, 20, 100, 200, 300, 1000, 10000, 100000, 1000000, The impedance at the input 107 of the branch circuit of the matching network 1 is used to determine the frequencies of the network analyzer 102 and the variable capacitances of the impedance matching network 1 that are within a pre-determined threshold of the pre-determined impedance. Each of the load impedance fixtures N resembles the different conditions of the plasma within the plasma chamber.

일부 실시예들에서, 임피던스 매칭 네트워크 1이 본 명세서에서 기술된 네트워크 분석기에 연결된 때, 임피던스 매칭 네트워크 1은 플라즈마 챔버에 연결되지 않는다는 것을 주의해야 하고, 이는 이하에 더 기술된다. 게다가, 다양한 실시예들에서, 임피던스 매칭 네트워크 1이 본 명세서에서 기술된 네트워크 분석기에 연결된 때, 플라즈마 프로세싱 챔버 내의 웨이퍼의 프로세싱은 없다. 이는 플라즈마 프로세싱 챔버를 사용하는 온-툴 시간을 절약한다.It should be noted that, in some embodiments, when the impedance matching network 1 is connected to the network analyzer described herein, the impedance matching network 1 is not connected to the plasma chamber, which is described further below. Moreover, in various embodiments, there is no processing of the wafers in the plasma processing chamber when the impedance matching network 1 is connected to the network analyzer described herein. This saves on-tool time using the plasma processing chamber.

도 2a는 부하 임피던스 픽스처의 다양한 실시예들을 예시하는 선도이다. 부하 임피던스 픽스처는 길이 (l1) 의 케이블 (CB1), 레지스터 (R1), 인덕터 (L1), 및 커패시터 (C1) 을 포함한다. 레지스터 (R1) 는 레지스턴스 (R1) 를 가지고, 커패시터 (C1) 는 커패시턴스 (C1) 를 가지고, 그리고 인덕터 (L1) 는 인덕턴스 (L1) 를 가진다. 일부 실시예들에서, 부하 임피던스 픽스처 1은 케이블 (CB1), 레지스터 (R1), 인덕터 (L1), 및 커패시터 (C1) 중 적어도 하나를 포함한다. 예를 들어, 부하 임피던스 픽스처 1는 케이블 (CB1) 을 포함하고 레지스터 (R1), 인덕터 (L1), 커패시터 (C1) 를 제외한다. 다른 예로서, 부하 임피던스 픽스처 1은 인덕터 (L1) 및 커패시터 (C1) 를 포함하고, 케이블 (CB1) 및 레지스터 (R1) 를 포함하지 않는다.2A is a diagram illustrating various embodiments of a load impedance fixture. The load impedance fixture includes a cable CB1 of length 11, a resistor R1, an inductor L1, and a capacitor C1. The resistor R1 has a resistance R1, the capacitor C1 has a capacitance C1 and the inductor L1 has an inductance L1. In some embodiments, the load impedance fixture 1 includes at least one of a cable CB1, a resistor R1, an inductor L1, and a capacitor C1. For example, the load impedance fixture 1 includes the cable CB1 and excludes the resistor R1, the inductor L1, and the capacitor C1. As another example, the load impedance fixture 1 includes an inductor L1 and a capacitor C1, and does not include a cable CB1 and a resistor R1.

부하 임피던스 픽스처 N은 길이 (lN) 의 케이블 (CBN), 레지스터 (RN), 인덕터 (LN), 및 커패시터 (CN) 를 포함한다. 레지스터 (RN) 는 레지스턴스 (RN) 를 가지고, 커패시터 (CN) 는 커패시턴스 (CN) 를 가지고, 그리고 인덕터 (LN) 는 인덕턴스 (LN) 를 가진다. 일부 실시예들에서, 부하 임피던스 픽스처 N은 케이블 (CBN), 레지스터 (RN), 인덕터 (LN), 및 커패시터 (CN) 중 적어도 하나를 포함한다. 예를 들어, 부하 임피던스 픽스처 N은 케이블 (CBN) 을 포함하고 레지스터 (RN), 인덕터 (LN), 커패시터 (CN) 를 제외한다. 다른 예로서, 부하 임피던스 픽스처 N은 인덕터 (LN) 및 커패시터 (CN) 를 포함하고, 케이블 (CBN) 및 레지스터 (RN) 를 포함하지 않는다. 또 다른 예로서, 부하 임피던스 픽스처 N은 인덕터 (LN) 를 포함하고, 커패시터 (CN), 케이블 (CBN), 및 레지스터 (RN) 를 제외한다.The load impedance fixture N includes a cable CBN, a resistor RN, an inductor LN, and a capacitor CN of length lN. The resistor RN has a resistance RN, the capacitor CN has a capacitance CN and the inductor LN has an inductance LN. In some embodiments, the load impedance fixture N includes at least one of a cable CBN, a resistor RN, an inductor LN, and a capacitor CN. For example, the load impedance fixture N includes a cable CBN and excludes the resistor RN, the inductor LN, and the capacitor CN. As another example, the load impedance fixture N includes the inductor LN and the capacitor CN, and does not include the cable CBN and the resistor RN. As another example, the load impedance fixture N includes the inductor LN and excludes the capacitor CN, the cable CBN, and the resistor RN.

부하 임피던스 픽스처 N이 부하 임피던스 픽스처 1의 케이블 길이 (l1), 레지스턴스 (R1), 커패시턴스 (C1), 및 인덕턴스 (L1) 중 대응하는 하나와 상이한 케이블 길이 (lN), 레지스턴스 (RN), 커패시턴스 (CN), 및 인덕턴스 (LN) 중 적어도 하나를 가진다는 것을 주의해야 한다. 예를 들어, 레지스턴스 (R1) 는 레지스턴스 (RN) 와 같고, 커패시턴스 (C1) 는 커패시턴스 (CN) 와 같고, 그리고 인덕턴스 (L1) 는 인덕턴스 (LN) 와 같고, 그리고 케이블 길이 (L1) 는 케이블 길이 (LN) 와 상이하다. 다른 예로서, 레지스턴스 (R1) 는 레지스턴스 (RN) 와 같고, 커패시턴스 (C1) 는 커패시턴스 (CN) 와 같고, 케이블 길이 (l1) 는 케이블 길이 (lN) 와 상이하고, 그리고 인덕턴스 (L1) 는 인덕턴스 (LN) 와 상이하다. 또 다른 예로서, 부하 임피던스 픽스처 1은 레지스터 (R1) 를 제외하고 부하 임피던스 픽스처 N은 레지스터 (RN) 를 포함한다. 또 다른 예로서, 부하 임피던스 픽스처 1은 케이블 (CB1) 을 제외하고 부하 임피던스 픽스처 N은 케이블 (CBN) 을 포함한다. 또 다른 예로서, 레지스턴스 (R1) 는 레지스턴스 (RN) 와 같고, 커패시턴스 (C1) 는 커패시턴스 (CN) 와 상이하고, 케이블 길이 (l1) 는 케이블 길이 (lN) 와 같고, 그리고 인덕턴스 (L1) 는 인덕턴스 (LN) 와 상이하다.The load impedance fixture N is different from the corresponding one of the cable length l1, resistance R1, capacitance C1 and inductance L1 of the load impedance fixture 1, the cable length lN, the resistance RN, CN), and inductance (LN). For example, the resistance R1 is equal to the resistance RN, the capacitance C1 is equal to the capacitance CN, the inductance L1 is equal to the inductance LN, and the cable length L1 is equal to the cable length (LN). As another example, the resistance R1 is equal to the resistance RN, the capacitance C1 is equal to the capacitance CN, the cable length 11 is different from the cable length lN and the inductance L1 is equal to the inductance (LN). As another example, the load impedance fixture 1 includes the register R1, and the load impedance fixture N includes the register RN. As another example, the load impedance fixture 1 includes the cable CB1, and the load impedance fixture N includes the cable CBN. As another example, the resistance R1 is equal to the resistance RN, the capacitance C1 is different from the capacitance CN, the cable length 11 is equal to the cable length lN, and the inductance L1 is And is different from the inductance LN.

일부 실시예들에서, 플라즈마 조건은 임피던스 대신에 전력 반사 비 (power reflection ratio) 또는 감마 (gamma) 를 사용하여 나타내어진다. 감마는 공급된 전압에 대한 반사된 전압의 비인 전압 반사 계수이다. 반사된 전압은 공급된 전압에 대한 위상 및 진폭을 가지므로 감마는 복소수이다. 임피던스 매칭 네트워크 1이 RF 케이블을 통해 RF 생성기에 연결되고 RF 전송선을 통해 플라즈마 챔버로 연결된 경우 반사된 전압은 플라즈마 챔버로부터 RF 생성기를 향해 반사된 전압이고 공급된 전압은 임피던스 매칭 네트워크 1로 RF 생성기로부터 공급된 전압이다. 전력 반사 비는 감마의 제곱이다. 임피던스 매칭 네트워크 1의 입력부에 연결된 50 Ω의 RF 케이블에 대해 임피던스 매칭 네트워크 1의 입력부에서의 감마와 임피던스 매칭 네트워크 1의 입력부에서의 임피던스 사이의 1대 1 관계가 있어서, 임피던스 또는 감마를 사용할지는 주어진 상황에서 사실상 편의성의 문제이다.In some embodiments, the plasma condition is indicated using a power reflection ratio or gamma instead of an impedance. Gamma is the voltage reflection coefficient, which is the ratio of the reflected voltage to the applied voltage. Gamma is a complex number because the reflected voltage has a phase and an amplitude with respect to the supplied voltage. When the impedance matching network 1 is connected to the RF generator via an RF cable and is connected to the plasma chamber via an RF transmission line, the reflected voltage is the voltage reflected from the plasma chamber towards the RF generator, and the supplied voltage is fed from the RF generator to the impedance matching network 1 Supplied voltage. The power reflection ratio is the square of gamma. There is a one-to-one relationship between the gamma at the input of the impedance matching network 1 and the impedance at the input of the impedance matching network 1 for a 50 ohm RF cable connected to the input of the impedance matching network 1 so that the use of impedance or gamma In reality, it is a matter of convenience.

일부 실시예들에서, 복수의 부하 임피던스 픽스처 1 내지 N 내에서 사용되는 레지스터들의 값들은 0.4 Ω 내지 2 Ω의 범위이다. 0.4 Ω 내지 2 Ω의 범위는 60 ㎒의 주파수에 대한 범위임을 주의해야 한다. 2 ㎒ 또는 27 ㎒ 주파수가 사용되는 경우, 범위는 변화한다. 다양한 실시예들에서, 부하 임피던스 픽스처 1 또는 N 내에서 사용된 동축 케이블은 50 Ω 케이블이다.In some embodiments, the values of the registers used in the plurality of load impedance fixtures 1 through N are in the range of 0.4 OMEGA to 2 OMEGA. Note that the range of 0.4 Ω to 2 Ω is the range for the frequency of 60 ㎒. If 2 ㎒ or 27 ㎒ frequencies are used, the range will vary. In various embodiments, the coaxial cable used in load impedance fixture 1 or N is a 50 ohm cable.

도 2b는 부하 임피던스 픽스처 1 내지 부하 임피던스 픽스처 N을 사용하여 여러 가지의 플라즈마 조건들의 달성을 예시하는 실시예 그래프 (250) 이다. 그래프 (250) 는 감마에 의해 나타내어진 반사 계수의 실수 부분은 x-축에 그리고 감마에 의해 나타내어진 반사 계수의 허수 부분은 y-축에 도시한다. 그래프 (250) 의 상단 선 (252) 은 가변 길이 동축 케이블 및 제 1 값을 가지는 레지스터를 가지는 부하 임피던스 픽스처 1 내지 N까지에 커플링될 때 네트워크 분석기 (102) 에 의해 생성된 RF 신호의 상이한 주파수 및 가변 커패시턴스 (C1) 에 대한 네트워크 분석기 (102) 에 의해 측정된 지점들에 피팅된다. 게다가, 그래프 (250) 의 하단 선 (254) 은 가변 길이 동축 케이블 및 제 2 값을 가지는 레지스터를 가지는 부하 임피던스 픽스처 1 내지 N까지에 커플링된 네트워크 분석기 (102) 에 의해 생성된 RF 신호의 상이한 주파수 및 가변 커패시턴스 (CN) 에 대한 네트워크 분석기 (102) 에 의해 측정된 지점들에 피팅된다. 상단 선 (252) 과 하단 선 (254) 사이의 모든 지점들은 부하 임피던스 픽스처 1부터 N까지에 결합된 네트워크 분석기 (102) 에 의해 생성된 RF 신호의 상이한 주파수들에 대해 그리고 가변 커패시턴스 (C1) 및 가변 커패시턴스 (CN) 사이의 가변 커패시턴스들에 대해 네트워크 분석기 (102) 에 의해 측정된다.2B is an example graph 250 illustrating the achievement of various plasma conditions using a load impedance fixture 1 to a load impedance fixture N. FIG. Graph 250 shows the real part of the reflection coefficient represented by gamma on the x-axis and the imaginary part of the reflection coefficient on the y-axis indicated by gamma. The top line 252 of the graph 250 shows the different frequencies of the RF signals generated by the network analyzer 102 when coupled to the load impedance fixtures 1 through N having variable length coaxial cables and resistors having a first value. And to the points measured by the network analyzer 102 for the variable capacitance C1. In addition, the bottom line 254 of the graph 250 represents the different (or substantially identical) values of the RF signals generated by the network analyzer 102 coupled to the load impedance fixtures 1 through N having variable length coaxial cables and resistors having a second value And is fitted to the points measured by network analyzer 102 for frequency and variable capacitance (CN). All points between the top line 252 and the bottom line 254 correspond to different frequencies of the RF signal generated by the network analyzer 102 coupled to the load impedance fixtures 1 through N and to the variable capacitances C1 and & And is measured by network analyzer 102 for variable capacitances between variable capacitances (CN).

도 3은 매칭 네트워크 모델 (302) 의 파라미터들의 결정을 예시하기 위한 호스트 컴퓨터 시스템 (112) 의 실시예의 선도이다. 매칭 네트워크 모델 (302) 의 예는 도 5를 참조하여 이하에 예시된다. 매칭 네트워크 모델 (302) 은 P가 0보다 큰 정수인 다수의 모듈들 1 내지 P까지를 포함한다. 모듈 1은 고정 (fixed) 직렬 레지스터 (R1s), 고정 직렬 인덕터 (L1s), 및 고정 직렬 커패시터 (C1s) 를 포함한다. 모듈 1은 고정 션트 레지스터 (R1p), 고정 션트 인덕터 (L1p), 및 고정 션트 커패시터 (C1p) 를 더 포함한다. 게다가, 모듈 2는 고정 직렬 레지스터 (R2s), 고정 직렬 인덕터 (L2s), 및 고정 직렬 커패시터 (C2s) 를 포함한다. 모듈 2은 고정 션트 레지스터 (R2p), 고정 션트 인덕터 (L2p), 및 고정 션트 커패시터 (C2p) 를 더 포함한다. 더욱이, 모듈 3은 고정 직렬 레지스터 (R3s), 고정 직렬 인덕터 (L3s), 및 고정 직렬 커패시터 (C3s) 를 포함한다. 모듈 3은 고정 션트 레지스터 (R3p), 고정 션트 인덕터 (L3p), 및 고정 션트 커패시터 (C3p) 를 더 포함한다. 매칭 네트워크 모델 (302) 은 임피던스 매칭 네트워크 1의 일부의 컴퓨터-생성된 모델이다. 예를 들어, 매칭 네트워크 모델 (302) 은 x ㎒ RF 생성기, 또는 y ㎒ RF 생성기, 또는 z ㎒ RF 생성기에 연결된 임피던스 매칭 네트워크 1의 분기 회로의 컴퓨터-생성된 모델이다. 매칭 네트워크 모델 (302) 은 호스트 컴퓨터 시스템 (112) 의 프로세서에 의해 생성된다.3 is a diagram of an embodiment of a host computer system 112 for illustrating the determination of parameters of the matching network model 302. As shown in FIG. An example of matching network model 302 is illustrated below with reference to FIG. Matching network model 302 includes a number of modules 1 to P, where P is an integer greater than zero. Module 1 includes a fixed serial resistor R1s, a fixed series inductor L1s, and a fixed series capacitor C1s. Module 1 further includes a fixed shunt resistor R1p, a fixed shunt inductor L1p, and a fixed shunt capacitor C1p. In addition, the module 2 includes a fixed serial resistor R2s, a fixed series inductor L2s, and a fixed series capacitor C2s. Module 2 further includes a fixed shunt resistor R2p, a fixed shunt inductor L2p, and a fixed shunt capacitor C2p. Furthermore, the module 3 includes a fixed serial resistor R3s, a fixed series inductor L3s, and a fixed series capacitor C3s. Module 3 further includes a fixed shunt resistor R3p, a fixed shunt inductor L3p, and a fixed shunt capacitor C3p. The matching network model 302 is a computer-generated model of a portion of the impedance matching network 1. For example, the matching network model 302 is a computer-generated model of an x ㎒ RF generator, or a y ㎒ RF generator, or a branch circuit of an impedance matching network 1 coupled to a z ㎒ RF generator. The matching network model 302 is generated by the processor of the host computer system 112.

매칭 네트워크 모델 (302) 은 임피던스 매칭 네트워크 1의 일부인 분기 회로로부터 유도되고, 예를 들어, 임피던스 매칭 네트워크 1의 부분의 분기 회로를 나타내는 등한다. 예를 들어, x ㎒ RF 생성기가 임피던스 매칭 네트워크 1의 일부인 분기 회로에 연결된 경우, 매칭 네트워크 모델 (302) 은 임피던스 매칭 네트워크 1의 회로를 나타내고, 예를 들어, 임피던스 매칭 네트워크 1의 회로의 컴퓨터-생성된 모델인 등한다. 다른 예로서, 매칭 네트워크 모델 (302) 은 임피던스 매칭 네트워크 1의 회로 컴포넌트들과 동일한 수의 회로 컴포넌트들을 가지지 않는다. 매칭 네트워크 모델 (302) 은 임피던스 매칭 네트워크 1의 분기 회로의 회로 컴포넌트들의 수보다 적은 수의 회로 엘리먼트들을 가진다.The matching network model 302 is derived from a branch circuit that is part of the impedance matching network 1 and represents, for example, a branch circuit of the portion of the impedance matching network 1. For example, if the x MHz RF generator is connected to a branch circuit that is part of the impedance matching network 1, the matching network model 302 represents the circuit of the impedance matching network 1, And the generated model. As another example, the matching network model 302 does not have the same number of circuit components as the circuit components of the impedance matching network 1. The matching network model 302 has fewer circuit elements than the number of circuit components of the branch circuit of the impedance matching network 1.

일부 실시예들에서, 매칭 네트워크 모델 (302) 은 임피던스 매칭 네트워크 1의 일부의 단순화된 형태이다. 예를 들어, 임피던스 매칭 네트워크 1의 분기 회로의 복수의 가변 커패시터들의 가변 커패시턴스들은 임피던스 매칭 모델의 하나 이상의 가변 용량성 (capacitive) 엘리먼트들에 의해 나타내어진 결합된 가변 커패시턴스로 결합되고 그리고/또는 임피던스 매칭 네트워크 1의 분기 회로의 복수의 고정 인덕터들의 고정 인덕턴스들은 임피던스 매칭 모델의 하나 이상의 고정 유도성 (inductive) 엘리먼트들에 의해 나타내어진 결합된 고정 인덕턴스로 결합되고 그리고/또는 임피던스 매칭 네트워크 1의 분기 회로의 복수의 고정 레지스터들의 고정 레지스턴스들은 임피던스 매칭 모델 (302) 의 하나 이상의 고정 저항성 (resistive) 엘리먼트들에 의해 나타내어진 결합된 고정 레지스턴스로 결합된다. 예시를 위해, 직렬로 있는 커패시터들의 커패시턴스들은 복수의 인버팅된 커패시턴스들을 생성하도록 커패시턴스들 각각을 인버팅하고, 인버팅된 결합된 커패시턴스를 생성하도록 인버팅된 커패시턴스들을 더하고, 그리고 결합된 커패시턴스를 생성하도록 인버팅된 결합된 커패시턴스를 인버팅함으로써 결합된다. 다른 예시로서, 직렬로 연결된 복수의 인덕터들의 인턱턴스들은 결합된 인덕턴스를 생성하도록 더해지고 직렬로 있는 복수의 레지스터들의 레지스턴스들은 결합된 레지스턴스를 생성하도록 결합된다. 임피던스 매칭 네트워크 1의 일부의 모든 고정 커패시터들의 전체 고정 커패시턴스는 매칭 네트워크 모델 (302) 의 하나 이상의 고정 용량성 엘리먼트들의 결합된 고정 커패시턴스로 결합된다. 매칭 네트워크 모델 (302) 의 다른 예들은 출원번호 제 14/716,797 호를 가지는 특허 출원에서 그리고 출원번호 제 14/245,803 호를 가지는 특허 출원에서 제공된다. 또한, 임피던스 매칭 네트워크로부터 매칭 네트워크 모델을 생성하는 방식은 출원번호 제 14/245,803 호를 가지는 특허 출원에서 기술된다.In some embodiments, the matching network model 302 is a simplified form of a portion of the impedance matching network 1. For example, the variable capacitances of the plurality of variable capacitors of the branch circuit of the impedance matching network 1 may be combined into a combined variable capacitance represented by one or more variable capacitive elements of the impedance matching model and / The fixed inductances of the plurality of fixed inductors of the branch circuit of the network 1 are coupled to the combined fixed inductance represented by one or more fixed inductive elements of the impedance matching model and / The fixed resistances of the plurality of fixed resistors are combined into a combined fixed resistance represented by one or more fixed resistive elements of the impedance matching model 302. For purposes of illustration, the capacitances of the capacitors in series are determined by inverting each of the capacitances to produce a plurality of inverted capacitances, adding the inverted capacitances to produce the inverted combined capacitances, and generating the combined capacitances Lt; RTI ID = 0.0 > inverted < / RTI > As another example, the inductances of a plurality of inductors coupled in series are added to produce a combined inductance, and the resistances of the plurality of resistors in series are combined to produce a combined resistance. The total fixed capacitance of all the fixed capacitors of a portion of the impedance matching network 1 is coupled to the combined fixed capacitance of one or more fixed capacitive elements of the matching network model 302. [ Other examples of matching network model 302 are provided in a patent application having application number 14 / 716,797 and in a patent application having application number 14 / 245,803. A method for generating a matching network model from an impedance matching network is also described in a patent application having Application Serial No. 14 / 245,803.

일부 실시예들에서, 고정 파라미터, 예를 들어, 레지스턴스, 커패시턴스, 인덕턴스 등은 가변적이지 않는 것을 주의해야한다. 예를 들어, 고정 파라미터는 웨이퍼를 프로세싱하는 동안 구동 어셈블리를 사용하여 가변될 수 없다. 비교하면, 가변 파라미터의 값은 웨이퍼의 프로세싱 동안 변경된다.It should be noted that in some embodiments, the fixed parameters, e.g., resistances, capacitances, inductances, etc., are not variable. For example, the fixed parameters can not be varied using the drive assembly during processing of the wafer. In comparison, the value of the variable parameter is changed during processing of the wafer.

다양한 실시예들에서, 매칭 네트워크 모델 (302) 은 임피던스 매칭 네트워크 1의 일부의 토폴로지 (topology) 와 같이 동일한 토폴로지, 예를 들어, 회로 엘리먼트들 사이의 연결들, 회로 엘리먼트들의 수 등을 가진다. 예를 들어, 임피던스 매칭 네트워크 1의 분기 회로가 인덕터와 직렬로 커플링된 커패시터를 포함하면, 매칭 네트워크 모델 (302) 은 인덕터와 직렬로 커플링된 커패시터를 포함한다. 이 예에서, 임피던스 매칭 네트워크 1의 분기 회로 및 매칭 네트워크 모델 (302) 의 인덕터들은 동일한 값을 가지고 임피던스 매칭 네트워크 1의 분기 회로 및 매칭 네트워크 모델 (302) 의 커패시터들은 동일한 값을 가진다. 다른 예에서, 임피던스 매칭 네트워크 1의 일부가 인덕터와 병렬로 커플링된 커패시터를 포함하면, 매칭 네트워크 모델 (302) 은 인덕터와 병렬로 커플링된 커패시터를 포함한다. 이 예에서, 임피던스 매칭 네트워크 1의 분기 회로 및 매칭 네트워크 모델 (302) 의 인덕터들은 동일한 값을 가지고 임피던스 매칭 네트워크 1의 분기 회로 및 매칭 네트워크 모델 (302) 의 커패시터들은 동일한 값을 가진다. 다른 예에서, 매칭 네트워크 모델 (302) 은 임피던스 매칭 네트워크 1의 회로 컴포넌트들의 수 및 유형과 동일한 수 및 동일한 유형의 회로 엘리먼트들을 가지고 회로 컴포넌트들 사이의 연결들의 유형과 동일한 유형의 회로 엘리먼트들 사이의 연결들을 가진다. 회로 엘리먼트들의 유형들의 예들은 레지스터들, 인덕터들, 및 커패시터들을 포함하고, 연결들의 유형의 예들은 직렬, 병렬 등을 포함한다. In various embodiments, the matching network model 302 has the same topology, e.g., connections between circuit elements, number of circuit elements, etc., such as the topology of a portion of the impedance matching network 1. For example, if the branch circuit of the impedance matching network 1 includes a capacitor coupled in series with the inductor, the matching network model 302 includes a capacitor coupled in series with the inductor. In this example, the branch circuits of the impedance matching network 1 and the inductors of the matching network model 302 have the same value, and the branch circuits of the impedance matching network 1 and the capacitors of the matching network model 302 have the same value. In another example, if a portion of the impedance matching network 1 includes a capacitor coupled in parallel with the inductor, the matching network model 302 includes a capacitor coupled in parallel with the inductor. In this example, the branch circuits of the impedance matching network 1 and the inductors of the matching network model 302 have the same value, and the branch circuits of the impedance matching network 1 and the capacitors of the matching network model 302 have the same value. In another example, the matching network model 302 may have the same number and type of circuit components as the number and type of circuit components of the impedance matching network 1, and between the circuit elements of the same type as the types of connections between the circuit components. Connections. Examples of types of circuit elements include resistors, inductors, and capacitors, and examples of types of connections include series, parallel, and the like.

방법 (303) 은 호스트 컴퓨터 시스템 (112) 의 프로세서에 의해 실행된다. 프로세서는 네트워크 케이블을 통해 네트워크 분석기 (102) 로부터 측정된 부하 임피던스 (Zo1m) 및 측정된 부하 임피던스 (ZoNm) 를 수신한다. 프로세서는 주파수 (f11), 결합된 가변 커패시턴스 (C11), 고정 인덕턴스들 (L1s, L1p, L2s, L2p), 고정 레지스턴스들 (R1s, R1p, R2s, R2p), 및 고정 커패시턴스들 (C1s, C1p, C2s, C2p) 을 포함하는 파라미터들을 가지도록 매칭 네트워크 모델 (302) 을 초기화한다. 도 3을 기술하는 목적들을 위해, 나머지 모듈들 3 내지 P 모두를 가짐 없이 모듈 1 및 모듈 2를 가지는 매칭 네트워크 모델 (302) 이 사용된다. 일부 실시예들에서, 결합된 가변 커패시턴스 (C11) 대신에, 매칭 네트워크 모델 (302) 이 합 (Sc1) 을 가지도록 초기화되고, 그리고 주파수 (f11) 대신에, 매칭 네트워크 모델 (302) 이 합 (Sf1) 을 가지도록 초기화된다.The method 303 is executed by a processor of the host computer system 112. The processor receives the measured load impedance Zo1m and the measured load impedance ZoNm from network analyzer 102 over a network cable. The processor has a frequency f11, a combined variable capacitance C11, fixed inductances L1s, L1p, L2s, L2p, fixed resistances R1s, R1p, R2s, R2p, and fixed capacitances C1s, C1p, RTI ID = 0.0 > C2s, C2p). ≪ / RTI > For purposes of describing FIG. 3, a matching network model 302 with module 1 and module 2 is used without all of the remaining modules 3 - P. In some embodiments, instead of the combined variable capacitance C11, the matching network model 302 is initialized to have a sum Sc1, and instead of the frequency f11, the matching network model 302 is summed Sf1).

예로서, 매칭 네트워크 모델 (302) 에 적용된 파라미터들 (C11 및 f11) 은, 임피던스 매칭 네트워크 1이 부하 임피던스 픽스처 1에 연결된 후 네트워크 분석기 (102) 에 의해 주파수 (f11) 를 가지는 RF 신호가 공급되고, C11의 결합된 가변 커패시턴스를 가지는 하나 이상의 모터-구동 (motor-driven) 커패시터들을 가지고, C1s의 결합된 고정 커패시턴스를 가지는 하나 이상의 고정 커패시터들을 가지고, C2s의 결합된 고정 커패시턴스를 가지는 하나 이상의 고정 커패시터들을 가지고, C1p의 결합된 고정 커패시턴스를 가지는 하나 이상의 커패시터들을 가지고, C2p의 결합된 고정 커패시턴스를 가지는 하나 이상의 고정 커패시터들을 가지고, R1s의 결합된 고정 레지스턴스를 가지는 하나 이상의 고정 레지스터들을 가지고, R2s의 결합된 고정 레지스턴스를 가지는 하나 이상의 고정 레지스터들을 가지고, R1p의 결합된 고정 레지스턴스를 가지는 하나 이상의 고정 레지스터들을 가지고, R2p의 결합된 고정 레지스턴스를 가지는 하나 이상의 고정 레지스터들을 가지고, L1s의 결합된 고정 인덕턴스를 가지는 하나 이상의 고정 인덕터들을 가지고, L2s의 결합된 고정 인덕턴스를 가지는 하나 이상의 고정 인덕터들을 가지고, L1p의 결합된 고정 인덕턴스를 가지는 하나 이상의 고정 인덕터들을 가지고, L2p의 결합된 고정 인덕턴스를 가지는 하나 이상의 고정 인덕터들을 가지는 경우, 임피던스 매칭 네트워크 1을 닮는다.By way of example, the parameters C11 and f11 applied to the matching network model 302 are such that the RF signal having the frequency f11 is supplied by the network analyzer 102 after the impedance matching network 1 is connected to the load impedance fixture 1 , One or more fixed capacitors having one or more fixed capacitors having a combined fixed capacitance of Cs and one or more motor-driven capacitors having a combined variable capacitance of C11, with one or more fixed capacitors having a combined fixed capacitance of Cs, With one or more fixed capacitors having a combined fixed capacitance of Cp, with one or more fixed capacitors having a combined fixed capacitance of Cp, with one or more fixed resistors having a combined fixed resistance of Rs, Having at least one fixed resistance With one or more fixed inductors having a combined fixed resistance of R1p and one or more fixed resistors with a combined fixed resistance of R2p and having one or more fixed inductors with a combined fixed inductance of L1s , One or more fixed inductors having a combined fixed inductance of L2p and one or more fixed inductors having a combined fixed inductance of L1p and one or more fixed inductors having a combined fixed inductance of L2p, 1.

일부 실시예들에서, 매칭 네트워크 모델 (302) 의 많은 엘리먼트들의 고정 파라미터 값들은 0이거나 매칭 네트워크 모델 (302) 은 엘리먼트들의 고정 파라미터 값들에 민감하지 않다. 예를 들어, 매칭 네트워크 모델 (302) 이 민감하지 않은 고정 엘리먼트의 값 내의 큰 변화는 매칭 네트워크 모델 (302) 의 임피던스의 큰 변화를 생성하지 않는다.In some embodiments, the fixed parameter values of many elements of the matching network model 302 are zero or the matching network model 302 is not sensitive to the fixed parameter values of the elements. For example, a large change in the value of a non-sensitive fixed element of the matching network model 302 does not produce a large change in the impedance of the matching network model 302. [

일부 실시예들에서, 고정 엘리먼트, 예를 들어, 인덕터, 레지스터, 커패시터 등은, 예를 들어, 모터 등을 사용하여 변하지 않는 고정 파라미터 값을 가진다. In some embodiments, the fixed element, e.g., an inductor, a resistor, a capacitor, etc., has a fixed parameter value that does not change using, for example, a motor or the like.

프로세서는 매칭 네트워크 모델 (302) 을 통해 측정된 부하 임피던스 (Zo1m) 를 역으로 전파함으로써 (back propagating), 측정된 부하 임피던스 (Zo1m) 및 파라미터들 (f11, C11, L1s, L1p, L2s, L2p, R1s, R1p, R2s, R2p, C1s, C1p, C2s, 및 C2p) 로부터 매칭 네트워크 모델 (302) 의 입력부에서 임피던스인, 예측된 입력 임피던스 (Zi1p) 를 계산한다. 예를 들어, 프로세서는 주파수 (f11) 로부터 그리고 커패시턴스 (C11) 로부터 가변 커패시턴스 (C11) 를 가지는 하나 이상의 용량성 엘리먼트들의 임피던스 (ZC11) 를 계산하고, 주파수 (f11) 로부터 그리고 인덕턴스 (L1s) 로부터 인덕터 (L1s) 의 임피던스 (ZL11s) 를 계산하고, 주파수 (f11) 로부터 그리고 인덕턴스 (L2s) 로부터 인덕터 (L2s) 의 임피던스 (ZL21s) 를 계산하고, 주파수 (f11) 로부터 그리고 인덕턴스 (L1p) 로부터 인덕터 (L1p) 의 임피던스 (ZL11p) 를 계산하고, 주파수 (f11) 로부터 그리고 인덕턴스 (L2p) 로부터 인덕터 (L2p) 의 임피던스 (ZL21p) 를 계산하고, 주파수 (f11) 로부터 그리고 커패시턴스 (C1s) 로부터 커패시터 (C1s) 의 임피던스 (ZC11s) 를 계산하고, 주파수 (f11) 로부터 그리고 커패시턴스 (C2s) 로부터 커패시터 (C2s) 의 임피던스 (ZC21s) 를 계산하고, 주파수 (f11) 로부터 그리고 커패시턴스 (C1p) 로부터 커패시터 (C1p) 의 임피던스 (ZC11p) 를 계산하고, 주파수 (f11) 로부터 그리고 커패시턴스 (C2p) 로부터 커패시터 (C2p) 의 임피던스 (ZC21p) 를 계산하고, 레지스터 (R1s) 의 레지스턴스 (R1s) 가 되는 임피던스 (ZR1s) 를 계산하고, 레지스터 (R2s) 의 레지스턴스 (R2s) 가 되는 임피던스 (ZR2s) 를 계산하고, 레지스터 (R1p) 의 레지스턴스 (R1p) 가 되는 임피던스 (ZR1p) 를 계산하고, 레지스터 (R2p) 의 레지스턴스 (R2p) 가 되는 임피던스 (ZR2p) 를 계산한다. 예시하기 위해, 프로세서는 ω가 2πf11과 동일한, (1/jωC) 이 되는 커패시터의 임피던스를 계산하고, 그리고 jωL이 되는 인덕터의 임피던스를 계산한다. 프로세서는 측정된 부하 임피던스 (Zo1m) 와 임피던스들 (ZC11, ZC11s, ZC21s, ZC11p, ZC21p, ZL11s, ZL21s ZL11p, ZL21p, ZR1s, ZR2s, ZR1p, 및 ZR2p) 을 결합, 예를 들어, 더하고, 빼고, 방향성 합 (directional sum) 을 생성함으로써 예측된 입력 임피던스 (Zi1p) 를 계산한다. 예를 들어, 매칭 네트워크 모델 (302) 이 모듈들 2 내지 P 없이 모듈 1을 포함하는 경우, 임피던스들 (ZC11p, ZL11p, 및 ZR1p) 의 방향성 합은 임피던스들 (ZC11p, ZL11p, 및 ZR1p) 의 합이다. 이 예에서, 임피던스들의 합은 임피던스들 (ZC11p, ZL11p, ZR1p, ZR1s, ZL11s, 및 ZC11s) 의 방향 합을 생성하도록 임피던스들 (ZR1s, ZL11s, 및 ZC11s) 의 합에 더해진다.The processor back propagates the measured load impedance Zo1m through the matching network model 302 and calculates the measured load impedance Zo1m and the parameters f11, C11, L1s, L1p, L2s, L2p, Which is an impedance at the input of the matching network model 302, from the input impedance Rs, R1s, R1p, R2s, R2p, C1s, C1p, C2s, and C2p. For example, the processor may calculate the impedance ZC11 of one or more capacitive elements having a variable capacitance C11 from the frequency f11 and from the capacitance C11, and calculate the impedance ZC11 from the frequency f11 and from the inductance Lls, The impedance ZL11s of the inductor L2s is calculated from the frequency f11 and from the inductance L2s and the impedance ZL21s of the inductor L1s is calculated from the frequency f11 and from the inductance L1p, And calculates the impedance ZL21p of the inductor L2p from the frequency f11 and from the inductance L2p to calculate the impedance ZL21p of the capacitor C1s from the frequency f11 and from the capacitance C1s The impedance ZC11s is calculated and the impedance ZC21s of the capacitor C2s is calculated from the frequency f11 and from the capacitance C2s, The impedance ZC11p of the capacitor C1p is calculated from the capacitance C1p and the impedance ZC21p of the capacitor C2p is calculated from the frequency f11 and from the capacitance C2p, The impedance ZR1s to be the resistor R1s is calculated and the impedance ZR2s to be the resistance R2s of the resistor R2s is calculated to calculate the impedance ZR1p to be the resistance R1p of the resistor R1p And the impedance ZR2p which becomes the resistance R2p of the resistor R2p is calculated. To illustrate, the processor computes the impedance of a capacitor with ω equal to 2πf11, (1 / jωC), and calculates the impedance of the inductor to be jωL. The processor combines, for example, adds the measured load impedance (Zo1m) and impedances (ZC11, ZC11s, ZC21s, ZC11p, ZC21p, ZL11s, ZL21s ZL11p, ZL21p, ZR1s, ZR2s, ZR1p, and ZR2p) And calculates a predicted input impedance Zi1p by generating a directional sum. For example, if matching network model 302 includes module 1 without modules 2 through P, then the directional sum of the impedances ZC11p, ZL11p, and ZR1p is the sum of the impedances ZC11p, ZL11p, and ZR1p to be. In this example, the sum of the impedances is added to the sum of the impedances ZR1s, ZL11s, and ZC11s to produce the direction sum of the impedances ZC11p, ZL11p, ZR1p, ZR1s, ZL11s, and ZC11s.

유사하게, 프로세서는 출력부 (304) 에 적용된 측정된 부하 임피던스 (ZoNm) 및 매칭 네트워크 모델 (302) 을 통해 측정된 부하 임피던스 (ZoNm) 를 역으로 전파함으로써 매칭 네트워크 모델 (302) 의 파라미터들로부터 매칭 네트워크 모델 (302) 의 입력부 (306) 에서 예측된 입력 임피던스 (ZiNp) 를 계산한다. 예를 들어, 프로세서는 f11로부터 fN1로, C11로부터 CN1로 매칭 네트워크 모델 (302) 의 파라미터들을 변화시키나, 고정 파라미터들, 예를 들어, L1s, L1p, L2s, L2p, R1s, R1p, R2s, R2p, C1s, C1p, C2s, 및 C2p은 변화되지 않고 남긴다. 가중된 커패시턴스들 및 가중된 주파수들이 사용된 실시예들에서, 프로세서는 Sf1로부터 SfN으로, Sc1로부터 ScN으로 매칭 네트워크 모델 (302) 의 파라미터들을 변화시킨다.Similarly, the processor may determine from the parameters of the matching network model 302 by inverse propagating the measured load impedance ZoNm applied to the output 304 and the measured load impedance ZoNm through the matching network model 302 The input impedance ZiNp predicted at the input 306 of the matching network model 302 is calculated. For example, the processor may change the parameters of the matching network model 302 from f11 to fN1, from C11 to CN1, but may also include fixed parameters such as L1s, L1p, L2s, L2p, R1s, R1p, R2s, R2p , C1s, C1p, C2s, and C2p remain unchanged. In embodiments where weighted capacitances and weighted frequencies are used, the processor changes the parameters of the matching network model 302 from Sf1 to SfN, Sc1 to ScN.

매칭 네트워크 모델 (302) 에 적용된 파라미터들 (fN1 및 CN1) 은, 임피던스 매칭 네트워크 1이 부하 임피던스 픽스처 N에 연결된 후 네트워크 분석기 (102) 에 의해 주파수 (fN1) 를 가지는 RF 신호가 공급되고, CN1의 결합된 가변 커패시턴스를 가지는 하나 이상의 모터-구동 (motor-driven) 커패시터들을 가지고, C1s의 결합된 고정 커패시턴스를 가지는 하나 이상의 고정 커패시터들을 가지고, C2s의 결합된 고정 커패시턴스를 가지는 하나 이상의 고정 커패시터들을 가지고, C1p의 결합된 고정 커패시턴스를 가지는 하나 이상의 고정 커패시터들을 가지고, C2p의 결합된 고정 커패시턴스를 가지는 하나 이상의 고정 커패시터들을 가지고, R1s의 결합된 고정 레지스턴스를 가지는 하나 이상의 고정 레지스터들을 가지고, R2s의 결합된 고정 레지스턴스를 가지는 하나 이상의 고정 레지스터들을 가지고, R1p의 결합된 고정 레지스턴스를 가지는 하나 이상의 고정 레지스터들을 가지고, R2p의 결합된 고정 레지스턴스를 가지는 하나 이상의 고정 레지스터들을 가지고, L1s의 결합된 고정 인덕턴스를 가지는 하나 이상의 고정 인덕터들을 가지고, L2s의 결합된 고정 인덕턴스를 가지는 하나 이상의 고정 인덕터들을 가지고, L1p의 결합된 고정 인덕턴스를 가지는 하나 이상의 고정 인덕터들을 가지고, L2p의 결합된 고정 인덕턴스를 가지는 하나 이상의 고정 인덕터들을 가지는 경우, 임피던스 매칭 네트워크 1을 닮는다. 프로세서는 주파수 (fN1) 로부터 그리고 커패시턴스 (CN1) 로부터 가변 커패시턴스 (CN1) 를 가지는 하나 이상의 용량성 엘리먼트들의 임피던스 (ZCN1) 를 계산하고, 주파수 (fN1) 로부터 그리고 인덕턴스 (L1s) 로부터 인덕터 (L1s) 의 임피던스 (ZL1Ns) 를 계산하고, 주파수 (fN1) 로부터 그리고 인덕턴스 (L2s) 로부터 인덕터 (L2s) 의 임피던스 (ZL2Ns) 를 계산하고, 주파수 (fN1) 로부터 그리고 인덕턴스 (L1p) 로부터 인덕터 (L1p) 의 임피던스 (ZL1Np) 를 계산하고, 주파수 (fN1) 로부터 그리고 인덕턴스 (L2p) 로부터 인덕터 (L2p) 의 임피던스 (ZL2Np) 를 계산하고, 주파수 (fN1) 로부터 그리고 커패시턴스 (C1s) 로부터 커패시터 (C1s) 의 임피던스 (ZC1Ns) 를 계산하고, 주파수 (fN1) 로부터 그리고 커패시턴스 (C2s) 로부터 커패시터 (C2s) 의 임피던스 (ZC2Ns) 를 계산하고, 주파수 (fN1) 로부터 그리고 커패시턴스 (C1p) 로부터 커패시터 (C1p) 의 임피던스 (ZC1Np) 를 계산하고, 주파수 (fN1) 로부터 그리고 커패시턴스 (C2p) 로부터 커패시터 (C2p) 의 임피던스 (ZC2Np) 를 계산하고, 임피던스들 (ZR1s, ZR2s, ZR1p) 및 임피던스 (ZR2p) 를 계산한다. 예시하기 위해, 프로세서는 ω가 2πfN1과 동일한, (1/jωC) 이 되는 커패시터의 임피던스를 계산하고, 그리고 jωL이 되는 인덕터의 임피던스를 계산한다. 프로세서는, 출력 측정된 부하 (Zo1m) 와 임피던스들 (ZC11, ZC11s, ZC21s, ZC11p, ZC21p, ZL11s, ZL21s ZL11p, ZL21p, ZR1s, ZR2s, ZR1p, 및 ZR2p) 을 결합함으로써 예측된 입력 임피던스 (Zi1p) 를 계산하기 위한 상기 기술된 방식과 유사한 방식으로 예측된 입력 임피던스 (ZiNp) 를 결정하도록 출력 측정된 부하 (ZoNm) 로부터 임피던스들 (ZCN1, ZC1Ns, ZC2Ns, ZC1Np, ZC2Np, ZL1Ns, ZL2Ns ZL1Np, ZL2Np, ZR1s, ZR2s, ZR1p, 및 ZR2p) 을 결합, 예를 들어, 더하고, 뺌으로써 예측된 입력 임피던스 (ZiNp) 를 계산한다.The parameters fN1 and CN1 applied to the matching network model 302 are supplied to the network analyzer 102 after the impedance matching network 1 is connected to the load impedance fixture N and an RF signal having a frequency fN1 is supplied, Having one or more fixed capacitors having a combined fixed capacitance of C2s, one or more fixed capacitors having a combined fixed capacitance of C1s, one or more motor-driven capacitors having a combined variable capacitance, With one or more fixed capacitors having a combined fixed resistance of Rs and one or more fixed capacitors having a combined fixed capacitance of Rs, with one or more fixed capacitors having a combined fixed capacitance of Rs, One or more resistances With one or more fixed inductors having a combined fixed resistance of R1p and one or more fixed resistors having a combined fixed resistance of R2p and having a fixed fixed inductance of L1s, With one or more fixed inductors having a combined fixed inductance of L2p and one or more fixed inductors with a combined fixed inductance of L1p and having one or more fixed inductances with a combined fixed inductance of L2p, . The processor calculates the impedance ZCN1 of the at least one capacitive element having a variable capacitance CN1 from the frequency fN1 and from the capacitance CN1 and calculates the impedance ZCN1 of the inductor L1s from the frequency fNl and from the inductance Lls. The impedance ZL1Ns is calculated from the frequency fN1 and the inductance L2Ls of the inductor L2s from the inductance L2s and the impedance ZL2Ns of the inductor L1p is calculated from the frequency fNl and from the inductance L1p ZL1Np of the capacitor C1s and calculates the impedance ZL2Np of the inductor L2p from the frequency fN1 and from the inductance L2p and calculates the impedance ZC1Ns of the capacitor C1s from the frequency fN1 and from the capacitance C1s, And calculates the impedance ZC2Ns of the capacitor C2s from the frequency fN1 and from the capacitance C2s to calculate the impedance ZC2Ns from the frequency fN1 The impedance ZC1Np of the capacitor C1p is calculated from the capacitance C1p and the impedance ZC2Np of the capacitor C2p is calculated from the frequency fN1 and from the capacitance C2p and the impedances ZR1s, ZR2s, ZR1p And the impedance ZR2p. To illustrate, the processor computes the impedance of a capacitor, where ω is equal to 2πfN1, (1 / jωC), and calculates the impedance of the inductor to be jωL. The processor calculates the predicted input impedance Zi1p by combining the output measured load Zo1m with the impedances ZC11, ZC11s, ZC21s, ZC11p, ZC21p, ZL11s, ZL21s ZL11p, ZL21p, ZR1s, ZR2s, ZR1p, (ZCN1, ZC1Ns, ZC2Ns, ZC1Np, ZC2Np, ZL1Ns, ZL2Ns ZL1Np, ZL2Np, ZL1Np, ZL2Np, ZR1s, ZR2s, ZR1p, and ZR2p) are combined, for example, added, and the predicted input impedance ZiNp is calculated.

방법 (303) 의 동작 (308) 에서, 호스트 컴퓨터 시스템 (112) 의 프로세서는 예측된 입력 임피던스 (Zi1p) 가 측정된 입력 임피던스 (Zi1m) 로부터 미리-결정된 범위 이내인지 그리고 예측된 입력 임피던스 (ZiNp) 가 측정된 입력 임피던스 (ZiNm) 로부터 미리-결정된 범위 이내인지를 결정한다. 예를 들어, 예측된 입력 임피던스 (Zi1p) 가 측정된 입력 임피던스 (Zi1m) 로부터 미리-결정된 범위 이내인지 그리고 예측된 입력 임피던스 (ZiNp) 가 측정된 입력 임피던스 (ZiNm) 로부터 미리-결정된 범위 이내인지의 결정들은 프로세서에 의해 동시에, 예를 들어, 동일한 시간에서, 동일한 클럭 사이클 (clock cycle) 동안 등에 실행된다. 동작 (308) 은 모든 부하 임피던스 픽스처들에 대해 수행된다. 예를 들어, 세 개의 부하 임피던스 픽스처들 1, 2, 및 3이 부하 임피던스 픽스처들 1 및 2가 사용되는 상기 기술된 방식으로 사용되면, 프로세서는 예측된 입력 임피던스 (Zi1p) 가 측정된 입력 임피던스 (Zi1m) 로부터 미리-결정된 범위 이내인지, 예측된 입력 임피던스 (Zi2p) 가 측정된 입력 임피던스 (Zi2m) 로부터 미리-결정된 범위 이내인지, 그리고 예측된 입력 임피던스 (ZiNp) 가 측정된 입력 임피던스 (ZiNm) 로부터 미리-결정된 범위 이내인지를 결정한다. 측정된 입력 임피던스 (Zi2m) 는, 부하 임피던스 픽스처 2가 RF 케이블 (108) (도 1b) 을 통해 임피던스 매칭 네트워크 1에 연결되고 임피던스 매칭 네트워크 1이 또한 RF 케이블 (106) (도 1b) 을 통해 네트워크 분석기 (102) 에 연결된 경우, 네트워크 분석기 (102) 에 의해 측정된다. 게다가, 예측된 입력 임피던스 (Zi2p) 는 예측된 입력 임피던스들 (Zi1p 및 ZiNp) 이 프로세서에 의해 계산된 유사한 방식으로 프로세서에 의해 계산된다.In operation 308 of method 303, the processor of the host computer system 112 determines whether the predicted input impedance Zi1p is within a pre-determined range from the measured input impedance Zi1m and the predicted input impedance ZiNp, Is within a pre-determined range from the measured input impedance ZiNm. For example, if the predicted input impedance Zi1p is within a pre-determined range from the measured input impedance Zi1m and if the predicted input impedance ZiNp is within a pre-determined range from the measured input impedance ZiNm The decisions are performed simultaneously by the processor, e.g., at the same time, during the same clock cycle, and so on. Operation 308 is performed for all load impedance fixtures. For example, if three load impedance fixtures 1, 2, and 3 are used in the manner described above in which the load impedance fixtures 1 and 2 are used, the processor determines that the predicted input impedance Zi1p is equal to the measured input impedance Zi1m is within a pre-determined range and the predicted input impedance Zi2p is within a pre-determined range from the measured input impedance Zi2m and the predicted input impedance ZiNp is within a predetermined range from the measured input impedance ZiNm And determines whether it is within a pre-determined range. The measured input impedance Zi2m is determined such that the load impedance fixture 2 is connected to the impedance matching network 1 via the RF cable 108 (Fig. 1B) and the impedance matching network 1 is also connected to the network 10 via the RF cable 106 When connected to the analyzer 102, it is measured by the network analyzer 102. In addition, the predicted input impedance Zi2p is calculated by the processor in a similar manner as the predicted input impedances Zi1p and ZiNp are calculated by the processor.

예측된 입력 임피던스 (Zi1p) 가 측정된 입력 임피던스 (Zi1m) 로부터 미리-결정된 범위 내이고 예측된 입력 임피던스 (ZiNp) 가 측정된 입력 임피던스 (ZiNm) 로부터 미리-결정된 범위 내인 것을 결정할 때, 방법 (303) 의 동작 (310) 에서 프로세서는 임피던스 매칭 네트워크 1과 함께 사용하기 위해 고정 파라미터들 (L1s, L1p, L2s, L2p, R1s, R1p, R2s, R2p, C1s, C1p, C2s, 및 C2p) 을 매칭 네트워크 모델 (302) 에 할당한다. 예를 들어, 프로세서는 고정 파라미터들 (L1s, L1p, L2s, L2p, R1s, R1p, R2s, R2p, C1s, C1p, C2s, 및 C2p) 을 임피던스 매칭 네트워크 1의 식별 번호, 예를 들어, ID1 등에 맵핑 (map) 하고, 그리고 호스트 컴퓨터 시스템 (112) 의 메모리 디바이스 내에 맵핑, 파라미터들, 및 식별 번호를 저장한다. 반면에, 예측된 입력 임피던스 (Zi1p) 가 측정된 입력 임피던스 (Zi1m) 로부터 미리-결정된 범위 이내가 아니거나 예측된 입력 임피던스 (ZiNp) 가 측정된 입력 임피던스 (ZiNm) 로부터 미리-결정된 범위 이내가 아니라고 결정한 경우, 프로세서는, 방법 (303) 의 동작 (312) 에서, 하나 이상의 변화된 파라미터들을 생성하도록 하나 이상의 고정 파라미터들 (L1s, L1p, L2s, L2p, R1s, R1p, R2s, R2p, C1s, C1p, C2s, 및 C2p) 을 변화시킨다.When determining that the predicted input impedance Zi1p is within a pre-determined range from the measured input impedance Zi1m and the predicted input impedance ZiNp is within a pre-determined range from the measured input impedance ZiNm, the method 303 L1p, L2s, L2p, R1s, R1p, R2s, R2p, C1s, C1p, C2s, and C2p for use with the impedance matching network 1, To the model 302. For example, the processor may set the fixed parameters (L1s, L1p, L2s, L2p, R1s, R1p, R2s, R2p, C1s, C1p, C2s, and C2p) to the identification number of the impedance matching network 1, Maps, and stores mappings, parameters, and identification numbers in the memory device of the host computer system 112. [ On the other hand, if the predicted input impedance Zi1p is not within the pre-determined range from the measured input impedance Zi1m or the predicted input impedance ZiNp is not within the pre-determined range from the measured input impedance ZiNm L2p, R1s, R1p, R2s, R2p, C1s, C1p, C1p, C1p, C1p, C1p, C1p, C1p, C2s, and C2p.

다양한 실시예들에서, 프로세서는 프로세서에 연결된 입력 디바이스를 통해 사용자에 의해 하나 이상의 파라미터들 (L1s, L1p, L2s, L2p, R1s, R1p, R2s, R2p, C1s, C1p, C2s, 및 C2p) 의 미리-결정된 범위가 제공되고 그리고 하나 이상의 파라미터들은 미리-결정된 범위 내에 있도록 변화된다. 예를 들어, 사용자는 파라미터 (L1s) 가 또한 입력 디바이스를 통해 사용자에 의해 프로세서에 제공되는 값으로부터 5 %만큼 변화할 것을 프로세서에 지시한다. 동작 (312) 동안, 프로세서는 파라미터 (L1s) 의 값을 5 %만큼 변화시킨다. 다른 예로서, 사용자는 파라미터 (C1s) 가 또한 입력 디바이스를 통해 사용자에 의해 프로세서에 제공되는 값으로부터 2 %만큼 변화할 것을 프로세서에 지시한다. 동작 (312) 동안, 프로세서는 파라미터 (C1s) 의 값을 2 %만큼 변화시킨다. 또 다른 예로서, 사용자는 파라미터 (C1s) 가 또한 입력 디바이스를 통해 사용자에 의해 프로세서에 제공되는 값으로부터 0 %만큼 변화할 것을 프로세서에 지시한다. 이 값은 동작 (312) 동안, 프로세서는 파라미터 (C1s) 의 값을 0 %만큼 변화시킨다. In various embodiments, the processor is operable, by the user, to pre-register one or more parameters (L1s, L1p, L2s, L2p, R1s, R1p, R2s, R2p, C1s, C1p, C2s, and C2p) The determined range is provided and the one or more parameters are changed to be within a pre-determined range. For example, the user indicates to the processor that the parameter Lls is also changed by 5% from the value provided by the user to the processor via the input device. During operation 312, the processor changes the value of parameter L1s by 5%. As another example, the user indicates to the processor that the parameter C1s also changes by 2% from the value provided to the processor by the user via the input device. During operation 312, the processor changes the value of parameter Cls by 2%. As another example, the user indicates to the processor that the parameter C1s also changes by 0% from the value provided to the processor by the user via the input device. During operation 312, the processor changes the value of parameter Cls by 0%.

일부 실시예들에서, 하나 이상의 고정 파라미터들 (L1s, L1p, L2s, L2p, R1s, R1p, R2s, R2p, C1s, C1p, C2s, 및 C2p) 을 변화시키는 것 대신에 또는 변화시키는 것에 더하여, 프로세서는, 동작 (312) 에서, 커패시턴스 (C11) 를 변화시킨다. 예를 들어, 커패시턴스 (C11) 는 매칭 네트워크 모델 (302) 의 모듈들 중 하나의 가변 커패시턴스이고 가변 커패시턴스는 임피던스 매칭 네트워크 1의 모터-구동 커패시터를 나타낸다. 이 예에서, 커패시턴스 (C11) 는 상수 항, 1차 항 (linear term), 및 2차 항의 합인 방정식에 의해 나타내어진다. 1차 항은 1차 계수 와 변수의 적 (product), 예를 들어, 모터 축 회전 (motor shaft revolution) 에서의 위치 등이다. 2차 항은 2차 계수와 변수의 제곱의 적이다. 프로세서는, 동작 (312) 에서, 가변 커패시턴스 (C11) 를 변화시키도록 상수, 및/또는 1차 계수, 및/또는 2차 계수의 값을 변화시킨다.In some embodiments, in addition to or instead of changing one or more fixed parameters (L1s, L1p, L2s, L2p, R1s, R1p, R2s, R2p, C1s, C1p, C2s, and C2p) At operation 312, changes the capacitance C11. For example, the capacitance C11 is the variable capacitance of one of the modules of the matching network model 302 and the variable capacitance represents the motor-driven capacitor of the impedance matching network 1. [ In this example, the capacitance C11 is represented by an equation that is a sum of a constant term, a linear term, and a quadratic term. The first term is the product of the first order coefficient and the variable, eg the position in the motor shaft revolution. The second term is the product of the second order coefficient and the square of the variable. The processor changes the value of the constant, and / or the first order coefficient, and / or the second order coefficient to change the variable capacitance C11, at operation 312.

프로세서는 변화된 파라미터들에 대한 예측된 입력 임피던스 (Zi1p) 가 측정된 입력 임피던스 (Zi1m) 로부터 미리-결정된 범위 이내인지 그리고 변화된 파라미터들에 대한 예측된 입력 임피던스 (ZiNp) 가 측정된 입력 임피던스 (ZiNm) 로부터 미리-결정된 범위 이내인지를 결정하도록 하나 이상의 변화된 파라미터들을 사용하여 동작 (308) 을 반복한다. 이 방식으로, 프로세서는 매칭 네트워크 모델 (302) 에 대한 하나 이상의 대응하는 변화된 파라미터들의 하나 이상의 값들을 찾도록 예측된 입력 임피던스 (Zi1p) 가 측정된 입력 임피던스 (Zi1m) 로부터 미리-결정된 범위 내이고 그리고 예측된 입력 임피던스 (ZiNp) 가 측정된 입력 임피던스 (ZiNm) 로부터 미리-결정된 범위 내일 때까지 동작 (308) 을 반복한다. 하나 이상의 대응하는 변화된 파라미터들의 하나 이상의 값들은 그 후 매칭 네트워크 모델 (302) 에 할당된다. 예를 들어, 프로세서는 변화된 파라미터들을 임피던스 매칭 네트워크 1의 식별 번호에 맵핑하고, 그리고 맵핑, 변화된 파라미터들, 및 식별 번호를 호스트 컴퓨터 시스템 (112) 의 메모리 디바이스에 저장한다.The processor determines whether the predicted input impedance Zi1p for the changed parameters is within a pre-determined range from the measured input impedance Zi1m and the predicted input impedance ZiNp for the changed parameters is the measured input impedance ZiNm, (308) using one or more of the changed parameters to determine if it is within a pre-determined range. In this manner, the processor may determine that the predicted input impedance Zi1p to find one or more values of one or more corresponding changed parameters for the matching network model 302 is within a pre-determined range from the measured input impedance Zi1m, Operation 308 is repeated until the predicted input impedance ZiNp is within a pre-determined range from the measured input impedance ZiNm. One or more values of one or more corresponding changed parameters are then assigned to the matching network model 302. For example, the processor maps the changed parameters to the identification number of the impedance matching network 1 and stores the mapping, changed parameters, and identification number in the memory device of the host computer system 112.

일부 실시예들에서, 파라미터의 값은 파라미터와 동일하다는 것을 주의해야 한다. 예를 들어, 파라미터들 (L1s, L1p, L2s, L2p, R1s, R1p, R2s, R2p, C1s, C1p, C2s, 및 C2p) 각각은 값이다. 그리하여, 값이 변화하는 경우, 파라미터는 변화한다.It should be noted that, in some embodiments, the value of the parameter is the same as the parameter. For example, each of the parameters (L1s, L1p, L2s, L2p, R1s, R1p, R2s, R2p, C1s, C1p, C2s, and C2p) is a value. Thus, when the value changes, the parameter changes.

측정된 입력 임피던스 (Zi1m) 가 도 1a의 동작 (132) 에 의해 결정된 것처럼 미리-결정된 임피던스의 미리-결정된 문턱값 이내가 아니고 측정된 입력 임피던스 (ZiNm) 가 도 1b의 동작 (152) 에 의해 결정된 것처럼 미리-결정된 임피던스의 미리-결정된 문턱값 이내가 아닌 실시예들에서, 동작 (308) 은 측정된 입력 임피던스들 (Zi1Qm 및 ZiNQm) (도 1a 및 도 1b를 참조하라) 에 대해 수행된다. 예를 들어, 측정된 입력 임피던스 (Zi1Qm) 에 대해 얻어진 예측된 입력 임피던스 (Zi1p) 가 측정된 입력 임피던스 (Zi1Qm) 의 미리-결정된 범위 이내인지 그리고 측정된 입력 임피던스 (ZiNQm) 에 대해 얻어진 예측된 입력 임피던스 (ZiNp) 가 측정된 입력 임피던스 (ZiNQm) 의 미리-결정된 범위 이내인지가 동작 (308) 에서 결정된다. 예측된 입력 임피던스 (Zi1p) 가 측정된 입력 임피던스 (Zi1Qm) 의 미리-결정된 범위 내인 것 그리고 예측된 입력 임피던스 (ZiNp) 가 측정된 입력 임피던스 (ZiNQm) 의 미리-결정된 범위 내인 것으로 결정할 때, 동작 (310) 이 수행된다. 반면에, 예측된 입력 임피던스 (Zi1p) 가 측정된 입력 임피던스 (Zi1Qm) 의 미리-결정된 범위 이내가 아닌 것 그리고 예측된 입력 임피던스 (ZiNp) 가 측정된 입력 임피던스 (ZiNQm) 의 미리-결정된 범위 이내가 아닌 것으로 결정할 때, 동작 (312) 이 수행된다.If the measured input impedance Zi1m is not within a pre-determined threshold of a pre-determined impedance as determined by operation 132 in FIG. 1A and the measured input impedance ZiNm is determined by operation 152 of FIG. In embodiments that are not within a pre-determined threshold of a pre-determined impedance, such as operation 308, operation 308 is performed on the measured input impedances Zi1Qm and ZiNQm (see Figures Ia and Ib). For example, if the predicted input impedance Zi1p obtained for the measured input impedance Zi1Qm is within a pre-determined range of the measured input impedance Zi1Qm and the predicted input obtained for the measured input impedance ZiNQm It is determined at operation 308 whether the impedance ZiNp is within a pre-determined range of the measured input impedance ZiNQm. When determining that the predicted input impedance Zi1p is within a pre-determined range of the measured input impedance Zi1Qm and that the predicted input impedance ZiNp is within a pre-determined range of the measured input impedance ZiNQm, 310) is performed. On the other hand, if the predicted input impedance Zi1p is not within a pre-determined range of the measured input impedance Zi1Qm and the predicted input impedance ZiNp is within a pre-determined range of the measured input impedance ZiNQm If not, then operation 312 is performed.

도 4는 임피던스 매칭 네트워크 1의 효율의 결정을 예시하기 위한 시스템 (400) 의 실시예의 선도이다. 시스템 (400) 은 네트워크 분석기 (402), 임피던스 매칭 네트워크 1, 부하 임피던스 픽스처 1, 및 호스트 컴퓨터 시스템 (112) 을 포함한다. 호스트 컴퓨터 시스템 (112) 은 네트워크 케이블 (404) 을 통해 네트워크 분석기 (402) 에 연결된다. 4 is a diagram of an embodiment of a system 400 for illustrating the determination of the efficiency of the impedance matching network 1. The system 400 includes a network analyzer 402, an impedance matching network 1, a load impedance fixture 1, and a host computer system 112. The host computer system 112 is connected to the network analyzer 402 via a network cable 404.

네트워크 분석기 (402) 는 포트 (S1) 및 다른 포트 (S2) 를 가진다. 다양한 실시예들에서, 포트 (S2) 는 입력 포트이고 포트 (S1) 는 출력 포트이다. 포트 (S1) 는 RF 케이블 (106) 을 통해 임피던스 매칭 네트워크 1의 입력부 (107) 에 연결되고 그리고 포트 (S2) 는 RF 케이블 (408) 을 통해 부하 임피던스 픽스처 1의 출력부 (406) 에 연결된다. 임피던스 매칭 네트워크 1의 출력부 (109) 가 부하 임피던스 픽스처 1의 인덕턴스 및/또는 커패시턴스 및 포트 (S2) 의 레지스턴스, 예를 들어 50 Ω, 49 Ω 내지 51 Ω 등을 포함하는 결합된 부하 픽스처 (410) 에 연결된다는 것을 주의해야 한다. 일부 실시예들에서, 결합된 부하 픽스처 (410) 의 임피던스는 플라즈마 조건 (A), 예를 들어, 플라즈마의 어떤 임피던스, 플라즈마의 임피던스들의 미리-결정된 범위 등을 닮는다.The network analyzer 402 has a port S1 and another port S2. In various embodiments, port S2 is an input port and port S1 is an output port. The port S1 is connected to the input 107 of the impedance matching network 1 via the RF cable 106 and the port S2 is connected to the output 406 of the load impedance fixture 1 via the RF cable 408 . The output 109 of the impedance matching network 1 is coupled to a combined load fixture 410 including the inductance and / or capacitance of the load impedance fixture 1 and the resistance of the port S2, e.g., 50 OMEGA, 49 OMEGA to 51 OMEGA, ). ≪ / RTI > In some embodiments, the impedance of the combined load fixture 410 resembles the plasma condition A, e.g., some impedance of the plasma, a pre-determined range of impedances of the plasma, and the like.

임피던스 매칭 네트워크 1의 하나 이상의 가변 커패시터들의 결합된 가변 커패시턴스가 값 (C11) 으로 설정된 경우, 네트워크 분석기 (402) 는 주파수 (f11) 에서 동작한다. 예를 들어, 네트워크 분석기 (402) 는 주파수 (f11) 를 가지는 RF 신호를 생성하고 RF 케이블 (106) 을 통해 포트 (S1) 로부터 RF 신호를 임피던스 매칭 네트워크 1의 입력부 (107) 에 보낸다. 일부 실시예들에서 임피던스 매칭 네트워크 1의 하나 이상의 가변 커패시터들의 결합된 가변 커패시턴스는 값 (C11) 과 상이한 값으로 설정되고, 네트워크 분석기 (402) 는 주파수 (f11) 와 상이한 주파수에서 동작한다. 임피던스 매칭 네트워크 1은 변경된 RF 신호를 생성하도록 RF 신호를 수신하고 부하, 예를 들어, RF 케이블 (108), 및 결합된 부하 픽스처 (410) 등의 임피던스를 소스, 예를 들어, RF 케이블 (106), 및 포트 (S1) 등의 임피던스와 매칭시킨다. 변경된 RF 신호는 결합된 부하 픽스처 (410) 에 제공된다. 예를 들어, 변경된 RF 신호는 부하 임피던스 픽스처 1을 통해 네트워크 분석기 (402) 의 포트 (S2) 로 전달된다.When the combined variable capacitance of one or more variable capacitors of the impedance matching network 1 is set to a value C11, the network analyzer 402 operates at frequency f11. For example, the network analyzer 402 generates an RF signal having a frequency f11 and sends the RF signal from the port S1 via the RF cable 106 to the input 107 of the impedance matching network 1. [ In some embodiments, the combined variable capacitance of one or more variable capacitors of the impedance matching network 1 is set to a value different than the value C11, and the network analyzer 402 operates at a frequency different from the frequency f11. The impedance matching network 1 receives an RF signal to generate a modified RF signal and transmits the impedance of a load, e.g., an RF cable 108, and a combined load fixture 410, to a source, e.g., an RF cable 106 ), And the port (S1). The modified RF signal is provided to the combined load fixture 410. For example, the modified RF signal is delivered to the port S2 of the network analyzer 402 via the load impedance fixture 1.

변경된 RF 신호가 결합된 부하 픽스처 (410) 에 제공된 경우, 네트워크 분석기 (402) 는 S21 파라미터, S11 파라미터, 및 S1 포트에서 RF 신호에 의해 출력된 전력 (Po1m) 양을 측정한다. 예를 들어, 네트워크 분석기 (402) 는, 포트 (S1) 에서, RF 케이블 (106) 을 통해 임피던스 매칭 네트워크 1로 보내진 RF 신호에 의해 공급된 전력 (Po1m) 을 측정한다. 파라미터들 (S11 및 S12) 은 산란 (scattering) 파라미터들이다. 산란 파라미터들 (S11 및 S12) 은 전압 파라미터들이고 연관된 전력들은 산란 파라미터들의 제곱이다. 예를 들어, 포트 (S1) 로부터의 전력에 대한 포트 (S1) 에 입력된 전력의 비는 S112이고 포트 (S1) 로부터의 전력에 대한 포트 (S2) 에 입력된 전력의 비는 S212이다. 네트워크 분석기 (402) 는 네트워크 케이블 (404) 을 통해 호스트 컴퓨터 시스템 (112) 의 프로세서에 전력량 (Po1m), S11 파라미터, 및 S21 파라미터를 보낸다. 임피던스 매칭 네트워크 1의 하나 이상의 가변 커패시터들의 결합된 가변 커패시턴스는 값 (C11) 으로 설정되고 네트워크 분석기 (402) 가 주파수 (f11) 에서 동작하는 경우, 프로세서는 S21 파라미터의 제곱과 1과 S11 파라미터의 제곱의 차의 비로 임피던스 매칭 네트워크 1의 효율 (ε1m) 을 계산한다. 비는 다음과 같이 나타낸다.When the modified RF signal is provided to the combined load fixture 410, the network analyzer 402 measures the S21 parameter, the S11 parameter, and the amount of power Po1m output by the RF signal at the S1 port. For example, the network analyzer 402 measures the power Po1m supplied by the RF signal sent to the impedance matching network 1 through the RF cable 106 at port S1. The parameters S11 and S12 are scattering parameters. The scattering parameters S11 and S12 are voltage parameters and the associated powers are squares of the scattering parameters. For example, the ratio of the power input to the port S1 to the power from the port S1 is S11 2 and the ratio of the power input to the port S2 to the power from the port S1 is S21 2 . The network analyzer 402 sends the amount of power Po1m, the S11 parameter, and the S21 parameter to the processor of the host computer system 112 via the network cable 404. If the combined variable capacitance of one or more variable capacitors of the impedance matching network 1 is set to a value C11 and the network analyzer 402 is operating at a frequency f11 then the processor will calculate the square of the S21 parameter and the square of the 1 and S11 parameters The efficiency? 1m of the impedance matching network 1 is calculated. The ratio is expressed as follows.

Figure pat00001
Figure pat00001

........방정식 (1)........ Equation (1)

일부 실시예들에서, 임피던스 매칭 네트워크 1의 측정된 효율은 단순한 수가 아니고 대신에 임피던스 매칭 네트워크 1에 연결된 부하의 임피던스에 의존한다는 것을 주의해야 한다.It should be noted that, in some embodiments, the measured efficiency of the impedance matching network 1 is not a simple number, but instead depends on the impedance of the load connected to the impedance matching network 1.

일부 실시예들에서, 효율 (ε1m) 은 부하 임피던스 픽스처 1이 무손실 (lossless) 이 되도록 또는 전력의 최소 손실을 가지도록, 예를 들어, 부하 임피던스 픽스처 1에서 손실된 전력이 실질적으로 임피던스 매칭 네트워크 1에서 손실된 전력보다 적은 등으로 설계된 경우, 방정식 (1) 을 사용하여 측정된다. 효율 (ε1m) 은 결합된 부하 픽스처 (410) 의 효율이다. 일부 실시예들에서, 비는 부하 임피던스 픽스처 1이 적은 양의 전력 손실을 가지는 경우에 변경된다. 일부 실시예들에서, 효율 (ε1m) 은 네트워크 분석기 (402) 의 RF 주파수 및 임피던스 매칭 네트워크 1의 결합된 가변 커패시턴스의 모든 값에서 결정되나, 효율 (ε1m) 은 작은 값의 S112에 대해서 정확하고 S112이 커짐에 따라 부정확해진다. 다양한 실시예들에서, 효율 (ε1m) 은 임피던스 매칭 네트워크 1이 튜닝되거나 (tuned) 거의 튜닝된 경우, 예를 들어, 임피던스 매칭 네트워크 1의 결합된 가변 커패시턴스 및 네트워크 분석기 (402) 의 RF 주파수가 S112이 0에 가깝게 튜닝된 경우 결정된다. 효율 (ε1m) 은 때때로 측정된 효율로 본 명세서에서 지칭된다.In some embodiments, efficiency < RTI ID = 0.0 > 1m < / RTI > may be chosen such that the load impedance fixture 1 is lossless or has a minimal loss of power, for example power lost in the load impedance fixture 1 is substantially equal to impedance matching network 1 Less than the power lost in the power supply, etc., is measured using Equation (1). The efficiency [epsilon] lm is the efficiency of the combined load fixture 410. In some embodiments, the ratio is changed when the load impedance fixture 1 has a small amount of power loss. In some embodiments, the efficiency (ε1m) are termed decision on all values of the combined variable-capacitance of the RF frequency and the impedance matching network 1 of the network analyzer 402, the efficiency (ε1m) is accurate for the S11 2 of a value As S11 2 increases, it becomes inaccurate. In various embodiments, efficiency < RTI ID = 0.0 > 1m < / RTI > may be used when impedance matching network 1 is tuned or nearly tuned, for example when the combined variable capacitance of impedance matching network 1 and the RF frequency of network analyzer 402 are S11 2 is tuned close to zero. The efficiency ([epsilon] 1m) is sometimes referred to herein as the measured efficiency.

일부 실시예들에서, 부하 임피던스 픽스처 1 대신에, 다른 부하 임피던스 픽스처 A가 임피던스 매칭 네트워크 1 및 네트워크 분석기 (402) 의 포트 (S2) 에 연결된다. 부하 임피던스 픽스처 A는, 부하 임피던스 픽스처 A가 하나 이상의 무손실 커패시터들, 및/또는 하나 이상의 무손실 인덕터들을 포함하고, 어떤 레지스터들도 포함하지 않는 것을 제외하고, 부하 임피던스 픽스처 1의 구조와 동일한 구조를 가진다. 예를 들어, 부하 임피던스 픽스처 A는 하나 이상의 무손실 인덕터들과 직렬로 커플링된 하나 이상의 무손실 커패시터들을 포함한다. 하나 이상의 무손실 커패시터들은 입력부 (1111) 에 연결되고 하나 이상의 무손실 인덕터들은 출력부 (406) 에 커플링된다. 다른 예로서, 부하 임피던스 픽스처 A는 하나 이상의 무손실 인덕터들과 직렬로 커플링된 하나 이상의 무손실 커패시터들을 포함한다. 하나 이상의 무손실 인덕터들은 입력부 (1111) 에 연결되고 하나 이상의 무손실 커패시터들은 출력부 (406) 에 커플링된다. In some embodiments, instead of the load impedance fixture 1, another load impedance fixture A is connected to the impedance matching network 1 and port S2 of the network analyzer 402. The load impedance fixture A has the same structure as the structure of the load impedance fixture 1 except that the load impedance fixture A includes one or more lossless capacitors, and / or one or more lossless inductors, and does not include any resistors . For example, the load impedance fixture A includes one or more lossless capacitors coupled in series with one or more lossless inductors. One or more lossless capacitors are coupled to input 1111 and one or more lossless inductors are coupled to output 406. As another example, the load impedance fixture A may include one or more lossless capacitors coupled in series with one or more lossless inductors. One or more lossless inductors are coupled to input 1111 and one or more lossless capacitors are coupled to output 406.

일부 실시예들에서, 무손실 회로 컴포넌트, 예를 들어, 인덕터, 커패시터, 레지스터 등은 전류가 회로 컴포넌트를 통과하는 경우 없거나 미리-결정된 양의 전력 손실보다 적은 회로 컴포넌트이다.In some embodiments, a lossless circuit component, e.g., an inductor, capacitor, resistor, etc., is a circuit component that is less than a pre-determined amount of power loss when current passes through the circuit component.

도 5는 고정 파라미터들 (L1s, L1p, L2s, L2p, R1s, R1p, R2s, R2p, C1s, C1p, C2s, 및 C2p) 의 값들의 결정을 도시하기 위한 호스트 컴퓨터 시스템 (112) 의 실시예의 선도이다. 매칭 네트워크 모델 (302) 은 무선 주파수 (f11), 커패시턴스 (C11), 및 고정 파라미터들 (L1s, L1p, L2s, L2p, R1s, R1p, R2s, R2p, C1s, C1p, C2s, 및 C2p) 을 가지도록 개시된다. 예를 들어, 사용자는 입력 디바이스를 통해 매칭 네트워크 모델 (302) 을 초기화하기 위해 호스트 컴퓨터 시스템 (112) 의 프로세서에 고정 값들 (f11, C11, L1s, L1p, L2s, L2p, R1s, R1p, R2s, R2p, C1s, C1p, C2s, 및 C2p) 을 제공한다. 일부 실시예들에서, 결합된 가변 커패시턴스 (C11) 대신에, 매칭 네트워크 모델 (302) 은 합 (Sc1) 을 가지도록 개시되고 주파수 (f11) 대신에, 매칭 네트워크 모델 (302) 은 합 (Sf1) 을 가지도록 개시된다.5 is a diagrammatic representation of the embodiment of the host computer system 112 for illustrating the determination of the values of the fixed parameters (L1s, L1p, L2s, L2p, R1s, R1p, R2s, R2p, C1s, C1p, C2s, and C2p) to be. The matching network model 302 includes a radio frequency f11, a capacitance C11 and fixed parameters L1s, L1p, L2s, L2p, R1s, R1p, R2s, R2p, C1s, C1p, C2s, and C2p . For example, the user may enter fixed values (f11, C11, L1s, L1p, L2s, L2p, R1s, R1p, R2s, R2p, C1s, C1p, C2s, and C2p). In some embodiments, instead of the combined variable capacitance C11, the matching network model 302 is started to have a sum Sc1, and instead of the frequency f11, the matching network model 302 is summed Sf1, Lt; / RTI >

프로세서는 네트워크 분석기 (402) 로부터 네트워크 케이블 (404) 을 통해 측정된 출력 전력 (Po1m) 을 수신한다. 매칭 네트워크 모델 (302) 이 무선 주파수 (f11), 커패시턴스 (C11), 및 파라미터들 (L1s, L1p, L2s, L2p, R1s, R1p, R2s, R2p, C1s, C1p, C2s, 및 C2p) 을 가지도록 초기화된 시간 동안, 프로세서는 매칭 네트워크 모델 (302) 의 출력부 (304) 에서 예측된 출력 전력 (Po1p) 을 계산하도록 매칭 네트워크 모델 (302) 의 입력부 (306) 에서 입력 전력 (Pi1p) 을 적용하고 매칭 네트워크 모델 (302) 의 회로 엘리먼트들을 통해 입력 전력 (Pi1p) 을 순방향으로 전파한다 (forward propagates). 예를 들어, 매칭 네트워크 모델 (302) 이 회로 엘리먼트들 (R1s, C1s, L1s, 및 C11) 의 직렬 조합을 포함하는 경우, 상당량의 전류가 레지스터 (R1s) 에 의해 소비된 전력 (PRs), 커패시터 (C1s) 에 의해 소비된 전력 (PCs), 인덕터 (Ls) 에 의해 소비된 전력 (PLs), 및 커패시터 (C11) 에 의해 소비된 전력 (PC1s) 을 결정하도록 직렬 조합을 통해 전파된다. 프로세서는 예측된 출력 전력 (Po1p) 을 계산하도록 입력 전력 (Pi1p), 전력 (PRs), 전력 (PCs), 전력 (PLs), 및 전력 (PC1s) 의 방향성 합을 계산한다.The processor receives the measured output power Po1m from network analyzer 402 over network cable 404. So that the matching network model 302 has the radio frequency f11, the capacitance C11 and the parameters L1s, L1p, L2s, L2p, R1s, R1p, R2s, R2p, C1s, C1p, C2s, and C2p. During the initialized time period, the processor applies the input power Pi1p at the input 306 of the matching network model 302 to calculate the predicted output power Po1p at the output 304 of the matching network model 302 Propagates the input power Pi1p in the forward direction through the circuit elements of the matching network model 302. [ For example, when the matching network model 302 includes a series combination of circuit elements R1s, C1s, L1s, and C11, a significant amount of current flows through the power PRs consumed by the resistor R1s, (PCs) consumed by the capacitor C1s, the power PLs consumed by the inductor Ls, and the power PCs consumed by the capacitor C11. The processor calculates the directional sum of the input power Pi1p, the power PRs, the power PCs, the power PLs, and the power PC1s to calculate the predicted output power Po1p.

일부 실시예들에서, 입력 전력 (Pi1p) 은 전력 (Po1m) 과 동일하다. 다양한 실시예들에서, 입력 전력 (Pi1p) 은 호스트 컴퓨터 시스템 (112) 의 프로세서에 의해 무작위로 선택된다. 다양한 실시예들에서, 입력 전력 (Pi1p) 은 프로세서에 연결된 입력 디바이스를 통해 사용자로부터 수신된다.In some embodiments, the input power Pi1p is equal to the power Po1m. In various embodiments, the input power Pi1p is randomly selected by the processor of the host computer system 112. [ In various embodiments, input power Pi1p is received from a user via an input device coupled to the processor.

프로세서는 예측된 출력 전력 (Po1p) 과 입력 전력 (Pi1p) 의 비로써 매칭 네트워크 모델 (302) 의 예측된 효율 (ε1p) 을 계산한다. 프로세서는 매칭 네트워크 모델 (302) 의 하나 이상의 대응하는 고정 파라미터들 (L1s, L1p, L2s, L2p, R1s, R1p, R2s, R2p, C1s, C1p, C2s, 및 C2p) 의 하나 이상의 고정 값들을 변화시킬지 결정하기 위해 방법 (500) 을 적용한다. 예를 들어, 동작 (502) 에서, 프로세서는 예측된 효율 (ε1p) 이 측정된 효율 (ε1m) 의 미리-결정된 한계 이내인지를 결정한다. 미리-결정된 한계는 프로세서에 입력 디바이스를 통해 입력으로 제공된다. 예를 들어, 미리-결정된 한계는 동작 (502) 전에 또는 방법 (500) 이 수행되기 전에 프로세서에 제공된다. 예측된 효율 (ε1p) 이 측정된 효율 (ε1m) 의 미리-결정된 한계 내로 결정할 때, 프로세서는, 동작 (310) 에서, 임피던스 매칭 네트워크 1과 사용을 위해 매칭 네트워크 모델 (302) 에 파라미터들 (L1s, L1p, L2s, L2p, R1s, R1p, R2s, R2p, C1s, C1p, C2s, 및 C2p) 의 고정 값들을 할당한다. 반면에, 예측된 효율 (ε1p) 이 측정된 효율 (ε1m) 의 미리-결정된 한계 이내가 아니라고 결정할 때, 프로세서는, 동작 (312) 에서, 프로세서는 하나 이상의 변화된 파라미터들을 생성하도록 하나 이상의 파라미터들 (L1s, L1p, L2s, L2p, R1s, R1p, R2s, R2p, C1s, C1p, C2s, 및 C2p) 을 변화시킨다. 예시하기 위해, 프로세서는 하나 이상의 변화된 파라미터들을 생성하도록 인덕터 (L1s) 의 값을 V1에서 V2로 변화시킨다. 다른 예시로서, 프로세서는 하나 이상의 변화된 파라미터들을 생성하도록 인덕터 (L1s) 의 값을 V1에서 V2로 그리고 커패시터 (C1s) 의 값을 W1에서 W2로 변화시킨다.The processor calculates the predicted efficiency [epsilon] p of the matching network model 302 by the ratio of the predicted output power Po1p to the input power Pi1p. The processor may change one or more fixed values of one or more corresponding fixed parameters (L1s, L1p, L2s, L2p, R1s, R1p, R2s, R2p, C1s, C1p, C2s, and C2p) of the matching network model 302 Method 500 is applied to determine. For example, in operation 502, the processor determines that the predicted efficiency, [epsilon] lp, is within a pre-determined limit of the measured efficiency [epsilon] 1m. The pre-determined limit is provided as input to the processor via the input device. For example, a pre-determined limit is provided to the processor before action 502 or before method 500 is performed. When the predicted efficiency? 1p is determined to be within a pre-determined limit of the measured efficiency? 1m, the processor determines at operation 310 that the matching network model 302 for use with the impedance matching network 1 includes parameters L1s , L1p, L2s, L2p, R1s, R1p, R2s, R2p, C1s, C1p, C2s, and C2p. On the other hand, when determining that the predicted efficiency? 1p is not within a pre-determined limit of the measured efficiency? 1m, the processor, in operation 312, L1s, L1p, L2s, L2p, R1s, R1p, R2s, R2p, C1s, C1p, C2s, and C2p. To illustrate, the processor changes the value of inductor Lls from V1 to V2 to produce one or more changed parameters. As another example, the processor changes the value of inductor L1s from V1 to V2 and the value of capacitor C1s from W1 to W2 to produce one or more changed parameters.

프로세서는 변화된 파라미터들에 대한 예측된 효율 (ε1p) 이 측정된 효율 (ε1m) 로부터 미리-결정된 한계 이내인지를 결정하도록 하나 이상의 변화된 파라미터들을 사용하여 동작 (502) 을 반복한다. 이 방식으로, 매칭 네트워크 모델 (302) 에 대한 하나 이상의 대응하는 변화된 파라미터들의 하나 이상의 값들을 찾도록 예측된 효율 (ε1p) 이 측정된 효율 (ε1m) 로부터 미리-결정된 한계 내일 때까지 프로세서는 동작 (502) 을 반복한다. 하나 이상의 대응하는 변화된 파라미터들의 하나 이상의 값들은 그 후 매칭 네트워크 모델 (302) 에 할당된다. 예를 들어, 프로세서는 하나 이상의 변화된 파라미터들을 임피던스 매칭 네트워크 1의 식별 번호에 맵핑하고, 맵핑, 하나 이상의 변화된 파라미터들, 및 매칭 네트워크 모델 (302) 의 식별 번호를 호스트 컴퓨터 시스템 (112) 의 메모리 디바이스 내로 저장한다.The processor repeats operation 502 using one or more changed parameters to determine whether the predicted efficiency [epsilon] p for the changed parameters is within a pre-determined limit from the measured efficiency [epsilon] 1m. In this manner, until the predicted efficiency [epsilon] lp to find one or more values of one or more corresponding changed parameters for the matching network model 302 is within a pre-determined limit from the measured efficiency [epsilon] 502). One or more values of one or more corresponding changed parameters are then assigned to the matching network model 302. For example, the processor may map one or more changed parameters to an identification number of the impedance matching network 1, and provide a mapping, one or more changed parameters, and an identification number of the matching network model 302 to a memory device Lt; / RTI >

일부 실시예들에서, 하나 이상의 고정 파라미터들 (L1s, L1p, L2s, L2p, R1s, R1p, R2s, R2p, C1s, C1p, C2s, 및 C2p) 을 변화시키는 것 대신에 또는 이에 더하여, 프로세서는, 방법 (500) 의 동작 (312) 에서, 상기 기술된 방식과 같은 동일한 방식으로 가변 커패시턴스 (C11) 를 변화시킨다.In some embodiments, instead of or in addition to changing one or more fixed parameters (L1s, L1p, L2s, L2p, R1s, R1p, R2s, R2p, C1s, C1p, C2s, and C2p) In operation 312 of method 500, the variable capacitance C11 is changed in the same manner as described above.

도 6은 임피던스들 (Zi1p, Zi1m, ZiNp, ZiNm) 및 효율들 (ε1m 및 ε1p) 을 사용하여 고정 파라미터들 (L1s, L1p, L2s, L2p, R1s, R1p, R2s, R2p, C1s, C1p, C2s, 및 C2p) 을 결정하기 위한 방법 (600) 의 실시예의 흐름도이다. 방법 (600) 은 호스트 컴퓨터 시스템 (112) 의 프로세서에 의해 실행된다. 방법 (600) 의 동작 (602) 에서, 예측된 입력 임피던스 (Zi1p) 가 입력 임피던스 (Zi1m) 의 미리-결정된 범위 이내인지, 예측된 입력 임피던스 (ZiNp) 가 입력 임피던스 (ZiNm) 의 미리-결정된 범위 이내인지, 그리고 예측된 효율 (ε1p) 이 측정된 효율 (ε1m) 로부터 미리-결정된 한계 이내인지가 프로세서에 의해 결정된다.Fig. 6 is a graph showing the relationship between the fixed parameters (L1s, L1p, L2s, L2p, R1s, R1p, R2s, R2p, C1s, C1p, C2s) using the impedances (Zi1p, Zi1m, ZiNp, ZiNm) and efficiencies 0.0 > C2p < / RTI > The method 600 is executed by a processor of the host computer system 112. In operation 602 of method 600, if the predicted input impedance Zi1p is within a pre-determined range of input impedance Zi1m and the predicted input impedance ZiNp is within a pre-determined range of input impedance ZiNm And whether the predicted efficiency? 1p is within a pre-determined limit from the measured efficiency? 1m is determined by the processor.

예측된 입력 임피던스 (Zi1p) 가 입력 임피던스 (Zi1m) 의 미리-결정된 범위 내이고, 예측된 입력 임피던스 (ZiNp) 가 입력 임피던스 (ZiNm) 의 미리-결정된 범위 내이고, 그리고 예측된 효율 (ε1p) 이 측정된 효율 (ε1m) 로부터 미리-결정된 한계 이내라고 결정할 때, 동작 (310) 이 프로세서에 의해 수행된다. 반면에, 예측된 입력 임피던스 (Zi1p) 가 입력 임피던스 (Zi1m) 의 미리-결정된 범위 이내가 아니거나, 예측된 입력 임피던스 (ZiNp) 가 입력 임피던스 (ZiNm) 의 미리-결정된 범위 이내가 아니거나, 또는 예측된 효율 (ε1p) 이 측정된 효율 (ε1m) 로부터 미리-결정된 한계 이내가 아니라고 결정할 때, 프로세서는 동작 (312) 을 수행한다.If the predicted input impedance Zi1p is within a pre-determined range of the input impedance Zi1m and the predicted input impedance ZiNp is within a pre-determined range of the input impedance ZiNm and the predicted efficiency? When determining that it is within a pre-determined limit from the measured efficiency ([epsilon] 1m), operation 310 is performed by the processor. On the other hand, if the predicted input impedance Zi1p is not within a pre-determined range of the input impedance Zi1m, or if the predicted input impedance ZiNp is not within a pre-determined range of the input impedance ZiNm, When it is determined that the predicted efficiency [epsilon] lp is not within a pre-determined limit from the measured efficiency [epsilon] 1m, the processor performs an operation 312. [

일부 실시예들에서, 예측된 입력 임피던스 (Zi1p) 가 입력 임피던스 (Zi1m) 의 미리-결정된 범위 이내가 아니거나, 예측된 입력 임피던스 (ZiNp) 가 입력 임피던스 (ZiNm) 의 미리-결정된 범위 이내가 아니거나, 예측된 효율 (ε1p) 이 측정된 효율 (ε1m) 로부터 미리-결정된 한계 이내가 아니거나, 또는 이들의 둘 이상의 조합이라고 결정할 때, 프로세서는 동작 (312) 을 수행한다. 예를 들어, 예측된 입력 임피던스 (Zi1p) 가 입력 임피던스 (Zi1m) 의 미리-결정된 범위 이내가 아니고 예측된 입력 임피던스 (ZiNp) 가 입력 임피던스 (ZiNm) 의 미리-결정된 범위 이내가 아니고, 그리고 예측된 효율 (ε1p) 이 측정된 효율 (ε1m) 로부터 미리-결정된 한계 이내가 아니라고 결정할 때, 프로세서는 동작 (312) 을 수행한다.In some embodiments, if the predicted input impedance Zi1p is not within a pre-determined range of input impedance Zi1m, or if the predicted input impedance ZiNp is not within a pre-determined range of input impedance ZiNm Or when the predicted efficiency? 1p is not within a pre-determined limit from the measured efficiency? 1m, or a combination of two or more thereof, the processor performs an operation 312. For example, if the predicted input impedance Zi1p is not within a pre-determined range of the input impedance Zi1m and the predicted input impedance ZiNp is not within a pre-determined range of the input impedance ZiNm, When it is determined that the efficiency [epsilon] lp is not within the pre-determined limit from the measured efficiency [epsilon] lm, the processor performs an operation 312. [

프로세서는 예측된 입력 임피던스 (Zi1p) 가 입력 임피던스 (Zi1m) 의 미리-결정된 범위 이내인지, 예측된 입력 임피던스 (ZiNp) 가 입력 임피던스 (ZiNm) 의 미리-결정된 범위 이내인지, 그리고 예측된 효율 (ε1p) 이 측정된 효율 (ε1m) 로부터 미리-결정된 한계 이내인지를 결정하도록 하나 이상의 변화된 파라미터들을 사용하여 동작 (602) 을 반복한다. 이 방식으로, 매칭 네트워크 모델 (302) 에 대한 하나 이상의 대응하는 변화된 파라미터들의 하나 이상의 값들을 찾도록 예측된 입력 임피던스 (Zi1p) 가 입력 임피던스 (Zi1m) 의 미리-결정된 범위 내이고, 예측된 입력 임피던스 (ZiNp) 가 입력 임피던스 (ZiNm) 의 미리-결정된 범위 내이고, 그리고 예측된 효율 (ε1p) 이 측정된 효율 (ε1m) 로부터 미리-결정된 한계 내일 때까지, 프로세서는 동작 (602) 를 반복한다. 하나 이상의 대응하는 변화된 파라미터들의 하나 이상의 값들은 그 후 매칭 네트워크 모델 (302) 에 할당된다. 예를 들어, 프로세서는 변화된 파라미터들을 임피던스 매칭 네트워크 1의 식별 번호에 할당하고, 맵핑, 식별 번호, 및 하나 이상의 변화된 파라미터들을 호스트 컴퓨터 시스템 (112) 의 메모리 디바이스 내에 저장한다.The processor determines if the predicted input impedance Zi1p is within a pre-determined range of the input impedance Zi1m, if the predicted input impedance ZiNp is within a pre-determined range of the input impedance ZiNm, ) Is determined to be within a pre-determined limit from the measured efficiency ([epsilon] 1m) using the one or more changed parameters. In this manner, the input impedance Zi1p predicted to look for one or more values of one or more corresponding changed parameters for the matching network model 302 is within a pre-determined range of input impedance Zi1m, The processor repeats operation 602 until ZiNp is within a pre-determined range of input impedance ZiNm and the predicted efficiency? 1p is within a pre-determined limit from the measured efficiency? 1m. One or more values of one or more corresponding changed parameters are then assigned to the matching network model 302. For example, the processor assigns the changed parameters to the identification number of the impedance matching network 1 and stores the mapping, identification number, and one or more changed parameters in the memory device of the host computer system 112.

일부 실시예들에서, 하나 이상의 고정 파라미터들 (L1s, L1p, L2s, L2p, R1s, R1p, R2s, R2p, C1s, C1p, C2s, 및 C2p) 을 변화시키는 것 대신에 또는 변화시키는 것에 더하여, 프로세서는, 방법 (600) 의 동작 (312) 에서, 상기 기술된 방식과 같은 동일한 방식으로 가변 커패시턴스 (C11) 를 변화시킨다.In some embodiments, in addition to or instead of changing one or more fixed parameters (L1s, L1p, L2s, L2p, R1s, R1p, R2s, R2p, C1s, C1p, C2s, and C2p) At operation 312 of method 600, changes the variable capacitance C11 in the same manner as described above.

도 7은 임피던스 매칭 네트워크 1이 부하 임피던스 픽스처 N에 연결된 경우 임피던스 매칭 네트워크 1의 효율의 결정을 예시하기 위한 시스템 (700) 의 실시예의 선도이다. 시스템 (700) 은 네트워크 분석기 (402), 임피던스 매칭 네트워크 1, 부하 임피던스 픽스처 N, 및 호스트 컴퓨터 시스템 (112) 을 포함한다.7 is a diagram of an embodiment of a system 700 for illustrating the determination of the efficiency of the impedance matching network 1 when the impedance matching network 1 is connected to a load impedance fixture N. Fig. The system 700 includes a network analyzer 402, an impedance matching network 1, a load impedance fixture N, and a host computer system 112.

포트 (S2) 는 RF 케이블 (408) 을 통해 부하 임피던스 픽스처 N의 출력부 (702) 에 연결된다. 임피던스 매칭 네트워크 1의 출력부 (109) 가 RF 케이블 (108) 을 통해 부하 임피던스 픽스처 N의 인덕턴스들 및/또는 커패시턴스들 및 포트 (S2) 의 레지스턴스를 포함하는 결합된 부하 픽스처 (704) 에 연결된 것을 주의해야 한다. 일부 실시예들에서, 결합된 부하 픽스처 (704) 의 임피던스는 플라즈마 조건 (B), 예를 들어, 플라즈마의 하나의 임피던스, 플라즈마의 임피던스들의 미리-결정된 범위 등을 닮고 플라즈마 조건 (B) 은 플라즈마 조건 (A) 과 상이하다. 예를 들어, 결합된 부하 픽스처 (704) 에 의해 나타나는 임피던스는 결합된 부하 픽스처 (410) (도 4) 에 의해 나타나는 임피던스와 상이하다. 다른 예로서, 결합된 부하 픽스처 (704) 에 의해 나타나는 임피던스들의 미리-결정된 범위는 결합된 부하 픽스처 (410) 에 의해 나타나는 임피던스들의 미리-결정된 범위를 제외한다.The port S2 is connected to the output 702 of the load impedance fixture N via the RF cable 408. The output 109 of the impedance matching network 1 is connected via an RF cable 108 to a combined load fixture 704 comprising the inductances and / or capacitances of the load impedance fixture N and the resistance of the port S2 Be careful. In some embodiments, the impedance of the combined load fixture 704 resembles the plasma condition B, e.g., one impedance of the plasma, a pre-determined range of impedances of the plasma, and the like, Is different from the condition (A). For example, the impedance exhibited by the combined load fixture 704 is different from the impedance exhibited by the combined load fixture 410 (FIG. 4). As another example, a pre-determined range of impedances exhibited by the combined load fixture 704 excludes a pre-determined range of impedances exhibited by the combined load fixture 410.

임피던스 매칭 네트워크 1의 하나 이상의 가변 커패시터들의 결합된 가변 커패시턴스가 값 (CN1) 으로 설정된 경우, 네트워크 분석기 (402) 는 주파수 (fN1) 에서 동작한다. 예를 들어, 네트워크 분석기 (402) 가 주파수 (fN1) 를 가지는 RF 신호를 생성하고 RF 케이블 (106) 을 통해 임피던스 매칭 네트워크 1의 입력부 (107) 에 포트 (S1) 로부터의 RF 신호를 보낸다. 일부 실시예들에서, 임피던스 매칭 네트워크 1의 하나 이상의 가변 커패시터들의 결합된 가변 커패시턴스는 값 (CN1) 과 상이한 값으로 설정되고, 네트워크 분석기 (402) 는 주파수 (fN1) 와 상이한 주파수에서 동작한다. 임피던스 매칭 네트워크 1은 변경된 RF 신호를 생성하도록 RF 신호를 수신하고 임피던스 매칭 네트워크 1의 출력부 (109) 에 연결된 부하, 예를 들어, RF 케이블 (108), 부하 임피던스 픽스처 N, 및 포트 (S2) 등의 임피던스와 임피던스 매칭 네트워크 1의 입력부 (107) 에 연결된 소스, 예를 들어, RF 케이블 (106), 및 포트 (S1) 등의 임피던스를 매칭시킨다. 변경된 RF 신호는 결합된 부하 픽스처 (704) 에 제공된다. 예를 들어, 변경된 RF 신호는 부하 임피던스 픽스처 N을 통해 네트워크 분석기 (402) 의 포트 (S2) 로 전달된다.When the combined variable capacitance of one or more variable capacitors of the impedance matching network 1 is set to the value CN1, the network analyzer 402 operates at the frequency fN1. For example, the network analyzer 402 generates an RF signal having a frequency fN1 and sends an RF signal from the port S1 to the input 107 of the impedance matching network 1 via the RF cable 106. [ In some embodiments, the combined variable capacitance of one or more variable capacitors of the impedance matching network 1 is set to a value different than the value CN1, and the network analyzer 402 operates at a frequency different from the frequency fN1. The impedance matching network 1 receives the RF signal to generate a modified RF signal and provides a load, e.g., RF cable 108, load impedance fixture N, and port S2, coupled to the output 109 of the impedance matching network 1, Such as the RF cable 106, and the port S1, which are connected to the input section 107 of the impedance matching network 1, are matched. The modified RF signal is provided to a combined load fixture 704. For example, the modified RF signal is delivered to port S2 of network analyzer 402 via load impedance fixture N. [

변경된 RF 신호가 결합된 부하 픽스처 (704) 에 제공된 경우, 네트워크 분석기 (402) 는 S21 파라미터, S11 파라미터, 및 S1 포트에서 출력된 RF 신호의 전력 (PoNm) 양을 측정한다. 예를 들어, 네트워크 분석기 (402) 는, 포트 (S1) 에서, RF 케이블 (106) 을 통해 임피던스 매칭 네트워크 1로 보내진 RF 신호에 의해 공급된 전력 (PoNm) 을 측정한다. 네트워크 분석기 (402) 는 네트워크 케이블 (404) 을 통해 호스트 컴퓨터 시스템 (112) 의 프로세서에 S11 파라미터, 및 S21 파라미터, 및 전력 (PoNm) 을 보낸다. 프로세서는 S21 파라미터의 제곱과 1과 S11 파라미터의 제곱의 차의 비로 임피던스 매칭 네트워크 1의 효율 (εNm) 을 계산한다.If a modified RF signal is provided to the combined load fixture 704, the network analyzer 402 measures the S21 parameter, the S11 parameter, and the power PoNm of the RF signal output at the S1 port. For example, the network analyzer 402 measures, at port S1, the power PoNm supplied by the RF signal sent to the impedance matching network 1 via the RF cable 106. Network analyzer 402 sends S11 parameters, and S21 parameters, and power (PoNm) to the processor of host computer system 112 over network cable 404. The processor calculates the efficiency ([epsilon] Nm) of the impedance matching network 1 by the ratio of the square of the S21 parameter and the square of the 1 and S11 parameters.

비는 다음과 같이 나타낸다.The ratio is expressed as follows.

Figure pat00002
Figure pat00002

..........방정식 (2).......... Equation (2)

효율 (εNm) 은 결합된 부하 픽스처 (704) 의 효율이다. 일부 실시예들에서, 효율 (εNm) 은 부하 임피던스 픽스처 N이 무손실이 되도록 또는 전력의 최소 손실을 가지도록, 예를 들어, 부하 임피던스 픽스처 N에서 손실된 전력이 실질적으로 임피던스 매칭 네트워크 1에서 손실된 전력보다 적은 등으로 설계된 경우, 방정식 (2) 을 사용하여 측정된다. 일부 실시예들에서, 비는 부하 임피던스 픽스처 N이 적은 양의 전력 손실을 가지는 경우에 변경된다. 일부 실시예들에서, 효율 (εNm) 은 네트워크 분석기 (402) 의 RF 주파수 및 임피던스 매칭 네트워크 1의 결합된 가변 커패시턴스의 모든 값에서 결정되나, 효율 (εNm) 은 작은 값의 S112에 대해서 정확하고 S112이 커짐에 따라 부정확해진다. 다양한 실시예들에서, 효율 (εNm) 은 임피던스 매칭 네트워크 1이 튜닝되거나 (tuned) 거의 튜닝된 경우, 예를 들어, 임피던스 매칭 네트워크 1의 결합된 가변 커패시턴스 및 네트워크 분석기 (402) 의 RF 주파수가 S112이 0에 가깝게 튜닝된 경우 결정된다.The efficiency? Nm is the efficiency of the combined load fixture 704. In some embodiments, efficiency < RTI ID = 0.0 > (Nm) < / RTI > may be chosen so that the load impedance fixture N is lossless or has a minimum loss of power, for example power lost in the load impedance fixture N is substantially lost in the impedance matching network 1 Power, etc., is measured using Equation (2). In some embodiments, the ratio is changed when the load impedance fixture N has a small amount of power loss. In some embodiments, the efficiency? Nm is determined at all values of the RF frequency of the network analyzer 402 and the combined variable capacitance of the impedance matching network 1, but the efficiency? Nm is accurate for a small value of S11 2 As S11 2 increases, it becomes inaccurate. In various embodiments, efficiency < RTI ID = 0.0 > (Nm) < / RTI > is a function of impedance matching network 1 when the impedance matching network 1 is tuned or nearly tuned, for example when the combined variable capacitance of impedance matching network 1 and the RF frequency of network analyzer 402 are S11 2 is tuned close to zero.

일부 실시예들에서, 부하 임피던스 픽스처 N 대신에, 다른 부하 임피던스 픽스처 B가 임피던스 매칭 네트워크 1 및 네트워크 분석기 (402) 의 포트 (S2) 에 연결된다. 부하 임피던스 픽스처 B는, 부하 임피던스 픽스처 B가 하나 이상의 무손실 커패시터들, 및/또는 하나 이상의 무손실 인덕터들을 포함하고, 어떤 레지스터들도 포함하지 않는 것을 제외하고, 부하 임피던스 픽스처 N의 구조와 동일한 구조를 가진다. 예를 들어, 부하 임피던스 픽스처 B는 하나 이상의 무손실 인덕터들과 직렬로 커플링된 하나 이상의 무손실 커패시터들을 포함한다. 하나 이상의 무손실 커패시터들은 입력부 (111N) 에 연결되고 하나 이상의 무손실 인덕터들은 출력부 (702) 에 커플링된다. 다른 예로서, 부하 임피던스 픽스처 B는 하나 이상의 무손실 인덕터들과 직렬로 커플링된 하나 이상의 무손실 커패시터들을 포함한다. 하나 이상의 무손실 인덕터들은 입력부 (111N) 에 연결되고 하나 이상의 무손실 커패시터들은 출력부 (702) 에 커플링된다. In some embodiments, instead of load impedance fixture N, another load impedance fixture B is connected to impedance matching network 1 and port S2 of network analyzer 402. [ The load impedance fixture B has the same structure as the structure of the load impedance fixture N except that the load impedance fixture B includes one or more lossless capacitors, and / or one or more lossless inductors, and does not include any resistors . For example, the load impedance fixture B includes one or more lossless capacitors coupled in series with one or more lossless inductors. One or more lossless capacitors are coupled to input 111N and one or more lossless inductors are coupled to output 702. As another example, the load impedance fixture B includes one or more lossless capacitors coupled in series with one or more lossless inductors. One or more lossless inductors are coupled to input 111N and one or more lossless capacitors are coupled to output 702.

도 8은 고정 파라미터들 (L1s, L1p, L2s, L2p, R1s, R1p, R2s, R2p, C1s, C1p, C2s, 및 C2p) 의 값들을 결정하도록 호스트 컴퓨터 시스템 (112) 에 의해 실행되는 방법 (800) 을 예시하기 위한 선도이다. 예측된 효율 (ε1p) 은 도 5를 참조하여 상기 기술된 바와 같이 매칭 네트워크 모델 (302) 을 사용하여 결정된다. 게다가, 매칭 네트워크 모델 (302) 은 무선 주파수 (fN1), 커패시턴스 (CN1), 및 고정 파라미터들 (L1s, L1p, L2s, L2p, R1s, R1p, R2s, R2p, C1s, C1p, C2s, 및 C2p) 을 가지도록 개시된다. 예를 들어, 사용자는 입력 디바이스를 통해 매칭 네트워크 모델 (302) 을 초기화하기 위해 호스트 컴퓨터 시스템 (112) 의 프로세서에 값들 (fN1, CN1, L1s, L1p, L2s, L2p, R1s, R1p, R2s, R2p, C1s, C1p, C2s, 및 C2p) 을 제공한다. 가중된 커패시턴스들 및 가중된 주파수들이 사용되는 실시예들에서, 프로세서는 매칭 네트워크 모델 (302) 의 파라미터들을 Sf1에서 SfN으로 그리고 Sc1에서 ScN으로 변화시킨다. 예를 들어, 커패시턴스 (CN1) 대신에, 값 (ScN) 이 사용되고 값 (fN1) 대신에, 값 (SfN) 이 사용된다. 8 illustrates a method 800 executed by the host computer system 112 to determine values of fixed parameters (L1s, L1p, L2s, L2p, R1s, R1p, R2s, R2p, C1s, C1p, C2s, and C2p) ). ≪ / RTI > The predicted efficiency? 1p is determined using the matching network model 302 as described above with reference to FIG. In addition, the matching network model 302 includes a radio frequency fN1, a capacitance CN1 and fixed parameters L1s, L1p, L2s, L2p, R1s, R1p, R2s, R2p, C1s, C1p, C2s, Lt; / RTI > For example, the user may enter values (fN1, CN1, L1s, L1p, L2s, L2p, R1s, R1p, R2s, R2p , C1s, C1p, C2s, and C2p). In embodiments in which weighted capacitances and weighted frequencies are used, the processor changes the parameters of the matching network model 302 from Sf1 to SfN and from Sc1 to ScN. For example, instead of the capacitance CN1, the value ScN is used and, instead of the value fN1, the value SfN is used.

프로세서는 네트워크 분석기 (402) 로부터 네트워크 케이블 (404) 을 통해 측정된 출력 전력 (PoNm) 을 수신한다. 매칭 네트워크 모델 (302) 이 무선 주파수 (fN1), 커패시턴스 (CN1), 및 고정 파라미터들 (L1s, L1p, L2s, L2p, R1s, R1p, R2s, R2p, C1s, C1p, C2s, 및 C2p) 을 가지도록 초기화된 시간 동안, 프로세서는 매칭 네트워크 모델 (302) 의 출력부 (304) 에서 예측된 출력 전력 (PoNp) 을 계산하도록 매칭 네트워크 모델 (302) 의 입력부 (306) 에서 입력 전력 (PiNp) 을 적용하고 매칭 네트워크 모델 (302) 의 회로 엘리먼트들을 통해 입력 전력 (PiNp) 을 순방향으로 전파한다. 예를 들어, 매칭 네트워크 모델 (302) 이 회로 엘리먼트들 (R1s, C1s, L1s, 및 C11) 의 직렬 조합을 포함하는 경우, 상당량의 전류가 레지스터 (R1s) 에 의해 소비된 전력 (PRs), 커패시터 (C1s) 에 의해 소비된 전력 (PCs), 인덕터 (Ls) 에 의해 소비된 전력 (PLs), 및 커패시터 (C11) 에 의해 소비된 전력 (PC1s) 을 결정하도록 직렬 조합을 통해 전파된다. 프로세서는 예측된 출력 전력 (PoNp) 을 계산하도록 입력 전력 (PiNp), 전력 (PRs), 전력 (PCs), 전력 (PLs), 및 전력 (PC1s) 의 방향성 합을 계산한다. 일부 실시예들에서, 입력 전력 (PiNp) 은 전력 (PoNm) 과 동일하다. 다양한 실시예들에서, 입력 전력 (PiNp) 은 호스트 컴퓨터 시스템 (112) 의 프로세서에 의해 무작위로 선택된다. 다양한 실시예들에서, 입력 전력 (PiNp) 은 프로세서에 연결된 입력 디바이스를 통해 사용자로부터 수신된다.The processor receives the measured output power PoNm from network analyzer 402 over network cable 404. The matching network model 302 has a radio frequency fN1, a capacitance CN1 and fixed parameters L1s, L1p, L2s, L2p, R1s, R1p, R2s, R2p, C1s, C1p, C2s, and C2p The processor applies the input power PiNp at the input 306 of the matching network model 302 to calculate the predicted output power PoNp at the output 304 of the matching network model 302 And propagates the input power PiNp in the forward direction through the circuit elements of the matching network model 302. For example, when the matching network model 302 includes a series combination of circuit elements R1s, C1s, L1s, and C11, a significant amount of current flows through the power PRs consumed by the resistor R1s, (PCs) consumed by the capacitor C1s, the power PLs consumed by the inductor Ls, and the power PCs consumed by the capacitor C11. The processor calculates the directional sum of the input power PiNp, the power PRs, the power PCs, the power PLs, and the power PCs to calculate the predicted output power PoNp. In some embodiments, the input power PiNp is equal to the power PoNm. In various embodiments, the input power PiNp is randomly selected by the processor of the host computer system 112. In various embodiments, input power PiNp is received from a user via an input device coupled to the processor.

프로세서는 예측된 출력 전력 (PoNp) 과 입력 전력 (PiNp) 의 비로써 매칭 네트워크 모델 (302) 의 예측된 효율 (εNp) 을 계산한다. 프로세서는 매칭 네트워크 모델 (302) 의 하나 이상의 파라미터들 (L1s, L1p, L2s, L2p, R1s, R1p, R2s, R2p, C1s, C1p, C2s, 및 C2p) 을 변화시킬지 결정하기 위해 방법 (800) 을 적용한다. 예를 들어, 동작 (802) 에서, 프로세서는 예측된 효율 (ε1p) 이 측정된 효율 (ε1m) 의 미리-결정된 한계 이내인지 그리고 예측된 효율 (εNp) 이 측정된 효율 (εNm) 의 미리-결정된 한계 이내인지를 결정한다. 예측된 효율 (ε1p) 이 측정된 효율 (ε1m) 의 미리-결정된 한계 내로 그리고 예측된 효율 (εNp) 이 측정된 효율 (εNm) 의 미리-결정된 한계 이내가고 결정할 때, 프로세서는, 동작 (310) 에서, 임피던스 매칭 네트워크 1과 사용을 위해 매칭 네트워크 모델 (302) 에 파라미터들 (L1s, L1p, L2s, L2p, R1s, R1p, R2s, R2p, C1s, C1p, C2s, 및 C2p) 을 할당한다. 반면에, 예측된 효율 (ε1p) 이 측정된 효율 (ε1m) 의 미리-결정된 한계 이내가 아니거나 예측된 효율 (εNp) 이 측정된 효율 (εNm) 의 미리-결정된 한계 이내가 아니라고 결정할 때, 프로세서는, 동작 (312) 에서, 하나 이상의 변화된 파라미터들을 생성하도록 하나 이상의 파라미터들 (L1s, L1p, L2s, L2p, R1s, R1p, R2s, R2p, C1s, C1p, C2s, 및 C2p) 을 변화시킨다.The processor computes the predicted efficiency [epsilon] Np of the matching network model 302 as the ratio of the predicted output power PoNp to the input power PiNp. The processor determines the method 800 to determine whether to change one or more parameters (L1s, L1p, L2s, L2p, R1s, R1p, R2s, R2p, C1s, C1p, C2s, and C2p) of the matching network model 302 To be applied. For example, at operation 802, the processor determines whether the predicted efficiency, epsilon 1p, is within a pre-determined limit of the measured efficiency, epsilon 1m, and if the predicted efficiency, epsilon NP, Within the limit. When the predicted efficiency? 1p is determined to be within a pre-determined limit of the measured efficiency? 1m and the predicted efficiency? Np is within a pre-determined limit of the measured efficiency? Nm, (L1s, L1p, L2s, L2p, R1s, R1p, R2s, R2p, C1s, C1p, C2s, and C2p) to the matching network model 302 for use with the impedance matching network 1. On the other hand, when it is determined that the predicted efficiency? 1p is not within a pre-determined limit of the measured efficiency? 1m or the predicted efficiency? Np is not within a pre-determined limit of the measured efficiency? Nm, At operation 312, one or more parameters (L1s, L1p, L2s, L2p, R1s, R1p, R2s, R2p, C1s, C1p, C2s, and C2p) to produce one or more changed parameters.

프로세서는 변화된 파라미터들에 대한 예측된 효율 (ε1p) 이 측정된 효율 (ε1m) 로부터 미리-결정된 한계 이내인지 그리고 변화된 파라미터들에 대한 예측된 효율 (εNp) 이 측정된 효율 (εNm) 로부터 미리-결정된 한계 이내인지를 결정하도록 하나 이상의 변화된 파라미터들을 사용하여 동작 (802) 을 반복한다. 이 방식으로, 매칭 네트워크 모델 (302) 에 대한 하나 이상의 대응하는 변화된 파라미터들의 하나 이상의 값들을 찾도록 예측된 효율 (ε1p) 이 측정된 효율 (ε1m) 로부터 미리-결정된 한계 내이고 변화된 파라미터들에 대한 예측된 효율 (εNp) 이 측정된 효율 (εNm) 로부터 미리-결정된 한계 내일 때까지 프로세서는 동작 (802) 을 반복한다. 하나 이상의 대응하는 변화된 파라미터들의 하나 이상의 값들은 그 후 매칭 네트워크 모델 (302) 에 할당된다. 예를 들어, 프로세서는 하나 이상의 변화된 파라미터들을 임피던스 매칭 네트워크 1의 식별 번호에 맵핑하고, 맵핑, 식별 번호, 및 하나 이상의 변화된 파라미터들을 호스트 컴퓨터 시스템 (112) 의 메모리 디바이스 내에 저장한다.The processor determines whether the predicted efficiency [epsilon] lp for the changed parameters is within a pre-determined limit from the measured efficiency [epsilon] m and the predicted efficiency [epsilon] Np for the changed parameters is determined from the measured efficiency [ And then repeats operation 802 using one or more changed parameters to determine if it is within a limit. In this manner, the predicted efficiency [epsilon] lp to find one or more values of one or more corresponding changed parameters for the matching network model 302 is determined for the parameters that are within the pre-determined limit from the measured efficiency [ The processor repeats operation 802 until the predicted efficiency? Np is within a pre-determined limit from the measured efficiency? Nm. One or more values of one or more corresponding changed parameters are then assigned to the matching network model 302. For example, the processor maps one or more changed parameters to an identification number of the impedance matching network 1, and stores the mapping, identification number, and one or more changed parameters in the memory device of the host computer system 112.

일부 실시예들에서, 하나 이상의 고정 파라미터들 (L1s, L1p, L2s, L2p, R1s, R1p, R2s, R2p, C1s, C1p, C2s, 및 C2p) 을 변화시키는 것 대신에 또는 이에 더하여, 프로세서는, 방법 (800) 의 동작 (312) 에서, 상기 기술된 방식과 같은 동일한 방식으로 커패시턴스 (C11) 를 변화시킨다.In some embodiments, instead of or in addition to changing one or more fixed parameters (L1s, L1p, L2s, L2p, R1s, R1p, R2s, R2p, C1s, C1p, C2s, and C2p) At operation 312 of method 800, the capacitance C11 is changed in the same manner as described above.

다양한 실시예들에서, 예측된 효율 (ε1p) 이 측정된 효율 (ε1m) 로부터 미리-결정된 한계 이내가 아니고 예측된 효율 (εNp) 이 측정된 효율 (εNm) 로부터 미리-결정된 한계 이내가 아닌 것으로 결정할 때, 프로세서는, 동작 (312) 에서, 하나 이상의 변화된 파라미터들을 생성하도록 하나 이상의 파라미터들 (L1s, L1p, L2s, L2p, R1s, R1p, R2s, R2p, C1s, C1p, C2s, 및 C2p) 을 변화시킨다. In various embodiments, it is determined that the predicted efficiency? 1p is not within a pre-determined limit from the measured efficiency? 1m and the predicted efficiency? Np is not within a pre-determined limit from the measured efficiency? Nm At step 312, the processor, in operation 312, changes one or more parameters (L1s, L1p, L2s, L2p, R1s, R1p, R2s, R2p, C1s, C1p, C2s, and C2p) .

도 9는 임피던스들 (Zi1p, Zi1m, ZiNp, ZiNm), 효율들 (ε1m 및 ε1p), 및 효율들 (εNm 및 εNp) 을 사용하여 파라미터들 (L1s, L1p, L2s, L2p, R1s, R1p, R2s, R2p, C1s, C1p, C2s, 및 C2p) 을 결정하기 위한 방법 (900) 의 실시예의 순서도이다. 방법 (900) 은 호스트 컴퓨터 시스템 (112) 의 프로세서에 의해 실행된다. 방법 (900) 의 동작 (902) 에서, 예측된 입력 임피던스 (Zi1p) 가 입력 임피던스 (Zi1m) 의 미리-결정된 범위 이내인지, 예측된 입력 임피던스 (ZiNp) 가 입력 임피던스 (ZiNm) 의 미리-결정된 범위 이내인지, 예측된 효율 (ε1p) 이 측정된 효율 (ε1m) 로부터 미리-결정된 한계 이내인지, 그리고 예측된 효율 (εNp) 이 측정된 효율 (εNm) 로부터 미리-결정된 한계 이내인지가 프로세서에 의해 결정된다.L1p, L2s, L2p, R1s, R1p, and R2s () are calculated using the impedances Zi1p, Zi1m, ZiNp, ZiNm, efficiencies? 1m and? 1p, and efficiencies? , R2p, C1s, C1p, C2s, and C2p). ≪ / RTI > The method 900 is executed by a processor of the host computer system 112. In operation 902 of method 900, if the predicted input impedance Zi1p is within a pre-determined range of input impedance Zi1m and the predicted input impedance ZiNp is within a pre-determined range of input impedance ZiNm Whether or not the predicted efficiency? 1p is within a pre-determined limit from the measured efficiency? 1m and whether the predicted efficiency? Np is within a pre-determined limit from the measured efficiency? Nm is determined by the processor do.

예측된 입력 임피던스 (Zi1p) 가 입력 임피던스 (Zi1m) 의 미리-결정된 범위 내이고, 예측된 입력 임피던스 (ZiNp) 가 입력 임피던스 (ZiNm) 의 미리-결정된 범위 내이고, 예측된 효율 (ε1p) 이 측정된 효율 (ε1m) 로부터 미리-결정된 한계 내이고, 그리고 예측된 효율 (εNp) 이 측정된 효율 (εNm) 로부터 미리-결정된 한계 이내라고 결정할 때, 동작 (310) 은 프로세서에 의해 수행된다. 반면에, 예측된 입력 임피던스 (Zi1p) 가 입력 임피던스 (Zi1m) 의 미리-결정된 범위 이내가 아니거나, 예측된 입력 임피던스 (ZiNp) 가 입력 임피던스 (ZiNm) 의 미리-결정된 범위 이내가 아니거나, 예측된 효율 (ε1p) 이 측정된 효율 (ε1m) 로부터 미리-결정된 한계 이내가 아니거나, 또는 예측된 효율 (εNp) 이 측정된 효율 (εNm) 로부터 미리-결정된 한계 이내가 아니라고 결정할 때, 프로세서는 동작 (312) 를 수행한다.If the predicted input impedance Zi1p is within a pre-determined range of the input impedance Zi1m and the predicted input impedance ZiNp is within a pre-determined range of the input impedance ZiNm and the predicted efficiency? Operation 310 is within a pre-determined limit from the calculated efficiency? 1m and the predicted efficiency? Np is within a pre-determined limit from the measured efficiency? Nm. On the other hand, if the predicted input impedance Zi1p is not within a pre-determined range of the input impedance Zi1m, or if the predicted input impedance ZiNp is not within a pre-determined range of the input impedance ZiNm, When the determined efficiency ε1p is not within a pre-determined limit from the measured efficiency ε1m or the predicted efficiency εNp is not within a pre-determined limit from the measured efficiency εNm, (312).

일부 실시예들에서, 예측된 입력 임피던스 (Zi1p) 가 입력 임피던스 (Zi1m) 의 미리-결정된 범위 이내가 아니거나, 예측된 입력 임피던스 (ZiNp) 가 입력 임피던스 (ZiNm) 의 미리-결정된 범위 이내가 아니거나, 예측된 효율 (ε1p) 이 측정된 효율 (ε1m) 로부터 미리-결정된 한계 이내가 아니거나, 예측된 효율 (εNp) 이 측정된 효율 (εNm) 로부터 미리-결정된 한계 이내가 아니거나, 또는 이들의 둘 이상의 조합으로 결정할 때, 프로세서는 동작 (312) 을 수행한다. 예를 들어, 예측된 입력 임피던스 (Zi1p) 가 입력 임피던스 (Zi1m) 의 미리-결정된 범위 이내가 아니고, 예측된 입력 임피던스 (ZiNp) 가 입력 임피던스 (ZiNm) 의 미리-결정된 범위 이내가 아니고, 그리고 예측된 효율 (ε1p) 이 측정된 효율 (ε1m) 로부터 미리-결정된 한계 이내가 아니고, 그리고 예측된 효율 (εNp) 이 측정된 효율 (εNm) 로부터 미리-결정된 한계 이내가 아니라고 결정할 때, 프로세서는 동작 (312) 을 수행한다. 다른 예로서, 예측된 입력 임피던스 (ZiNp) 가 입력 임피던스 (ZiNm) 의 미리-결정된 범위 이내가 아니고 예측된 효율 (εNp) 이 측정된 효율 (εNm) 로부터 미리-결정된 한계 이내가 아니라고 결정할 때, 프로세서는 동작 (312) 을 수행한다.In some embodiments, if the predicted input impedance Zi1p is not within a pre-determined range of input impedance Zi1m, or if the predicted input impedance ZiNp is not within a pre-determined range of input impedance ZiNm Or the predicted efficiency ε1p is not within the pre-determined limit from the measured efficiency ε1m or the predicted efficiency εNp is not within the pre-determined limit from the measured efficiency εNm, , The processor performs an operation 312. In operation 312, For example, if the predicted input impedance Zi1p is not within a pre-determined range of the input impedance Zi1m, the predicted input impedance ZiNp is not within a pre-determined range of the input impedance ZiNm, When the determined efficiency ε1p is not within a pre-determined limit from the measured efficiency ε1m and the predicted efficiency εNp is not within a pre-determined limit from the measured efficiency εNm, 312). As another example, when it is determined that the predicted input impedance ZiNp is not within a pre-determined range of the input impedance ZiNm and the predicted efficiency? Np is not within a pre-determined limit from the measured efficiency? Nm, (312). ≪ / RTI >

프로세서는 예측된 입력 임피던스 (Zi1p) 가 입력 임피던스 (Zi1m) 의 미리-결정된 범위 이내인지, 예측된 입력 임피던스 (ZiNp) 가 입력 임피던스 (ZiNm) 의 미리-결정된 범위 이내인지, 예측된 효율 (ε1p) 이 측정된 효율 (ε1m) 로부터 미리-결정된 한계 이내인지, 그리고 예측된 효율 (εNp) 이 측정된 효율 (εNm) 로부터 미리-결정된 한계 이내인지를 결정하도록 하나 이상의 변화된 파라미터들을 사용하여 동작 (902) 을 반복한다. 이 방식으로, 매칭 네트워크 모델 (302) 의 대응하는 하나 이상의 변화된 파라미터들의 하나 이상의 값들을 찾도록 예측된 입력 임피던스 (Zi1p) 가 입력 임피던스 (Zi1m) 의 미리-결정된 범위 내이고, 예측된 입력 임피던스 (ZiNp) 가 입력 임피던스 (ZiNm) 의 미리-결정된 범위 내이고, 예측된 효율 (ε1p) 이 측정된 효율 (ε1m) 로부터 미리-결정된 한계 내이고, 그리고 예측된 효율 (εNp) 이 측정된 효율 (εNm) 로부터 미리-결정된 한계 내일 때까지, 프로세서는 동작 (902) 를 반복한다. 하나 이상의 변화된 파라미터들은 그 후 매칭 네트워크 모델 (302) 에 할당된다. 예를 들어, 프로세서는 하나 이상의 변화된 파라미터들을 임피던스 매칭 네트워크 1의 식별 번호에 맵핑하고, 맵핑, 하나 이상의 변화된 파라미터들, 및 식별 번호를 호스트 컴퓨터 시스템 (112) 의 메모리 디바이스 내에 저장한다.The processor determines whether the predicted input impedance Zi1p is within a pre-determined range of the input impedance Zi1m and whether the predicted input impedance ZiNp is within a pre-determined range of the input impedance ZiNm, (902) using one or more of the changed parameters to determine whether the estimated efficiency (εNp) is within a pre-determined limit from the measured efficiency (ε1m) and whether the predicted efficiency (εNp) . In this manner, the input impedance Zi1p predicted to look for one or more values of the corresponding one or more changed parameters of the matching network model 302 is within a pre-determined range of the input impedance Zi1m and the predicted input impedance Determined range of the input impedance ZiNm and the predicted efficiency? 1p is within a pre-determined limit from the measured efficiency? 1m and the predicted efficiency? Np is within the pre-determined range of the measured efficiency? The processor repeats operation 902 until it is within a pre-determined limit. The one or more changed parameters are then assigned to the matching network model 302. For example, the processor maps one or more changed parameters to an identification number of the impedance matching network 1 and stores the mapping, one or more changed parameters, and an identification number in the memory device of the host computer system 112.

일부 실시예들에서, 하나 이상의 고정 파라미터들 (L1s, L1p, L2s, L2p, R1s, R1p, R2s, R2p, C1s, C1p, C2s, 및 C2p) 을 변화시키는 것 대신에 또는 이에 더하여, 프로세서는, 방법 (900) 의 동작 (312) 에서, 상기 기술된 방식과 같은 동일한 방식으로 가변 커패시턴스 (C11) 을 변화시킨다.In some embodiments, instead of or in addition to changing one or more fixed parameters (L1s, L1p, L2s, L2p, R1s, R1p, R2s, R2p, C1s, C1p, C2s, and C2p) At operation 312 of method 900, the variable capacitance C11 is changed in the same manner as described above.

도 10은 플라즈마 시스템 (1000) 내에서 매칭 네트워크 모델 (302) 의 사용을 예시하기 위한 플라즈마 시스템 (1000) 의 실시예의 선도이다. 시스템 (1000) 은 RF 생성기 (1002), 임피던스 매칭 네트워크 1, 플라즈마 챔버 (1004), 및 호스트 컴퓨터 시스템 (112) 을 포함한다. RF 생성기 (1002) 는 x ㎒ RF 생성기, y ㎒ RF 생성기, 또는 z ㎒ RF 생성기이다. RF 생성기 (1002) 는 주파수 (fRF1) 에서 동작한다. 플라즈마 챔버 (1004) 는 RF 전송선 (1006) 을 통해 임피던스 매칭 네트워크 1의 출력부 (109) 에 연결되고 임피던스 매칭 네트워크 1의 분기 회로의 입력부 (107) 는 RF 케이블 (1008) 을 통해 RF 생성기 (1002) 로 연결된다.10 is a diagram of an embodiment of a plasma system 1000 for illustrating the use of a matching network model 302 within a plasma system 1000. The system 1000 includes an RF generator 1002, an impedance matching network 1, a plasma chamber 1004, and a host computer system 112. The RF generator 1002 is an x ㎒ RF generator, a y ㎒ RF generator, or a z ㎒ RF generator. RF generator 1002 operates at frequency fRF1. The plasma chamber 1004 is connected to the output 109 of the impedance matching network 1 via the RF transmission line 1006 and the input 107 of the branch circuit of the impedance matching network 1 is connected to the RF generator 1002 ).

RF 생성기 (1002) 는 RF 전력 공급부 (1010) 및 센서 (1012), 예를 들어, 복소 (complex) 전압 및 전류 센서, 복소 임피던스 센서, 복소 전압 센서, 복소 전류 센서 등을 포함한다. 센서 (1012) 는 네트워크 케이블 (1014), 예를 들어, 직렬 이송 케이블, 병렬 이송 케이블, USB 케이블 등을 통해 호스트 컴퓨터 시스템 (112) 에 연결된다. 센서 (1012) 의 예들은 전압 센서, 전류 센서, 임피던스 센서, 복소 전압 및 전류 센서, 전력 센서 등을 포함한다. 호스트 컴퓨터 시스템 (112) 은 프로세서 (1016) 및 프로세서 (1016) 에 의한 액세스를 위해 매칭 네트워크 모델 (302) 을 저장하는 메모리 디바이스 (1018) 를 포함한다.RF generator 1002 includes an RF power supply 1010 and a sensor 1012, e.g., a complex voltage and current sensor, a complex impedance sensor, a complex voltage sensor, a complex current sensor, and the like. The sensor 1012 is connected to the host computer system 112 via a network cable 1014, for example, a serial transfer cable, a parallel transfer cable, a USB cable, or the like. Examples of the sensor 1012 include a voltage sensor, a current sensor, an impedance sensor, a complex voltage and current sensor, a power sensor, and the like. The host computer system 112 includes a memory device 1018 that stores a matching network model 302 for access by a processor 1016 and a processor 1016.

플라즈마 챔버 (1004) 는 상부 전극 (1020), 척 (1022), 및 웨이퍼 (W) 를 포함한다. 상부 전극 (1020) 은 척 (1022) 과 대면하고 (faces) 접지, 예를 들어, 기준 전압과 커플링, 영전압과 커플링, 음전압과 커플링 등이 된다. 척 (1022) 의 예들은 ESC (electrostatic chuck) 및 자기 (magnetic) 척을 포함한다. 척 (1022) 의 하부 전극은 금속, 예를 들어, 양극산화된 (anodized) 알루미늄, 알루미늄 합금 등으로 만들어진다. 또한, 상부 전극 (1020) 은 금속, 예를 들어, 알루미늄, 알루미늄 합금, 등으로 만들어진다. 상부 전극 (1020) 은 척 (1022) 의 하부 전극의 반대편에 위치하고 대면한다.The plasma chamber 1004 includes an upper electrode 1020, a chuck 1022, and a wafer W. [ The upper electrode 1020 faces the chuck 1022 and faces the ground, e. G., Reference voltage and coupling, zero voltage and coupling, negative voltage and coupling, and so on. Examples of the chuck 1022 include an ESC (electrostatic chuck) and a magnetic chuck. The lower electrode of the chuck 1022 is made of a metal, for example, anodized aluminum, an aluminum alloy, or the like. Further, the upper electrode 1020 is made of a metal, for example, aluminum, an aluminum alloy, or the like. The upper electrode 1020 is located on the opposite side of the lower electrode of the chuck 1022 and confronts it.

일부 실시예들에서, 플라즈마 챔버 (1004) 는 부가적인 부품들, 예를 들어, 상부 전극 (1020) 을 둘러싸는 상부 전극 연장부, 척 (1022) 의 하부 전극을 둘러싸는 하부 전극 연장부, 상부 전극 (1020) 과 상부 전극 연장부 사이의 유전체 링, 하부 전극과 하부 전극 연장부 사이의 유전체 링, 플라즈마가 형성되는 플라즈마 챔버 (1004) 내에서 영역을 둘러싸도록 척 (1022) 및 상부 전극 (1020) 의 가장자리에 위치한 한정 (confinement) 링들 등을 사용하여 형성된다.In some embodiments, the plasma chamber 1004 includes additional components, such as an upper electrode extension surrounding the upper electrode 1020, a lower electrode extension surrounding the lower electrode of the chuck 1022, A dielectric ring between the electrode 1020 and the upper electrode extension, a dielectric ring between the lower electrode extension and the lower electrode extension, a chuck 1022 and an upper electrode 1020 to surround the region in the plasma chamber 1004 in which the plasma is formed Or confinement rings located at the edges of the substrate.

웨이퍼 (W) 는 프로세싱, 예를 들어, 웨이퍼 (W) 상에 재료들을 증착하는 것이나, 웨이퍼 (W) 를 세정하는 것이나, 웨이퍼 (W) 상에 증착된 층들을 에칭하는 것이나, 웨이퍼 (W) 의 도핑이나, 웨이퍼 (W) 상의 이온 주입이나, 웨이퍼 (W) 상의 포토리소그래픽 (photolithographic) 패턴을 만드는 것이나, 웨이퍼 (W) 를 에칭하는 것이나, 웨이퍼 (W) 를 스퍼터링하는 것, 또는 이들의 조합을 위해 척 (1022) 의 상단 표면 (1024) 상에 놓여진다.The wafer W may be processed by, for example, depositing materials on the wafer W, cleaning the wafer W, etching the deposited layers on the wafer W, The ion implantation on the wafer W or the photolithographic pattern on the wafer W or the etching of the wafer W or the sputtering of the wafer W, Is placed on top surface 1024 of chuck 1022 for combination.

호스트 컴퓨터 시스템 (112) 의 프로세서 (1016) 는 호스트 컴퓨터 시스템 (112) 의 메모리 디바이스 (1018) 로부터 레시피, 예를 들어, RF 생성기 (1002) 에 의해 생성되도록 RF 신호의 주파수 (fRF1), RF 생성기 (1002) 에 의해 생성될 RF 신호의 전력 양 등에 액세스하고, 네트워크 케이블 (1026) 을 통해 RF 생성기 (1002) 에 레시피를 제공한다.The processor 1016 of the host computer system 112 may determine the frequency fRF1 of the RF signal to be generated by the recipe, e.g., the RF generator 1002, from the memory device 1018 of the host computer system 112, The amount of power of the RF signal to be generated by the RF generator 1002, and the like, and provides a recipe to the RF generator 1002 via the network cable 1026. [

레시피는 또한 달성될 임피던스 매칭 네트워크 1의 결합된 가변 커패시턴스를 포함한다. 프로세서는, 연결 메커니즘 (1042) 을 통해 임피던스 매칭 네트워크 1의 하나 이상의 가변 커패시터들에 연결된, 구동 어셈블리 (1040) 에 연결된다. 구동 어셈블리 (1040) 의 예들은 하나 이상의 모터들 각각에 연결된 하나 이상의 드라이버들, 예들 들어, 하나 이상의 트랜지스터들 등을 포함한다. 하나 이상의 모터들은 연결 메커니즘 (1042) 의 하나 이상의 부하들 (rods) 각각에 연결된다. 프로세서 (1016) 는 결합된 가변 커패시턴스를 더 달성하도록 대응하는 하나 이상의 커패시턴스 값들을 달성하게 연결 메커니즘 (1042) 을 통해 임피던스 매칭 네트워크 1의 하나 이상의 가변 커패시터들을 제어하기 위해 구동 어셈블리 (1040) 를 제어한다. 예를 들어, 프로세서 (1016) 는 하나 이상의 모터들 중 하나에 연결된 하나 이상의 드라이버들 중 하나에 신호를 보낸다. 신호를 수신할 때, 드라이버는 모터의 스테이터에 제공된 전류 신호를 생성한다. 스테이터와 통신하는 로터는 로터에 연결된 연결 메커니즘 (1042) 의 하나 이상의 부하들을 회전시키도록 회전한다. 하나 이상의 부하들의 회전은 임피던스 매칭 네트워크 1의 결합된 가변 커패시턴스를 변화시키도록 임피던스 매칭 네트워크 1의 하나 이상의 가변 커패시터들 중 하나의 판의 위치를 변화시킨다. 유사하게, 임피던스 매칭 네트워크 1의 하나 이상의 가변 커패시터들 중 다른 커패시터들은 결합된 가변 커패시턴스를 달성하도록 프로세서 (1016) 에 의해 제어된다. 달성될 임피던스 매칭 네트워크 1의 모든 가변 커패시터들의 결합된 커패시턴스는 결합된 가변 커패시턴스 (C11) 로 나타내어진다.The recipe also includes the combined variable capacitance of the impedance matching network 1 to be achieved. The processor is coupled to the drive assembly 1040, which is coupled to one or more variable capacitors of the impedance matching network 1 via a connection mechanism 1042. Examples of drive assembly 1040 include one or more drivers, e.g., one or more transistors, coupled to each of the one or more motors. One or more motors are connected to each of one or more rods of the connection mechanism 1042. The processor 1016 controls the drive assembly 1040 to control one or more variable capacitors of the impedance matching network 1 via the connection mechanism 1042 to achieve corresponding one or more capacitance values to further achieve the combined variable capacitance . For example, the processor 1016 sends a signal to one of one or more drivers coupled to one of the one or more motors. When receiving a signal, the driver generates a current signal provided to the stator of the motor. The rotor in communication with the stator rotates to rotate one or more loads of the connection mechanism 1042 connected to the rotor. The rotation of one or more loads changes the position of one of the one or more variable capacitors of the impedance matching network 1 to change the combined variable capacitance of the impedance matching network 1. Similarly, other ones of the one or more variable capacitors of the impedance matching network 1 are controlled by the processor 1016 to achieve a combined variable capacitance. The combined capacitance of all the variable capacitors of the impedance matching network 1 to be achieved is represented by the combined variable capacitance C11.

RF 생성기 (1002) 는 레시피를 수신하고 레시피 내의 전력 및 주파수 (fRF1) 를 가지는 RF 신호를 생성한다. 결합된 가변 커패시턴스 (C11) 를 가지는 임피던스 매칭 네트워크 1의 분기 회로는 변경된 RF 신호를 생성하도록 출력부 (1030), RF 케이블 (1008), 및 임피던스 매칭 네트워크 1의 입력부 (107) 를 통해 RF 생성기 (1002) 로부터 주파수 (fRF1) 를 가지는 RF 신호를 수신하고 임피던스 매칭 네트워크 1의 출력부 (109) 에 연결된 부하의 임피던스와 임피던스 매칭 네트워크 1의 입력부 (107) 에 연결된 소스의 임피던스를 매칭시킨다. 소스의 예들은 RF 생성기 (1002) 를 임피던스 매칭 네트워크 1에 커플링하는 RF 케이블 (1008) 및 RF 생성기 (1002) 를 포함한다. 부하의 예들은 RF 전송선 (1006) 및 플라즈마 챔버 (1004) 를 포함한다. RF 전송선 (1006) 은 척 (1022) 의 하부 전극을 임피던스 매칭 네트워크 1에 연결한다. 변경된 RF 신호는 척 (1022) 에 출력부 (109) 및 RF 전송선 (1006) 을 통해 임피던스 매칭 네트워크 1에 의해 제공된다.RF generator 1002 receives the recipe and generates an RF signal with power and frequency fRF1 in the recipe. The branch circuit of the impedance matching network 1 having the combined variable capacitance C11 is connected to the RF generator 100 via the output unit 1030, the RF cable 1008 and the input unit 107 of the impedance matching network 1 to generate a modified RF signal. 1002 to match the impedance of the load connected to the output 109 of the impedance matching network 1 and the impedance of the source connected to the input 107 of the impedance matching network 1. Examples of the source include an RF cable 1008 and an RF generator 1002 coupling the RF generator 1002 to the impedance matching network 1. Examples of loads include an RF transmission line 1006 and a plasma chamber 1004. The RF transmission line 1006 connects the lower electrode of the chuck 1022 to the impedance matching network 1. The modified RF signal is provided to the chuck 1022 by the impedance matching network 1 through the output 109 and RF transmission line 1006.

척 (1022) 은 변경된 RF 신호를 수신하고 플라즈마 챔버 (1004) 내로 프로세스 가스가 진입 시, 플라즈마는 플라즈마 챔버 (1004) 내에서 스트라이킹되거나 (stricken) 유지된다. 프로세스 가스의 예들은 산소-함유 가스 또는 플루오린 (fluorine) - 함유 가스 등을 포함하고 프로세스 가스는 상부 전극 (1020) 과 척 (1022) 사이의 갭 내에서 제공된다.The chuck 1022 receives the modified RF signal and when the process gas enters the plasma chamber 1004, the plasma strikes or remains within the plasma chamber 1004. Examples of the process gas include an oxygen-containing gas or a fluorine-containing gas, and the process gas is provided in a gap between the upper electrode 1020 and the chuck 1022.

매칭 네트워크 모델 (302) 은 호스트 컴퓨터 시스템 (112) 의 메모리 디바이스 (1018) 내에 저장된다. 게다가, 메모리 디바이스 (1018) 는 임피던스 매칭 네트워크 1의 식별, 매칭 네트워크 모델 (302) 의 파라미터들의 값들, RF 생성기 (1002) 에 의해 생성된 RF 신호의 주파수 (fRF1), 및 임피던스 매칭 네트워크 1의 결합된 가변 커패시턴스 (C11) 사이의 연관성 (association) 을 포함하는 데이터베이스 (1028) 를 저장한다. 예를 들어, 데이터베이스 (1028) 는 임피던스 매칭 네트워크 1의 식별 번호, 예를 들어, ID1 등 및 ID1과 고정 파라미터들 (L1s, L1p, L2s, L2p, R1s, R1p, R2s, R2p, C1s, C1p, C2s, 및 C2p) 또는, 방법 303 (도 3) 또는 방법 (500) (도 5) 또는 방법 (600) (도 6) 또는 방법 (800) (도 8) 또는 방법 (900) (도 9) 을 사용하여 결정된, 하나 이상의 변화된 파라미터들 사이의 맵핑을 저장한다. 임피던스 매칭 네트워크의 식별 번호의 예들은 임피던스 매칭 네트워크의 시리얼 번호를 포함한다. 게다가, 이 예에서, 메모리 디바이스 (1018) 는 다른 임피던스 매칭 네트워크 2의 ID2, 및 ID2와 임피던스 매칭 네트워크 2의 파라미터들 사이의 맵핑을 저장한다. 임피던스 매칭 네트워크 2의 파라미터들은 도 3, 도 5, 도 6, 도 8, 또는 도 9를 사용하여 상기 예시된 임피던스 매칭 네트워크 1의 파라미터들을 결정하는 방식과 유사한 방식으로 결정된다.The matching network model 302 is stored in the memory device 1018 of the host computer system 112. In addition, the memory device 1018 includes an identification of the impedance matching network 1, values of the parameters of the matching network model 302, the frequency fRF1 of the RF signal generated by the RF generator 1002, And an associated variable capacitance C11. For example, the database 1028 includes an identification number of the impedance matching network 1, for example, ID1, and the like, and ID1 and fixed parameters L1s, L1p, L2s, L2p, R1s, R1p, R2s, R2p, C1s, C1p, C2s and C2p) or the method 300 (FIG. 3) or the method 500 (FIG. 5) or the method 600 (FIG. 6) or the method 800 (FIG. 8) or the method 900 And stores the mapping between one or more changed parameters, determined using the < RTI ID = 0.0 > Examples of the identification number of the impedance matching network include the serial number of the impedance matching network. In addition, in this example, the memory device 1018 stores a mapping between the ID2 of the other impedance matching network 2, and the parameters of the impedance matching network 2 and ID2. The parameters of the impedance matching network 2 are determined in a manner similar to the manner of determining the parameters of the illustrated impedance matching network 1 using FIG. 3, FIG. 6, FIG. 8, or FIG.

일부 실시예들에서, 임피던스 매칭 네트워크 2의 고정 파라미터들은 임피던스 매칭 네트워크 1의 고정 파라미터들과 같다. 예를 들어, 임피던스 매칭 네트워크 2의 고정 파라미터들은 L1s, L1p, L2s, L2p, R1s, R1p, R2s, R2p, C1s, C1p, C2s, 및 C2p 또는 하나 이상의 변화된 파라미터들이다.In some embodiments, the fixed parameters of the impedance matching network 2 are equal to the fixed parameters of the impedance matching network 1. For example, the fixed parameters of the impedance matching network 2 are L1s, L1p, L2s, L2p, R1s, R1p, R2s, R2p, C1s, C1p, C2s, and C2p or one or more changed parameters.

다양한 실시예들에서, 임피던스 매칭 네트워크 2의 파라미터들은 임피던스 매칭 네트워크 1의 파라미터들과 같다. 예를 들어, 임피던스 매칭 네트워크 2의 파라미터들은 L1s, L1p, L2s, L2p, R1s, R1p, R2s, R2p, C1s, C1p, C2s, C2p, 및 C11 또는 하나 이상의 변화된 파라미터들이다.In various embodiments, the parameters of the impedance matching network 2 are the same as those of the impedance matching network 1. For example, the parameters of the impedance matching network 2 are L1s, L1p, L2s, L2p, R1s, R1p, R2s, R2p, C1s, C1p, C2s, C2p, and C11 or one or more changed parameters.

임피던스 매칭 네트워크 1은 임피던스 매칭 네트워크 2에 할당된 시리얼 넘버와 상이한 시리얼 넘버가 할당되고 임피던스 매칭 네트워크 1과 임피던스 매칭 네트워크 2 모두는 같은 모델 번호를 가진다. 일부 실시예들에서, 시리얼 번호는 임피던스 매칭 네트워크의 하우징 (housing) 상에 있고 모델 넘버도 임피던스 매칭 네트워크의 하우징 (housing) 상에 있다. 다양한 실시예들에서, 식별 번호는 문자들, 숫자들, 기호들, 또는 둘 이상의 문자들, 숫자들, 및 기호들의 조합을 포함한다.The impedance matching network 1 is assigned a serial number different from the serial number assigned to the impedance matching network 2, and both the impedance matching network 1 and the impedance matching network 2 have the same model number. In some embodiments, the serial number is on the housing of the impedance matching network and the model number is on the housing of the impedance matching network. In various embodiments, the identification number includes letters, numbers, symbols, or a combination of two or more letters, numbers, and symbols.

호스트 컴퓨터 시스템 (112) 의 프로세서 (1016) 는 RF 생성기 (1002) 가 ID1을 가지는 임피던스 매칭 네트워크 1에 연결된 호스트 컴퓨터 시스템 (112) 에 연결된 입력 디바이스들, 예를 들어, 스타일러스 (stylus), 터치패드, 터치스크린, 버튼, 마우스 등을 통해 사용자로부터 지시 (indication) 를 수신한다. 프로세서 (1016) 는 임피던스 매칭 네트워크 1의 ID1이 파라미터들 (L1s, L1p, L2s, L2p, R1s, R1p, R2s, R2p, C1s, C1p, C2s, 및 C2p) 또는 매칭 네트워크 모델 (302) 의 하나 이상의 변화된 파라미터들과 연관된 메모리 디바이스 (1018) 로부터 식별한다. 프로세서 (1016) 는 메모리 디바이스 (1018) 로부터 파라미터들 (L1s, L1p, L2s, L2p, R1s, R1p, R2s, R2p, C1s, C1p, C2s, 및 C2p) 을 액세스, 예를 들어, 읽는 등하고 값들 (L1s, L1p, L2s, L2p, R1s, R1p, R2s, R2p, C1s, C1p, C2s, 및 C2p) 을 가지도록 또는 임피던스 매칭 네트워크 1과 연관된 하나 이상의 변화된 파라미터들을 가지도록 매칭 네트워크 모델 (302) 의 파라미터들을 조정한다.The processor 1016 of the host computer system 112 may be connected to input devices connected to the host computer system 112 connected to the impedance matching network 1 with the RF generator 1002 having ID1 such as a stylus, , A touch screen, a button, a mouse, and the like. The processor 1016 determines whether the ID1 of the impedance matching network 1 is equal to or greater than one of the parameters L1s, L1p, L2s, L2p, R1s, R1p, R2s, R2p, C1s, C1p, C2s, and C2p or the matching network model 302 From the memory device 1018 associated with the changed parameters. Processor 1016 accesses, e.g., reads, and reads parameters (e.g., L1s, L1p, L2s, L2p, R1s, R1p, R2s, R2p, C1s, C1p, C2s, and C2p) from memory device 1018 Of the matching network model 302 so as to have one or more changed parameters associated with the impedance matching network 1 so as to have the same value (L1s, L1p, L2s, L2p, R1s, R1p, R2s, R2p, C1s, C1p, C2s, Adjust the parameters.

센서 (1012) 는 출력부 (1030) 에서 변수를 측정하도록 출력부 (1030) 에 연결된다. 예를 들어, 센서 (1012) 는 출력부 (1030) 에서 임피던스, 또는 RF 생성기 (1002) 에 의해 공급된 RF 신호의 복소 전압 및 전류, 또는 RF 생성기 (1002) 에 의해 전달된 RF 신호의 복소 전압 및 전류의 양을 측정한다. 일부 실시예들에서, RF 생성기 (1002) 에 의해 전달된 RF 신호는 RF 케이블 (1008) 을 통해 임피던스 매칭 네트워크 1에 RF 생성기 (1002) 에 의해 공급된 RF 신호와 임피던스 매칭 네트워크 1을 통해 플라즈마 챔버 (1004) 로부터 RF 생성기 (1002) 를 향해 다시 반사된 RF 신호 사이의 차 (difference) 이다.The sensor 1012 is connected to the output 1030 to measure the variable at the output 1030. For example, the sensor 1012 may determine the impedance at the output 1030 or the complex voltage and current of the RF signal supplied by the RF generator 1002, or the complex voltage of the RF signal delivered by the RF generator 1002 And the amount of current. In some embodiments, the RF signal delivered by the RF generator 1002 is coupled to the impedance matching network 1 via the RF cable 1008 and the RF signal supplied by the RF generator 1002 via the impedance matching network 1, Is the difference between the RF signal reflected back from the RF generator 1004 to the RF generator 1002.

측정된 변수, 예를 들어, 복소 전압, 복소 전류, 복소 임피던스, 복소 전력, 복소 전압 및 전류 등이 센서 (1012) 로부터 네트워크 케이블 (1014) 을 통해 프로세서 (1016) 에 의해 수신되는 경우, 프로세서 (1016) 는, 매칭 네트워크 모델 (302) 의 출력부 (304) 에서 예측된 변수를 생성하도록, ID1과 연관된 하나 이상의 파라미터들 또는 ID1과 연관된 하나 이상의 변화된 파라미터들을 가지도록 초기화 매칭 네트워크 모델 (302) 의 입력부 (306) 에 측정된 변수를 적용한다. 측정된 변수는 매칭 네트워크 모델 (302) 의 출력부 (304) 에서 출력 변수를 생성하도록 입력부 (306) 로부터 출력부 (304) 에 매칭 네트워크 모델 (302) 을 통해 프로세서 (1016) 에 의해 순방향으로 전파된다. 예를 들어, 프로세서 (416) 는 매칭 네트워크 모델 (302) 의 입력부 (306) 에서 수신된 복소 전압, 매칭 네트워크 모델 (302) 내에서 레지스턴스 (R1s) 를 가지는 저항성 엘리먼트에 걸친 복소 전압, 매칭 네트워크 모델 (302) 내에서 인덕턴스 (L1s) 를 가지는 유도성 엘리먼트에 걸친 복소 전압, 고정 커패시턴스 (C1s) 를 가지는 용량성 엘리먼트에 걸친 복소 전압, 매칭 네트워크 모델 (302) 내에서 레지스턴스 (R2s) 를 가지는 저항성 엘리먼트에 걸친 복소 전압, 매칭 네트워크 모델 (302) 내에서 인덕턴스 (L2s) 를 가지는 유도성 엘리먼트에 걸친 복소 전압, 고정 커패시턴스 (C2s) 를 가지는 용량성 엘리먼트에 걸친 복소 전압, 및 매칭 네트워크 모델 (302) 내에서 가변 커패시턴스 (C11) 를 가지는 용량성 엘리먼트에 걸친 복소 전압의 방향성 합을 계산한다. When the measured variables, such as complex voltage, complex current, complex impedance, complex power, complex voltage and current, are received by the processor 1016 from the sensor 1012 through the network cable 1014, 1016 may be configured to have one or more parameters associated with ID1 or one or more changed parameters associated with ID1 to generate a predicted variable at output 304 of the matching network model 302 The measured variable is applied to the input unit 306. The measured variable is propagated from the input 306 to the output 304 in a forward direction by the processor 1016 via the matching network model 302 to produce an output variable at the output 304 of the matching network model 302 do. For example, the processor 416 may determine the complex voltage received at the input 306 of the matching network model 302, the complex voltage across the resistive element with the resistance R1s in the matching network model 302, A complex voltage across the inductive element having an inductance L1s in the matching network model 302, a complex voltage across the capacitive element having the fixed capacitance C1s, a resistive element with resistance R2s in the matching network model 302, A complex voltage across the capacitive element having a fixed capacitance C 2s and a complex voltage across the inductive element having inductance L 2 s in the matching network model 302, To calculate the directional sum of the complex voltage across the capacitive element having the variable capacitance C11.

매칭 네트워크 모델 (302) 내에서 레지스턴스 (R1s) 를 가지는 저항성 엘리먼트에 걸친, 매칭 네트워크 모델 (302) 내에서 인덕턴스 (L1s) 를 가지는 유도성 엘리먼트에 걸친, 고정 커패시턴스 (C1s) 를 가지는 용량성 엘리먼트에 걸친, 매칭 네트워크 모델 (302) 내에서 레지스턴스 (R2s) 를 가지는 저항성 엘리먼트에 걸친, 매칭 네트워크 모델 (302) 내에서 인덕턴스 (L2s) 를 가지는 유도성 엘리먼트에 걸친, 고정 커패시턴스 (C2s) 를 가지는 용량성 엘리먼트에 걸친, 그리고 매칭 네트워크 모델 (302) 내에서 가변 커패시턴스 (C11) 를 가지는 용량성 엘리먼트에 걸친 매칭 네트워크 모델 (302) 의 입력부에서 수신된 복소 전압들은 매칭 네트워크 모델 (302) 의 출력부 (304) 에서 복소 값을 생성하도록 fRF1의 주파수를 가진다는 것을 주의해야 한다. 이 예에서, 매칭 네트워크 모델 (302) 는 모두가 서로 직렬로 연결된 레지스터 (R1s), 인덕터 (L1s), 커패시터 (C1s), 레지스터 (R2s), 인덕터 (L2s), 커패시터 (C2s), 및 커패시터 (C11) 와 다른 임의의 다른 회로 엘리먼트들을 포함하지 않는다. 매칭 네트워크 모델 (302) 의 입력부 (306) 에서 수신된 복소 전압은 RF 생성기 (1002) 의 출력부 (1030) 에 연결된 센서 (1012) 에 의해 측정되고 프로세서 (1016) 에 의해 센서 (1012) 로부터 수신된다. 이에 따라, 임피던스 매칭 네트워크 1의 출력부 (109) 에서 변수의 값을 결정하도록 임피던스 매칭 네트워크 1과 플라즈마 챔버 (1004) 사이에서 센서, 예를 들어, 전압 센서, 전류 센서, 복소 임피던스 센서, 복소 전압 및 전류 센서 등을 사용할 필요가 없다. 이러한 센서들은 사용하기 비싸다. 비교하자면, 센서 (1012) 는 이미 RF 생성기 (1002) 의 일부분이고, 사용할 준비가 되어 있다.To a capacitive element having a fixed capacitance C1s over an inductive element having an inductance Lls in the matching network model 302 over a resistive element having resistance R1s in the matching network model 302 (C2s) across the inductive element having inductance (L2s) within the matching network model 302 over the resistive element having resistance (R2s) within the matched network model 302, The complex voltages received at the input of the matching network model 302 across the capacitive element across the element and across the capacitive element having the variable capacitance C11 within the matching network model 302 are provided to the output 304 of the matching network model 302 ) Has a frequency of fRF1 to produce a complex value. In this example, the matching network model 302 includes a register R1s, an inductor L1s, a capacitor C1s, a resistor R2s, an inductor L2s, a capacitor C2s, and a capacitor C11) and any other circuit elements. The complex voltage received at the input 306 of the matching network model 302 is measured by the sensor 1012 connected to the output 1030 of the RF generator 1002 and received by the processor 1016 from the sensor 1012 do. Accordingly, a sensor, for example, a voltage sensor, a current sensor, a complex impedance sensor, a complex voltage sensor (not shown) may be provided between the impedance matching network 1 and the plasma chamber 1004 to determine the value of the variable at the output 109 of the impedance matching network 1. [ And a current sensor need not be used. These sensors are expensive to use. By comparison, the sensor 1012 is already part of the RF generator 1002 and is ready for use.

일부 실시예들에서, 고정 값들 (L1s, L1p, L2s, L2p, R1s, R1p, R2s, R2p, C1s, C1p, C2s, 및 C2p) 은 동일한 모델의 모든 임피던스 매칭 네트워크들에 적용된다. 예를 들어, 고정 값들 (L1s, L1p, L2s, L2p, R1s, R1p, R2s, R2p, C1s, C1p, C2s, 및 C2p) 은, 상이한 시리얼 번호들을 가지나 동일한 모델 번호들을 가지는 임피던스 매칭 네트워크들이 RF 생성기 (1002) 의 출력부 (1030) 에 연속하여 연결된 경우, 센서 (1012) 로부터 얻어진 파라미터의 값에 기초하여 매칭 네트워크 모델 (302) 의 출력부 (304) 에서 변수를 계산하도록 프로세서 (1016) 에 의해 적용된다. 다른 예로서, 파라미터들, 예를 들어, 고정 파라미터들 (L1s, L1p, L2s, L2p, R1s, R1p, R2s, R2p, C1s, C1p, C2s, 및 C2p) 등은 임피던스 매칭 네트워크 1 및 임피던스 매칭 네트워크 1 모두에 적용된다. 이는 임피던스 매칭 네트워크 1이 임피던스 매칭 네트워크 2와 교체되거나 임피던스 매칭 네트워크 2가 임피던스 매칭 네트워크 1과 교체된 경우 매칭 네트워크 모델 (302) 를 초기화함에 있어 시간을 절약한다.In some embodiments, the fixed values (L1s, L1p, L2s, L2p, R1s, R1p, R2s, R2p, C1s, C1p, C2s, and C2p) apply to all impedance matching networks of the same model. For example, the fixed values (L1s, L1p, L2s, L2p, R1s, R1p, R2s, R2p, C1s, C1p, C2s, and C2p) By the processor 1016 to calculate a variable at the output 304 of the matching network model 302 based on the value of the parameter obtained from the sensor 1012 when connected continuously to the output 1030 of the matching network 1002 . As another example, the parameters, for example, the fixed parameters (L1s, L1p, L2s, L2p, R1s, R1p, R2s, R2p, C1s, C1p, C2s, 1 < / RTI > This saves time in initializing the matching network model 302 when the impedance matching network 1 is replaced with the impedance matching network 2 or when the impedance matching network 2 is replaced with the impedance matching network 1.

일부 실시예들에서, 파라미터들 (L1s, L1p, L2s, L2p, R1s, R1p, R2s, R2p, C1s, C1p, C2s, 및 C2p) 은 웨이퍼 (W) 의 프로세싱 동안 고정된다, 예를 들어, 구동 어셈블리 (1040) 및 연결 메커니즘 (1042) 등을 사용하여 변하지 않는다는 것을 주의해야 한다.In some embodiments, the parameters (L1s, L1p, L2s, L2p, R1s, R1p, R2s, R2p, C1s, C1p, C2s, and C2p) are fixed during processing of the wafer W, Assembly 1040, and coupling mechanism 1042, etc. < / RTI >

도 11은 매칭 네트워크 모델 (302) 의 실시예의 블록도이다. 레지스터 (R1s), 인덕터 (L1s), 및 커패시터들 (C1s) 을 포함하는 직렬 회로는 레지스터 (R1p), 인덕터 (L1p), 및 커패시터들 (C1p) 을 포함하는 션트 회로에 연결된다. 게다가, 레지스터 (R2s), 인덕터 (L2s), 및 커패시터들 (C2s) 을 포함하는 직렬 회로는 레지스터 (R2p), 인덕터 (L2p), 및 커패시터들 (C2p) 을 포함하는 션트 회로에 연결된다. 또한, 레지스터 (R3s), 인덕터 (L3s), 및 커패시터들 (C3s) 을 포함하는 직렬 회로는 레지스터 (R3p), 인덕터 (L3p), 및 커패시터들 (C3p) 을 포함하는 션트 회로에 연결된다. FIG. 11 is a block diagram of an embodiment of a matching network model 302. The series circuit including the resistor R1s, the inductor L1s and the capacitors C1s is connected to the shunt circuit including the resistor R1p, the inductor L1p, and the capacitors C1p. In addition, the series circuit including the resistor R2s, the inductor L2s, and the capacitors C2s is connected to the shunt circuit including the resistor R2p, the inductor L2p, and the capacitors C2p. In addition, the series circuit including the resistor R3s, the inductor L3s, and the capacitors C3s is connected to the shunt circuit including the resistor R3p, the inductor L3p, and the capacitors C3p.

상기 기술된 실시예들의 일부에서, RF 신호는 척 (1022) 의 하부 전극에 공급되고 상부 전극 (1020) 은 접지된 것을 주의해야 한다. 다양한 실시예들에서, RF 신호는 상부 전극 (1020) 에 공급되고 척 (1022) 의 하부 전극은 접지된다.It should be noted that in some of the embodiments described above, the RF signal is applied to the lower electrode of the chuck 1022 and the upper electrode 1020 is grounded. In various embodiments, the RF signal is applied to the top electrode 1020 and the bottom electrode of the chuck 1022 is grounded.

본 명세서에 기술된 실시예들은 휴대형 하드웨어 유닛들, 마이크로프로세서 시스템들, 마이크로프로세서-기반 또는 프로그램가능 가전제품들, 미니컴퓨터들, 메인프레임 컴퓨터들 등을 포함하는 다양한 컴퓨터 시스템 구성들로 실시될 수도 있다. 본 명세서에 기술된 실시예들은 또한 컴퓨터 네트워크를 통해 링크된 리모트 프로세싱 하드웨어 유닛들에 의해 태스크들이 수행되는 분산 컴퓨팅 환경들에서 실시될 수 있다.The embodiments described herein may be practiced with a variety of computer system configurations, including portable hardware units, microprocessor systems, microprocessor-based or programmable consumer electronics, minicomputers, mainframe computers, have. The embodiments described herein may also be practiced in distributed computing environments where tasks are performed by remote processing hardware units linked through a computer network.

일부 실시예들에서, 제어기는 상기 기술한 실례들의 일부일 수 있는 시스템의 일부이다. 시스템은, 프로세싱 툴 또는 툴들, 챔버 또는 챔버들, 프로세싱용 플랫폼 또는 플랫폼들, 및/또는 특정 프로세싱 컴포넌트들 (웨이퍼 페데스탈, 가스 플로우 시스템, 등) 을 포함하는, 반도체 프로세싱 장비를 포함한다. 시스템은 반도체 웨이퍼 또는 기판의 프로세싱 이전에, 프로세싱 동안에 그리고 프로세싱 이후에 그 동작을 제어하기 위한 전자장치에 통합된다. 전자장치는 시스템의 다양한 컴포넌트들 또는 하위부품들을 제어할 수도 있는 "제어기"로서 지칭된다. 제어기는, 시스템의 프로세싱 요건들 및/또는 유형에 따라서, 프로세스 가스들의 전달, 온도 설정들 (예를 들어, 가열 및/또는 냉각), 압력 설정들, 진공 설정들, 전력 설정사항들, RF 생성기 설정들, RF 매칭 회로 설정들, 주파수 설정들, 플로우 레이트 설정들, 유체 전달 설정들, 위치 및 동작 설정들, 툴 및 다른 전달 툴들 및/또는 시스템과 연결되거나 인터페이싱된 부하록들 내외로의 웨이퍼 전달들을 포함하는, 본 명세서에 개시된 임의의 프로세스를 제어하도록 프로그램된다.In some embodiments, the controller is part of a system that may be part of the examples described above. The system includes semiconductor processing equipment, including processing tools or tools, chambers or chambers, processing platforms or platforms, and / or specific processing components (wafer pedestal, gas flow system, etc.). The system is incorporated into an electronic device for controlling its operation prior to, during, and after the processing of a semiconductor wafer or substrate. An electronic device is referred to as a "controller" that may control various components or sub-components of the system. The controller may control the delivery of process gases, temperature settings (e.g., heating and / or cooling), pressure settings, vacuum settings, power settings, RF generator And / or < / RTI > coupled to or interfaced with load locks, such as, for example, RF settings, RF matching circuit settings, frequency settings, flow rate settings, fluid delivery settings, location and operation settings, Including, but not limited to, transmissions.

일반적으로 말하면, 다양한 실시예들에서, 제어기는 인스트럭션들을 수신하고 인스트럭션들을 발행하고 동작을 제어하고 세정 동작들을 인에이블하고, 엔드포인트 측정들을 인에이블하는 등을 하는 다양한 집적 회로들, 로직, 메모리, 및/또는 소프트웨어를 갖는 전자장치로서 규정된다. 집적 회로들은 프로그램 인스트럭션들을 저장하는 펌웨어의 형태의 칩들, 디지털 신호 프로세서들 (DSPs), ASIC들로서 규정되는 칩들, PLD들, 프로그램 인스트럭션들 (예를 들어, 소프트웨어) 을 실행하는 하나 이상의 마이크로프로세서들, 또는 마이크로제어기들을 포함한다. 프로그램 인스트럭션들은 반도체 웨이퍼 상에서 또는 반도체 웨이퍼에 대한 프로세스를 실행하기 위한 동작 파라미터들을 규정하는, 다양한 개별 설정들 (또는 프로그램 파일들) 의 형태로 제어기로 통신되는 인스트럭션들이다. 일부 실시예들에서, 동작 파라미터들은 하나 이상의 층들, 재료들, 금속들, 옥사이드들, 실리콘, 실리콘 다이옥사이드, 표면들, 회로들, 및/또는 웨이퍼의 다이들의 제조 동안에 하나 이상의 프로세싱 단계들을 달성하도록 프로세스 엔지니어에 의해서 규정된 레시피의 일부이다.Generally speaking, in various embodiments, the controller may be implemented with various integrated circuits, logic, memory, memory, etc. that receive instructions and issue instructions, control operations, enable cleaning operations, enable end point measurements, And / or software. The integrated circuits may include chips in the form of firmware storing program instructions, digital signal processors (DSPs), chips defined as ASICs, PLDs, one or more microprocessors executing program instructions (e.g., software) Or microcontrollers. The program instructions are instructions communicated to the controller in the form of various individual settings (or program files) that define operating parameters for executing a process on a semiconductor wafer or a semiconductor wafer. In some embodiments, the operating parameters may be varied to achieve one or more processing steps during fabrication of one or more layers, materials, metals, oxides, silicon, silicon dioxide, surfaces, circuits, and / It is part of the recipe specified by the engineer.

제어기는, 일부 실시예들에서, 시스템에 통합되거나, 시스템에 커플링되거나, 이와 달리 시스템에 네트워킹되거나, 또는 이들의 조합으로 되는 컴퓨터에 커플링되거나 이의 일부이다. 예를 들어, 제어기는 웨이퍼 프로세싱의 리모트 액세스를 가능하게 하는 팹 (fab) 호스트 컴퓨터 시스템의 전부 또는 일부 또는 "클라우드" 내에 있다. 제어기는 현재 프로세싱의 파라미터들을 변경하도록, 현재 프로세싱에 이어지는 프로세싱 단계들을 설정하도록, 또는 새로운 프로세스를 시작하도록, 제조 동작들의 현재 진척을 모니터링하기 위해 시스템으로의 원격 액세스를 인에이블하고, 과거 제조 동작들의 이력을 검토하고, 복수의 제조 동작들로부터 경향들 또는 성능 메트릭들 (performance metrics) 을 검토한다.The controller is, in some embodiments, coupled to or part of a computer that is integrated into the system, coupled to the system, or otherwise networked to the system, or a combination thereof. For example, the controller is in all or part of a fab host computer system or "cloud" that enables remote access of wafer processing. The controller may enable remote access to the system to change the parameters of the current processing, to set the processing steps following the current processing, or to monitor the current progress of manufacturing operations to start a new process, Review the history and review trends or performance metrics from a plurality of manufacturing operations.

일부 실시예들에서, 리모트 컴퓨터 (예를 들어, 서버) 는 로컬 네트워크 또는 인터넷을 포함하는 컴퓨터 네트워크를 통해서 프로세스 레시피들을 시스템에 제공한다. 리모트 컴퓨터는 파라미터들 및/또는 설정들의 입력 (entry) 또는 프로그래밍을 인에이블하는 유저 인터페이스를 포함할 수도 있고, 파라미터들 및/또는 설정들은 그 후 리모트 컴퓨터로부터 시스템에 통신된다. 일부 예들에서, 제어기는 웨이퍼를 프로세싱하기 위한 설정들의 형태의 인스트럭션들을 수신한다. 이 설정들은 제어기가 제어하거나 인터페이싱하는 툴의 형태 및 웨이퍼 상에서 수행될 프로세스의 형태에 특정적이라는 것이 이해되어야 한다. 따라서, 상기 기술한 바와 같이, 제어기는 본 명세서에서 기술된 프로세스들 및 제어들과 같은 공통된 목적을 향해 함께 네트워킹되고 작동하는 하나 이상의 별개의 제어기를 포함함으로써와 같이, 분산될 수도 있다. 이러한 목적들을 위한 분산형 제어기의 예는 챔버에서 프로세스를 제어하도록 결합하는, (플랫폼 레벨에서 또는 원격 컴퓨터의 일부로서와 같이) 리모트로 위치한 하나 이상의 집적 회로들과 통신하는 챔버 상의 하나 이상의 집적 회로들을 포함한다.In some embodiments, a remote computer (e.g., a server) provides process recipes to the system via a local network or a computer network including the Internet. The remote computer may include a user interface for enabling entry or programming of parameters and / or settings, and parameters and / or settings are then communicated from the remote computer to the system. In some instances, the controller receives instructions in the form of settings for processing wafers. It should be understood that these settings are specific to the type of tool that the controller will control or interfere with and the type of process to be performed on the wafer. Thus, as described above, the controller may be distributed, such as by including one or more separate controllers that are networked and operated together for common purposes, such as the processes and controls described herein. Examples of distributed controllers for these purposes include one or more integrated circuits on a chamber that communicate with one or more integrated circuits remotely located (such as at the platform level or as part of a remote computer) .

제한 없이, 다양한 실시예들에서, 시스템은 플라즈마 에칭 챔버 또는 모듈, 증착 챔버 또는 모듈, 스핀-린스 (spin-rinse) 챔버 또는 모듈, 금속 도금 (plating) 챔버 또는 모듈, 세정 챔버 또는 모듈, 베벨 (bevel) 에지 에칭 챔버 또는 모듈, PVD (physical vapor deposition) 챔버 또는 모듈, CVD (chemical vapor deposition) 챔버 또는 모듈, ALD (atomic layer deposition) 챔버 또는 모듈, ALE (atomic layer etch) 챔버 또는 모듈, 이온주입 챔버 또는 모듈, 트랙 (track) 챔버 또는 모듈, 그리고 반도체 웨이퍼들의 제조 및/또는 제작에 연관되거나 사용될 수도 있는 임의의 다른 반도체 프로세싱 시스템들을 포함할 수도 있다.Without limitation, in various embodiments, the system may include a plasma etch chamber or module, a deposition chamber or module, a spin-rinse chamber or module, a metal plating chamber or module, a cleaning chamber or module, a bevel bevel edge etching chambers or modules, PVD chambers or modules, CVD (chemical vapor deposition) chambers or modules, ALD (atomic layer deposition) chambers or modules, ALE (atomic layer etch) chambers or modules, A chamber or module, a track chamber or module, and any other semiconductor processing systems that may be associated with or used in the fabrication and / or fabrication of semiconductor wafers.

상기 기술된 동작들이 평행한 플레이트 플라즈마 챔버, 예를 들어, 용량적으로 커플링된 플라즈마 챔버 등에 관련하여 기술되더라도, 일부 실시예들에서, 상기 기술된 동작들은 다른 유형들의 플라즈마 챔버들, 예를 들어, 유도적으로 결합된 플라즈마 (ICP) 반응기, TCP (transformer coupled plasma) 반응기, 컨덕터 툴들, 유전체 툴들을 포함한 플라즈마 챔버, ECR (electron cyclotron resonance) 반응기를 포함한 플라즈마 챔버 등에 적용된다는 것을 더 주의한다. 예를 들어, x ㎒ RF 생성기, y ㎒ RF 생성기, 및 z ㎒ RF 생성기는 ICP 플라즈마 챔버 내에서 인덕터에 커플링된다. 인덕터의 형상의 예들은 솔레노이드, 돔-형상 코일 등을 포함한다. Although the above-described operations are described with reference to a parallel plate plasma chamber, e.g., a capacitively coupled plasma chamber, etc., in some embodiments, the operations described above may be applied to other types of plasma chambers, , Inductively coupled plasma (ICP) reactors, transformer coupled plasma (TCP) reactors, conductor tools, plasma chambers including dielectric tools, plasma chambers including electron cyclotron resonance (ECR) reactors, and the like. For example, the x ㎒ RF generator, the y ㎒ RF generator, and the z ㎒ RF generator are coupled to the inductor in the ICP plasma chamber. Examples of shapes of inductors include solenoids, dome-shaped coils, and the like.

상기 언급한 바와, 툴에 의해서 수행될 프로세스 동작에 따라, 제어기는 하나 이상의 다른 툴 회로들 또는 모듈들, 다른 툴 컴포넌트들, 클러스터 툴들, 다른 툴 인터페이스들, 인접한 툴들, 이웃한 툴들, 공장 도처에 위치한 툴들, 메인 컴퓨터, 다른 제어기, 또는 웨이퍼들의 컨테이너들을 반도체 제작 공장 내 툴 위치들 및/또는 부하 포트들 (load ports) 로 가져가거나 이들로부터 가져오는 재료 이송에 사용되는 툴들과 통신한다.As mentioned above, in accordance with the process operations to be performed by the tool, the controller may be implemented in one or more other tool circuits or modules, other tool components, cluster tools, other tool interfaces, adjacent tools, neighboring tools, Communicate with the tools used to transport the materials to or from the tool locations and / or load ports in the semiconductor fabrication plant, the tools, the main computer, another controller, or the containers of the wafers.

상기 실시예들을 고려하여, 실시예들 중 일부가 컴퓨터 시스템들에 저장된 데이터를 수반하는 다양한 컴퓨터-구현된 동작들을 채용한다는 것이 이해되어야 한다. 이들 컴퓨터-구현된 동작들은 물리량들을 조작하는 동작들이다. In view of the above embodiments, it should be understood that some of the embodiments employ various computer-implemented operations involving data stored in computer systems. These computer-implemented operations are operations that manipulate physical quantities.

실시예들 중 일부는 또한 이러한 동작들을 수행하기 위한 하드웨어 유닛 또는 장치와 관련된다. 장치는 특수 목적 컴퓨터로 특별히 구성된다. 특수 목적 컴퓨터로서 규정될 때, 컴퓨터는 특수 목적의 일부가 아닌 다른 프로세싱, 프로그램 실행 또는 루틴들을 수행하지만, 여전히 특수 목적을 위해 동작할 수 있다.Some of the embodiments also relate to a hardware unit or apparatus for performing these operations. The device is specially configured as a special purpose computer. When specified as a special purpose computer, the computer performs other processing, program executions or routines that are not part of a special purpose, but may still operate for a special purpose.

일부 실시예들에서, 본 명세서에 기술된 동작들은 선택적으로 활성화된 컴퓨터에 의해 수행되거나, 컴퓨터 메모리에 저장된 하나 이상의 컴퓨터 프로그램들에 의해 구성되거나, 네트워크를 통해 얻어진다. 데이터가 컴퓨터 네트워크를 통해 얻어지는 경우, 데이터는 컴퓨터 네트워크 상의 다른 컴퓨터들, 예를 들어, 컴퓨팅 리소스들의 클라우드에 의해 프로세싱될 수도 있다.In some embodiments, the operations described herein may be performed by an optionally activated computer, or by one or more computer programs stored in a computer memory, or obtained over a network. When data is obtained over a computer network, the data may be processed by other computers on the computer network, for example, by a cloud of computing resources.

본 명세서에 기술된 하나 이상의 실시예들은 또한 비일시적인 컴퓨터-판독가능 매체 상의 컴퓨터-판독가능 코드로 제조될 수 있다. 비일시적인 컴퓨터-판독가능 매체는 이후에 컴퓨터 시스템에 의해 판독되는 데이터를 저장하는 임의의 데이터 저장 하드웨어 유닛, 예를 들어, 메모리 디바이스 등이다. 비일시적인 컴퓨터-판독가능 매체의 예들은 하드 드라이브들, NAS (network attached storage), ROM, RAM, CD-ROMs (compact disc-ROMs), CD-Rs (CD-recordables), CD-RWs (CD-rewritables), 자기 테이프들 및 다른 광학 및 비광학 데이터 저장 하드웨어 유닛들을 포함한다. 일부 실시예들에서, 비일시적인 컴퓨터-판독가능 매체는 컴퓨터 판독가능 코드가 분산된 방식으로 저장되고 실행되도록 네트워크-커플링된 컴퓨터 시스템들을 통해 분산된 컴퓨터-판독가능 유형 (tangible) 매체를 포함한다.One or more embodiments described herein may also be manufactured with computer-readable code on non-volatile computer-readable media. The non-volatile computer-readable medium is any data storage hardware unit, such as a memory device, that stores data that is thereafter read by a computer system. Examples of non-transitory computer-readable media include hard drives, network attached storage (NAS), read-only memory (ROM), random access memory (RAM), compact disc-ROMs, CD- rewritables, magnetic tapes, and other optical and non-optical data storage hardware units. In some embodiments, the non-transitory computer-readable media includes computer-readable media distributed over network-coupled computer systems in which the computer-readable code is stored and executed in a distributed manner .

상기 기술된 일부 방법 동작들이 특정한 순서로 제시되었지만, 다양한 실시예들에서, 다른 하우스키핑 (housekeeping) 동작들이 방법 동작들 사이에 수행되거나, 방법 동작들이 약간 상이한 시간들에서 발생하도록 방법 동작들이 조정되거나, 다양한 간격들로 방법 동작들의 발생을 허용하는 시스템 내에서 분산되거나, 상기 기술된 것과 상이한 순서로 수행된다는 것이 이해되어야 한다.While some of the method operations described above are presented in a particular order, in various embodiments, other housekeeping operations may be performed between method operations, or method operations may be adjusted such that method operations occur at slightly different times , Distributed in a system that allows the generation of method operations at various intervals, or performed in a different order than described above.

일 실시예에서, 본 개시에 기술된 다양한 실시예들에 기술된 범위로부터 벗어나지 않고 상기 기술된 임의의 실시예로부터의 하나 이상의 특징들과 임의의 다른 실시예의 하나 이상의 특징들이 결합된다는 것이 더 주의해야 한다.It should be noted that in one embodiment, one or more features from any of the embodiments described above are combined with one or more features of any other embodiment without departing from the scope of the various embodiments described in this disclosure do.

전술한 실시예들이 이해의 명료성의 목적들을 위해 일부 상세히 기술될지라도, 특정한 변화들 및 수정들이 첨부된 청구항들의 범위 내에서 실행될 수 있다는 것이 분명할 것이다. 따라서, 본 실시예들은 제한적인 것이 아닌 예시적인 것으로서 고려되고, 본 실시예들은 본 명세서에 주어진 상세사항들로 제한되지 않지만, 첨부된 청구항들의 범위 및 등가물들 내에서 수정될 수도 있다.Although the foregoing embodiments have been described in some detail for purposes of clarity of understanding, it will be apparent that certain changes and modifications may be practiced within the scope of the appended claims. Accordingly, the embodiments are to be considered as illustrative rather than restrictive, and the embodiments are not intended to be limited to the details given herein, but may be modified within the scope and equivalents of the appended claims.

Claims (23)

매칭 네트워크 모델의 고정 파라미터들을 결정하기 위한 방법에 있어서,
임피던스 매칭 네트워크의 효율을 측정하는 단계;
상기 매칭 네트워크 모델에 고정 (fixed) 인덕턴스, 고정 커패시턴스, 및 고정 레지스턴스가 할당된 때 상기 매칭 네트워크 모델의 출력부에서 예측된 출력 전력을 계산하도록 상기 매칭 네트워크 모델의 입력부에서 입력 전력을 인가하는 단계;
상기 예측된 출력 전력 및 상기 입력 전력으로부터 상기 임피던스 매칭 모델의 예측된 효율을 계산하는 단계;
상기 예측된 효율이 상기 측정된 효율로부터 미리결정된 한계 이내인지 결정하는 단계; 및
상기 예측된 효율이 상기 측정된 효율로부터 상기 미리결정된 한계 이내인지 결정한 후에 상기 매칭 네트워크 모델에 상기 고정 인덕턴스, 상기 고정 커패시턴스, 및 상기 고정 레지스턴스를 할당하는 단계를 포함하는, 매칭 네트워크 모델의 고정 파라미터들을 결정하기 위한 방법.
A method for determining fixed parameters of a matching network model,
Measuring the efficiency of the impedance matching network;
Applying input power at an input of the matching network model to calculate the predicted output power at an output of the matching network model when a fixed inductance, a fixed capacitance, and a fixed resistance are assigned to the matching network model;
Calculating a predicted efficiency of the impedance matching model from the predicted output power and the input power;
Determining if the predicted efficiency is within a predetermined limit from the measured efficiency; And
Assigning the fixed inductance, the fixed capacitance, and the fixed resistance to the matching network model after determining that the predicted efficiency is within the predetermined limit from the measured efficiency. Method for determining.
제 1 항에 있어서,
상기 측정된 효율은 상기 임피던스 매칭 네트워크가 네트워크 분석기 및 부하 임피던스 픽스처 (load impedance fixture) 에 연결된 때 측정되고, 상기 네트워크 분석기는 입력 포트 및 출력 포트를 가지고, 상기 부하 임피던스 픽스처 및 상기 네트워크 분석기의 상기 입력 포트의 결합된 임피던스는 플라즈마 조건을 나타내는, 매칭 네트워크 모델의 고정 파라미터들을 결정하기 위한 방법.
The method according to claim 1,
Wherein the measured efficiency is measured when the impedance matching network is connected to a network analyzer and a load impedance fixture, the network analyzer having an input port and an output port, the load impedance fixture and the input Wherein the combined impedance of the port is indicative of a plasma condition.
제 1 항에 있어서,
상기 예측된 효율이 상기 측정된 효율로부터 상기 미리결정된 한계 이내가 아니라고 결정시 상기 고정 인덕턴스, 또는 상기 고정 커패시턴스, 또는 상기 고정 레지스턴스, 또는 이들의 둘 이상의 조합을 수정하는 단계를 더 포함하는, 매칭 네트워크 모델의 고정 파라미터들을 결정하기 위한 방법.
The method according to claim 1,
Further comprising modifying the fixed inductance, or the fixed capacitance, or the fixed resistance, or a combination of two or more thereof, when determining that the predicted efficiency is not within the predetermined limit from the measured efficiency. A method for determining fixed parameters of a model.
제 1 항에 있어서,
상기 측정된 효율은 네트워크 분석기의 제 1 포트가 상기 임피던스 매칭 네트워크의 상기 입력부에 연결될 때 측정되고,
상기 방법은 상기 네트워크 분석기의 제 2 포트가 픽스처의 출력부에 연결된 때 및 상기 픽스처가 상기 임피던스 매칭 회로의 출력부에 연결된 때 상기 네트워크 분석기로부터 S21 파라미터를 수신하는 단계; 및
상기 측정된 효율을 결정하도록 S21 파라미터의 제곱과 1과 S11 파라미터의 제곱 사이의 차의 비를 계산하는 단계를 더 포함하는, 매칭 네트워크 모델의 고정 파라미터들을 결정하기 위한 방법.
The method according to claim 1,
Wherein the measured efficiency is measured when a first port of the network analyzer is connected to the input of the impedance matching network,
Receiving the S21 parameter from the network analyzer when the second port of the network analyzer is connected to the output of the fixture and when the fixture is connected to the output of the impedance matching circuit; And
Further comprising calculating a ratio of a difference between a square of the S21 parameter and a square of the S11 parameter to determine the measured efficiency.
제 1 항에 있어서,
상기 측정된 효율은, 상기 임피던스 매칭 네트워크가 미리-결정된 결합된 가변 커패시턴스를 가지도록 제어되고 네트워크 분석기가 미리-결정된 RF (radio frequency) 에서 동작되는 때, 계산되며, 상기 네트워크 분석기는 상기 임피던스 매칭 네트워크의 상기 입력부에 연결되는, 매칭 네트워크 모델의 고정 파라미터들을 결정하기 위한 방법.
The method according to claim 1,
The measured efficiency is calculated when the impedance matching network is controlled to have a pre-determined combined variable capacitance and the network analyzer is operated at a pre-determined RF frequency, and the network analyzer calculates the impedance matching network To the input of the matching network model.
제 1 항에 있어서,
상기 고정 인덕턴스, 또는 상기 고정 커패시턴스, 또는 상기 고정 레지스턴스, 또는 이들의 둘 이상의 조합을 상기 임피던스 매칭 네트워크의 아이덴티티와 연관시키는 단계;
상기 고정 인덕턴스, 또는 상기 고정 커패시턴스, 또는 상기 고정 레지스턴스, 또는 그 둘 이상의 상기 조합과 상기 아이덴티티 사이의 연관성을 메모리 디바이스 내에 저장하는 단계;
상기 임피던스 매칭 네트워크의 상기 아이덴티티를 수신하는 단계; 및
상기 아이덴티티를 수신시 상기 고정 인덕턴스, 또는 상기 고정 커패시턴스, 또는 상기 고정 레지스턴스, 또는 이들의 둘 이상의 조합을 가지도록 상기 매칭 네트워크 모델을 초기화하는 단계를 더 포함하는, 매칭 네트워크 모델의 고정 파라미터들을 결정하기 위한 방법.
The method according to claim 1,
Associating the fixed inductance, or the fixed capacitance, or the fixed resistance, or a combination of two or more thereof with an identity of the impedance matching network;
Storing the association between the fixed inductance, or the fixed capacitance, or the fixed resistance, or a combination of the two or more, and the identity in a memory device;
Receiving the identity of the impedance matching network; And
Further comprising initializing the matching network model to have the fixed inductance, or the fixed capacitance, or the fixed resistance, or a combination of two or more thereof upon receiving the identity, determining fixed parameters of the matching network model Way.
제 1 항에 있어서,
상기 측정된 효율은, 네트워크 분석기가 상기 임피던스 매칭 네트워크의 상기 입력부에 연결되고 상기 임피던스 매칭 네트워크의 출력부가 부하 픽스처에 연결된 때, 측정되는, 매칭 네트워크 모델의 고정 파라미터들을 결정하기 위한 방법.
The method according to claim 1,
Wherein the measured efficiency is measured when a network analyzer is connected to the input of the impedance matching network and the output of the impedance matching network is connected to a load fixture.
제 1 항에 있어서,
상기 측정된 출력 전력을 상기 매칭 네트워크 모델의 상기 입력부에 인가하는 단계는, 상기 매칭 네트워크 모델이 결합된 커패시턴스 값 및 RF 를 가지도록 초기화된 때, 수행되는, 매칭 네트워크 모델의 고정 파라미터들을 결정하기 위한 방법.
The method according to claim 1,
Wherein the step of applying the measured output power to the input of the matching network model is performed when the matching network model is initialized to have a combined capacitance value and RF, Way.
제 8 항에 있어서,
상기 결합된 커패시턴스 값은 상기 임피던스 매칭 네트워크의 결합된 커패시턴스 값과 같고 상기 RF는 상기 측정된 효율을 측정하는데 사용되는 네트워크 분석기의 RF와 같은, 매칭 네트워크 모델의 고정 파라미터들을 결정하기 위한 방법.
9. The method of claim 8,
Wherein the combined capacitance value is equal to the combined capacitance value of the impedance matching network and the RF is used to measure the measured efficiency.
제 1 항에 있어서,
상기 고정 인덕턴스, 상기 고정 커패시턴스, 및 상기 고정 레지스턴스는 기판의 프로세싱 동안 변하지 않는, 매칭 네트워크 모델의 고정 파라미터들을 결정하기 위한 방법.
The method according to claim 1,
Wherein the fixed inductance, the fixed capacitance, and the fixed resistance are not changed during processing of the substrate.
제 1 항에 있어서,
상기 예측된 출력 전력 및 상기 입력 전력으로부터 상기 임피던스 매칭 모델의 예측된 효율을 계산하는 단계는 상기 예측된 출력 전력과 상기 입력 전력의 비를 계산함으로써 수행되는, 매칭 네트워크 모델의 고정 파라미터들을 결정하기 위한 방법.
The method according to claim 1,
Wherein calculating the predicted efficiency of the impedance matching model from the predicted output power and the input power is performed by calculating a ratio of the predicted output power and the input power to determine the fixed parameters of the matching network model Way.
매칭 네트워크 모델의 고정 파라미터들을 결정하기 위한 시스템에 있어서,
입력 전력을 수신하도록 구성된 프로세서로서,
상기 프로세서는, 상기 매칭 네트워크 모델에 고정 인덕턴스, 고정 커패시턴스, 및 고정 레지스턴스가 할당된 때, 상기 매칭 네트워크 모델의 출력부에서 예측된 출력 전력을 계산하도록 상기 매칭 네트워크 모델의 입력부에서 상기 입력 전력을 인가하도록 구성되고,
상기 프로세서는 상기 예측된 출력 전력 및 상기 입력 전력으로부터 상기 임피던스 매칭 모델의 예측된 효율을 계산하도록 구성되고,
상기 프로세서는 상기 예측된 효율이 측정된 효율로부터 미리결정된 한계 이내인지 결정하도록 구성되고, 그리고
상기 프로세서는 상기 예측된 효율이 상기 측정된 효율로부터 상기 미리결정된 한계 이내인지 결정한 후에 상기 매칭 네트워크 모델에 상기 고정 인덕턴스, 상기 고정 커패시턴스, 및 상기 고정 레지스턴스를 할당하는, 상기 프로세서; 및
상기 프로세서에 커플링된 메모리 디바이스로서, 상기 메모리 디바이스는 상기 매칭 네트워크 모델을 저장하도록 구성된, 상기 메모리 디바이스를 포함하는, 매칭 네트워크 모델의 고정 파라미터들을 결정하기 위한 시스템.
A system for determining fixed parameters of a matching network model,
A processor configured to receive input power,
Wherein the processor is configured to apply the input power at the input of the matching network model to calculate the predicted output power at the output of the matching network model when the matching inductance, Lt; / RTI >
Wherein the processor is configured to calculate a predicted efficiency of the impedance matching model from the predicted output power and the input power,
Wherein the processor is configured to determine if the predicted efficiency is within a predetermined limit from the measured efficiency, and
Wherein the processor assigns the fixed inductance, the fixed capacitance, and the fixed resistance to the matching network model after determining that the predicted efficiency is within the predetermined limit from the measured efficiency. And
And a memory device coupled to the processor, the memory device configured to store the matching network model, wherein the memory device is configured to store the matching network model.
제 12 항에 있어서,
상기 측정된 효율은 상기 임피던스 매칭 네트워크가 네트워크 분석기에 연결될 때 및 부하 임피던스 픽스처에 연결될 때 측정되고, 상기 네트워크 분석기는 입력 포트 및 출력 포트를 가지고, 상기 부하 임피던스 픽스처 및 상기 네트워크 분석기의 상기 입력 포트의 결합된 임피던스는 플라즈마 조건을 나타내는, 매칭 네트워크 모델의 고정 파라미터들을 결정하기 위한 시스템.
13. The method of claim 12,
Wherein the measured efficiency is measured when the impedance matching network is connected to a network analyzer and connected to a load impedance fixture, the network analyzer having an input port and an output port, wherein the load impedance fixture and the input port of the network analyzer Wherein the combined impedance is indicative of a plasma condition.
제 12 항에 있어서,
상기 프로세서는 상기 예측된 효율이 상기 측정된 효율로부터 상기 미리결정된 한계 이내가 아니라고 결정시 상기 고정 인덕턴스, 또는 상기 고정 커패시턴스, 또는 상기 고정 레지스턴스, 또는 이들의 둘 이상의 조합을 수정하도록 구성된, 매칭 네트워크 모델의 고정 파라미터들을 결정하기 위한 시스템.
13. The method of claim 12,
Wherein the processor is configured to modify the fixed inductance, or the fixed capacitance, or the fixed resistance, or a combination of two or more thereof, when determining that the predicted efficiency is not within the predetermined limit from the measured efficiency. Of the fixed parameters.
제 12 항에 있어서,
상기 측정된 효율은 네트워크 분석기의 제 1 포트가 상기 임피던스 매칭 네트워크의 상기 입력부에 연결된 때 측정되고,
상기 프로세서는 상기 네트워크 분석기의 제 2 포트가 픽스처의 출력부에 연결된 때 및 상기 픽스처가 상기 임피던스 매칭 회로의 출력부에 연결된 때 상기 네트워크 분석기로부터 S21 파라미터를 수신하도록 구성되고,
상기 프로세서는 상기 측정된 효율을 결정하도록 S21 파라미터의 제곱과 1과 S11 파라미터의 제곱 사이의 차의 비를 계산하도록 구성된, 매칭 네트워크 모델의 고정 파라미터들을 결정하기 위한 시스템.
13. The method of claim 12,
Wherein the measured efficiency is measured when a first port of the network analyzer is connected to the input of the impedance matching network,
Wherein the processor is configured to receive the S21 parameter from the network analyzer when the second port of the network analyzer is connected to the output of the fixture and when the fixture is connected to the output of the impedance matching circuit,
Wherein the processor is configured to calculate a ratio of the difference between the squares of the S21 parameters and the squares of the 1 and S11 parameters to determine the measured efficiency.
제 12 항에 있어서,
상기 측정된 효율은 상기 임피던스 매칭 네트워크가 미리-결정된 결합된 가변 커패시턴스를 가지도록 제어될 때 및 네트워크 분석기가 미리-결정된 라디오 주파수에서 동작되는 때 측정되고, 상기 네트워크 분석기는 상기 임피던스 매칭 네트워크의 상기 입력부에 연결되는, 매칭 네트워크 모델의 고정 파라미터들을 결정하기 위한 시스템.
13. The method of claim 12,
Wherein the measured efficiency is measured when the impedance matching network is controlled to have a pre-determined combined variable capacitance and when the network analyzer is operated at a pre-determined radio frequency, To determine the fixed parameters of the matching network model.
제 12 항에 있어서,
상기 프로세서는 상기 고정 인덕턴스, 또는 상기 고정 커패시턴스, 또는 상기 고정 레지스턴스, 또는 이들의 둘 이상의 조합을 상기 임피던스 매칭 네트워크의 아이덴티티와 연관시키도록 구성되고,
상기 프로세서는 상기 고정 인덕턴스, 또는 상기 고정 커패시턴스, 또는 상기 고정 레지스턴스, 또는 이들의 둘 이상의 조합과 상기 아이덴티티 사이의 연관성을 상기 메모리 디바이스 내에 저장하도록 구성되고,
상기 프로세서는 상기 임피던스 매칭 네트워크의 상기 아이덴티티를 수신하도록 구성되고, 그리고
상기 프로세서는 상기 아이덴티티를 수신시 상기 고정 인덕턴스, 또는 상기 고정 커패시턴스, 또는 상기 고정 레지스턴스, 또는 이들의 둘 이상의 상기 조합을 가지도록 상기 매칭 네트워크 모델을 초기화하도록 구성된, 매칭 네트워크 모델의 고정 파라미터들을 결정하기 위한 시스템.
13. The method of claim 12,
Wherein the processor is configured to associate the fixed inductance, or the fixed capacitance, or the fixed resistance, or a combination of two or more thereof with an identity of the impedance matching network,
Wherein the processor is configured to store in the memory device an association between the fixed inductance, or the fixed capacitance, or the fixed resistance, or a combination of two or more thereof,
Wherein the processor is configured to receive the identity of the impedance matching network, and
Wherein the processor is configured to initialize the matching network model to have the fixed inductance, or the fixed capacitance, or the fixed resistance, or a combination of two or more of the fixed inductances upon receiving the identity, For the system.
제 12 항에 있어서,
상기 예측된 출력 전력 및 상기 입력 전력으로부터 상기 임피던스 매칭 모델의 상기 예측된 효율을 계산하기 위해, 상기 프로세서는 상기 예측된 출력 전력과 상기 입력 전력의 비를 계산하도록 구성된, 매칭 네트워크 모델의 고정 파라미터들을 결정하기 위한 시스템.
13. The method of claim 12,
To calculate the predicted efficiency of the impedance matching model from the predicted output power and the input power, the processor is configured to calculate the fixed parameters of the matching network model, which are configured to calculate the ratio of the predicted output power to the input power A system for determining.
매칭 네트워크 모델의 고정 파라미터들을 결정하기 위한 시스템에 있어서,
RF 신호를 생성하도록 구성된 RF 생성기;
상기 RF 생성기에 커플링된 입력부을 가지는 임피던스 매칭 네트워크;
상기 임피던스 매칭 네트워크 출력부에 커플링된 플라즈마 챔버;
상기 RF 생성기에 커플링된 호스트 컴퓨터 시스템으로서, 상기 호스트 컴퓨터 시스템은 프로세서 및 메모리 디바이스를 포함하고, 상기 메모리 디바이스는 상기 프로세서에 결합된, 상기 호스트 컴퓨터 시스템을 포함하고,
상기 프로세서는 입력 전력을 수신하도록 구성되고,
상기 프로세서는 상기 매칭 네트워크 모델에 고정 인덕턴스, 고정 커패시턴스 및 고정 레지스턴스이 할당된 때 상기 매칭 네트워크 모델의 출력부에서 예측된 출력 전력을 계산하도록 상기 매칭 네트워크 모델의 입력부에 상기 입력 전력을 인가하게 구성되고,
상기 프로세서는 상기 예측된 출력 전력 및 상기 입력 전력으로부터 상기 임피던스 매칭 모델의 예측된 효율을 계산하도록 구성되고,
상기 프로세서는 상기 예측된 효율이 측정된 효율로부터 미리결정된 한계 이내인지 결정하도록 구성되고, 그리고
상기 프로세서는 상기 예측된 효율이 상기 측정된 효율로부터 상기 미리결정된 한계 이내인지 결정한 후에 상기 매칭 네트워크 모델에 상기 고정 인덕턴스, 상기 고정 커패시턴스, 및 상기 고정 레지스턴스를 할당하도록 구성되고,
상기 메모리 디바이스는 상기 매칭 네트워크 모델을 저장하도록 구성되는, 매칭 네트워크 모델의 고정 파라미터들을 결정하기 위한 시스템.
A system for determining fixed parameters of a matching network model,
An RF generator configured to generate an RF signal;
An impedance matching network having an input coupled to the RF generator;
A plasma chamber coupled to the impedance matching network output;
A host computer system coupled to the RF generator, the host computer system including a processor and a memory device, the memory device including the host computer system coupled to the processor,
Wherein the processor is configured to receive input power,
Wherein the processor is configured to apply the input power to the input of the matching network model to calculate the predicted output power at the output of the matching network model when the matching inductance, fixed capacitance, and fixed resistance are assigned to the matching network model,
Wherein the processor is configured to calculate a predicted efficiency of the impedance matching model from the predicted output power and the input power,
Wherein the processor is configured to determine if the predicted efficiency is within a predetermined limit from the measured efficiency, and
Wherein the processor is configured to allocate the fixed inductance, the fixed capacitance, and the fixed resistance to the matching network model after determining that the predicted efficiency is within the predetermined limit from the measured efficiency,
Wherein the memory device is configured to store the matching network model.
제 19 항에 있어서,
상기 측정된 효율은 상기 임피던스 매칭 네트워크가 네트워크 분석기에 연결될 때 및 부하 임피던스 픽스처에 연결될 때 측정되고, 상기 네트워크 분석기는 입력 포트 및 출력 포트를 가지고, 상기 부하 임피던스 픽스처 및 상기 네트워크 분석기의 상기 입력 포트의 결합된 임피던스는 상기 플라즈마 챔버의 플라즈마 조건를 나타내는, 매칭 네트워크 모델의 고정 파라미터들을 결정하기 위한 시스템.
20. The method of claim 19,
Wherein the measured efficiency is measured when the impedance matching network is connected to a network analyzer and connected to a load impedance fixture, the network analyzer having an input port and an output port, wherein the load impedance fixture and the input port of the network analyzer Wherein the combined impedance is indicative of a plasma condition of the plasma chamber.
제 19 항에 있어서,
상기 프로세서는 상기 예측된 효율이 상기 측정된 효율로부터 상기 미리결정된 한계 이내가 아니라고 결정시 상기 고정 인덕턴스, 또는 상기 고정 커패시턴스, 또는 상기 고정 레지스턴스, 또는 이들의 둘 이상의 조합을 수정하도록 구성된, 매칭 네트워크 모델의 고정 파라미터들을 결정하기 위한 시스템.
20. The method of claim 19,
Wherein the processor is configured to modify the fixed inductance, or the fixed capacitance, or the fixed resistance, or a combination of two or more thereof, when determining that the predicted efficiency is not within the predetermined limit from the measured efficiency. Of the fixed parameters.
제 19 항에 있어서,
상기 측정된 효율은 네트워크 분석기의 제 1 포트가 상기 임피던스 매칭 네트워크의 상기 입력부에 연결되고,
상기 프로세서는 상기 네트워크 분석기의 제 2 포트가 픽스처의 출력부에 연결된 때 및 상기 픽스처가 상기 임피던스 매칭 회로의 출력부에 연결된 때 상기 네트워크 분석기로부터 S21 파라미터를 수신하도록 구성되고,
상기 프로세서는 상기 측정된 효율을 결정하도록 S21 파라미터의 제곱과 1과 S11 파라미터의 제곱 사이의 차의 비를 계산하도록 구성된, 매칭 네트워크 모델의 고정 파라미터들을 결정하기 위한 시스템.
20. The method of claim 19,
Wherein the measured efficiency is determined such that a first port of the network analyzer is coupled to the input of the impedance matching network,
Wherein the processor is configured to receive the S21 parameter from the network analyzer when the second port of the network analyzer is connected to the output of the fixture and when the fixture is connected to the output of the impedance matching circuit,
Wherein the processor is configured to calculate a ratio of the difference between the squares of the S21 parameters and the squares of the 1 and S11 parameters to determine the measured efficiency.
제 19 항에 있어서,
상기 측정된 효율은, 상기 임피던스 매칭 네트워크가 미리-결정된 결합된 가변 커패시턴스를 가지도록 제어되고 네트워크 분석기가 미리-결정된 RF에서 동작되는 때, 계산되는, 매칭 네트워크 모델의 고정 파라미터들을 결정하기 위한 시스템.
20. The method of claim 19,
Wherein the measured efficiency is calculated when the impedance matching network is controlled to have a pre-determined combined variable capacitance and the network analyzer is operated in a pre-determined RF.
KR1020170025209A 2016-03-03 2017-02-27 Systems and methods for using one or more fixtures and efficiency to determine parameters of a match network model KR20170103657A (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US15/059,778 US9831071B2 (en) 2013-05-09 2016-03-03 Systems and methods for using multiple inductive and capacitive fixtures for applying a variety of plasma conditions to determine a match network model
US15/059,778 2016-03-03
US15/145,601 2016-05-03
US15/145,601 US9837252B2 (en) 2013-05-09 2016-05-03 Systems and methods for using one or more fixtures and efficiency to determine parameters of a match network model

Publications (1)

Publication Number Publication Date
KR20170103657A true KR20170103657A (en) 2017-09-13

Family

ID=59791902

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020170025209A KR20170103657A (en) 2016-03-03 2017-02-27 Systems and methods for using one or more fixtures and efficiency to determine parameters of a match network model

Country Status (3)

Country Link
KR (1) KR20170103657A (en)
CN (1) CN107153722B (en)
TW (1) TWI727004B (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101989518B1 (en) * 2018-04-24 2019-06-14 주식회사 뉴파워 프라즈마 Virtual impedance auto matching box

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP7058129B2 (en) 2018-01-17 2022-04-21 株式会社日立ハイテク Plasma processing equipment

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7480571B2 (en) * 2002-03-08 2009-01-20 Lam Research Corporation Apparatus and methods for improving the stability of RF power delivery to a plasma load
US6873114B2 (en) * 2002-09-26 2005-03-29 Lam Research Corporation Method for toolmatching and troubleshooting a plasma processing system
US6781317B1 (en) * 2003-02-24 2004-08-24 Applied Science And Technology, Inc. Methods and apparatus for calibration and metrology for an integrated RF generator system
US7666464B2 (en) * 2004-10-23 2010-02-23 Applied Materials, Inc. RF measurement feedback control and diagnostics for a plasma immersion ion implantation reactor
JP2006139949A (en) * 2004-11-10 2006-06-01 Sumihide Ikenouchi Impedance matching device and plasma treatment device using the same
KR20150017389A (en) * 2008-05-14 2015-02-16 어플라이드 머티어리얼스, 인코포레이티드 Method and apparatus for pulsed plasma processing using a time resolved tuning scheme for rf power delivery
GB201215152D0 (en) * 2012-08-24 2012-10-10 Imp Innovations Ltd Maximising DC to load efficiency for inductive power transfer
CN102928791B (en) * 2012-10-16 2015-02-11 南京航空航天大学 Multi-parameter integration real-time normally-running state prediction method for high voltage power supply of radar transmitter
KR101544975B1 (en) * 2013-09-30 2015-08-18 주식회사 플라즈마트 Impedance Matching Method And Impedance Matching System

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101989518B1 (en) * 2018-04-24 2019-06-14 주식회사 뉴파워 프라즈마 Virtual impedance auto matching box

Also Published As

Publication number Publication date
CN107153722A (en) 2017-09-12
TW201742101A (en) 2017-12-01
CN107153722B (en) 2021-08-10
TWI727004B (en) 2021-05-11

Similar Documents

Publication Publication Date Title
US9720022B2 (en) Systems and methods for providing characteristics of an impedance matching model for use with matching networks
US10403482B2 (en) Systems and methods for tuning an impedance matching network in a step-wise fashion for multiple states of an RF generator
US9837252B2 (en) Systems and methods for using one or more fixtures and efficiency to determine parameters of a match network model
KR20230126699A (en) Systems and methods for using multiple inductive and capacitive fixtures for applying a variety of plasma conditions to determine a match network model
US9831071B2 (en) Systems and methods for using multiple inductive and capacitive fixtures for applying a variety of plasma conditions to determine a match network model
TWI750154B (en) Systems and methods for tuning an impedance matching network in a step-wise fashion
US10296676B2 (en) Systems and methods for tuning an impedance matching network in a step-wise fashion
US10853444B2 (en) Systems and methods for tuning an impedance matching network in a step-wise fashion
US10256078B2 (en) Systems and methods for increasing efficiency of delivered power of a megahertz radio frequency generator in the presence of a kilohertz radio frequency generator
US20160307736A1 (en) Systems and methods for reducing reflected power during state transitions by using radio frequency values
KR20220017496A (en) Systems and methods for tuning a MHz RF generator within an operating cycle of the kHz RF generator.
KR20170103657A (en) Systems and methods for using one or more fixtures and efficiency to determine parameters of a match network model
TWI751138B (en) Systems and methods for reducing reflected power during state transitions by using radio frequency values
CN107294510B (en) System and method for tuning an impedance matching network in a step-wise manner for multiple states