KR20170070162A - 작업물 프로세싱 방법 및 장치 - Google Patents

작업물 프로세싱 방법 및 장치 Download PDF

Info

Publication number
KR20170070162A
KR20170070162A KR1020177012983A KR20177012983A KR20170070162A KR 20170070162 A KR20170070162 A KR 20170070162A KR 1020177012983 A KR1020177012983 A KR 1020177012983A KR 20177012983 A KR20177012983 A KR 20177012983A KR 20170070162 A KR20170070162 A KR 20170070162A
Authority
KR
South Korea
Prior art keywords
workpiece
ion beam
ribbon ion
plasma chamber
extraction
Prior art date
Application number
KR1020177012983A
Other languages
English (en)
Inventor
모건 디. 에반스
케빈 앵글린
다니엘 디스타소
존 하우탈라
스티븐 로버트 셔먼
조셉 씨. 올슨
Original Assignee
베리안 세미콘덕터 이큅먼트 어소시에이츠, 인크.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 베리안 세미콘덕터 이큅먼트 어소시에이츠, 인크. filed Critical 베리안 세미콘덕터 이큅먼트 어소시에이츠, 인크.
Publication of KR20170070162A publication Critical patent/KR20170070162A/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/221Ion beam deposition
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/48Ion implantation
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/54Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32412Plasma immersion ion implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32733Means for moving the material to be treated
    • H01J37/32752Means for moving the material to be treated for moving the material across the discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/336Changing physical properties of treated surfaces
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/336Changing physical properties of treated surfaces
    • H01J2237/3365Plasma source implantation

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)
  • Physical Vapour Deposition (AREA)

Abstract

작업물을 프로세싱하기 위한 시스템 및 방법이 개시된다. 플라즈마 챔버는, 추출 개구를 통해 추출되는 리본 이온 빔을 생성하기 위하여 사용된다. 작업물은, 작업물의 상이한 부분들을 리본 이온 빔에 노출시키기 위하여 추출 개구 근처에서 병진이동된다. 작업물이 리본 이온 빔에 노출됨에 따라, 플라즈마 챔버와 연관된 적어도 하나의 파라미터가 변화된다. 가변 파라미터들은 추출 전압 듀티 사이클, 작업물 스캔 속도 및 이온 빔의 형상을 포함한다. 일부 실시예들에 있어서, 전체 작업물이 리본 이온 빔에 노출된 이후에, 파라미터들이 변화되는 동안에 작업물이 회전되며 리본 이온 빔에 다시 노출된다. 이러한 시퀀스가 복수 회 반복될 수 있다.

Description

작업물 프로세싱 방법 및 장치{WORKPIECE PROCESSING METHOD AND APPARATUS}
본 출원은 2014년 10월 16일자로 출원된 미국 가특허 출원 일련번호 제62/064,740호, 및 2015년 10월 08일자로 출원된 미국 특허 출원 일련번호 제14/878,519호에 대한 우선권을 주장하며, 이들의 개시내용이 그 전체로서 본원에 참조로서 포함된다.
본 개시의 실시예들은 작업물들을 프로세싱하기 위한 시스템들 및 방법들에 관한 것이다.
플라즈마 챔버들은 흔히 플라즈마를 생성하기 위하여 사용된다. 그런 다음, 이러한 플라즈마로부터의 이온들이 이온 빔을 형성하기 위하여 개구를 통해 플라즈마 챔버로부터 추출된다. 이러한 플라즈마는 다양한 방식들로 생성될 수 있다. 일 실시예에 있어서, 안테나가 유전체 윈도우(window)에 인접하여 플라즈마 챔버 외부에 배치된다. 그런 다음, 안테나는 RF 전원 공급장치를 사용하여 자극된다. 그런 다음, 안테나에 의해 생성되는 전자기 에너지가 플라즈마 챔버 내에 배치된 공급 가스를 여기시키기 위하여 유전체 윈도우를 통과한다.
그런 다음, 생성되는 플라즈마가 추출 개구를 통해 추출된다. 일부 실시예들에 있어서, 추출 개구는, 길이가 개구부의 폭보다 훨씬 더 큰 직사각형 또는 타원형일 수 있다. 추출되는 이온 빔은 리본 이온 빔일 수 있다. 그러나, 이러한 실시예들에 있어서, 플라즈마 챔버로부터 추출되는 리본 이온 빔은 추출 개구의 길이에 걸쳐 희망되는 균일성을 가지지 않을 수 있다. 예를 들어, 이온 밀도가 리본 이온 빔의 중심 근처에서 더 클 수 있으며, 중심으로부터 멀어지는 영역들에서 감소될 수 있다.
또한, 일부 실시예들에 있어서, 작업물의 특정 영역들이 다른 영역들보다 더 많이 프로세싱될 수 있도록 비-균일한 방식으로 작업물을 프로세싱하는 것이 바람직할 수 있다. 따라서, 희망되는 프로세싱을 달성할 수 있는 작업물을 프로세싱하기 위한 개선된 시스템 및 방법이 존재하는 경우 유익할 것이다. 더 구체적으로, 플라즈마 챔버를 사용하여 프로세싱되는 작업물의 하나 이상의 파라미터들의 균일성을 더 미세하게 제어하는 것이 유익할 것이다.
작업물을 프로세싱하기 위한 시스템 및 방법이 개시된다. 플라즈마 챔버는, 추출 개구를 통해 추출되는 리본 이온 빔을 생성하기 위하여 사용된다. 작업물은, 작업물의 상이한 부분들을 리본 이온 빔에 노출시키기 위하여 추출 개구 근처에서 병진이동(translate)된다. 작업물이 리본 이온 빔에 노출될 때, 플라즈마 챔버와 연관된 적어도 하나의 파라미터가 변화된다. 가변 파라미터들은 추출 전압 듀티 사이클, 작업물 스캔 속도 및 이온 빔의 형상을 포함한다. 일부 실시예들에 있어서, 작업물의 적어도 일부 부분들이 리본 이온 빔에 노출된 이후에, 파라미터들이 변화되는 동안에 작업물이 회전되며 리본 이온 빔에 다시 노출된다. 이러한 시퀀스가 복수 회 반복될 수 있다.
제 1 실시예에 따르면, 플라즈마 챔버를 사용하여 작업물을 프로세싱하는 방법이 개시된다. 방법은, 플라즈마 챔버의 추출 개구를 통해 리본 이온 빔을 추출하는 단계; 작업물의 상이한 부분들이 리본 이온 빔에 노출되도록 플라즈마 챔버에 대하여 작업물을 병진이동시키는 단계; 및 작업물이 병진이동되는 동안 플라즈마 챔버의 적어도 하나의 파라미터를 변화시키는 단계를 포함한다. 일부 실시예들에 있어서, 방법은, 작업물의 적어도 일부 부분들이 리본 이온 빔에 노출된 이후에 작업물을 회전시키는 단계; 및 희망되는 패턴을 달성하기 위하여 병진이동시키는 단계, 변화시키는 단계 및 회전시키는 단계를 복수 회 반복하는 단계를 더 포함한다.
제 2 실시예에 따르면, 비-균일한 두께를 갖는 작업물을 에칭하는 방법이 개시된다. 방법은, 비-균일한 두께를 제거하는 에칭 패턴을 결정하는 단계; 및 플라즈마 챔버로부터 추출되는 리본 이온 빔을 사용하여 작업물에 에칭 패턴을 적용하는 단계를 포함한다.
제 3 실시예에 따르면, 작업물을 프로세싱하기 위한 시스템이 개시된다. 시스템은, 이로부터 리본 이온 빔이 추출되는 추출 개구를 갖는 플라즈마 챔버; 그 위에 작업물이 배치되는, 추출 개구 근처를 패스(pass)하기 위한 이동가능 표면; 및 제어기를 포함하며, 여기에서 제어기는 작업물이 추출 개구를 패스하는 동안 플라즈마 챔버의 적어도 하나의 파라미터들을 변화시키도록 구성된다.
본 개시의 더 양호한 이해를 위하여, 본원에 참조로서 포함되는 첨부된 도면들에 대한 참조가 이루어진다.
도 1은 플라즈마 챔버의 제 1 실시예의 측면도를 도시한다.
도 2a 내지 도 2c는 프로세싱 이전의 다양한 작업물을 도시한다.
도 3a는 프로세싱 이전의 작업물을 도시한다.
도 3b는 프로세싱 이후의 도 3a의 작업물을 도시한다.
도 4는 작업물의 영역들의 대표적인 예시를 도시한다.
도 5a는 플라즈마 챔버의 제 2 실시예의 측면도를 도시한다.
도 5b는 도 5a의 플라즈마 챔버의 저면도를 도시한다.
도 6은 다른 실시예에 따른 플라즈마 챔버의 저면도를 도시한다.
도 7은 제어기를 갖는 작업물 프로세싱 시스템을 도시한다.
도 8은 제어기에 의해 실행되는 대표적인 순서도를 예시한다.
작업물들을 프로세싱하는 시스템 및 방법이 개시된다. 일부 실시예들에 있어서, 작업물은 이미 사전-프로세싱되었으며, 사전-프로세싱된 작업물이 적어도 하나의 파라미터에 대하여 균일하지 않다. 예를 들어, 작업물은 이전의 프로세스에서 증착된 재료의 비-균일한 양을 가질 수 있다. 다른 실시예들에 있어서, 작업물은 이전의 프로세스에서 에칭된 재료의 비-균일한 양을 가질 수 있다. 대안적으로, 다른 실시예들에 있어서, 작업물은 그 이후에 비-균일한 프로세스를 겪을 수 있다. 이러한 시나리오들에 있어서, 이전 프로세스의 비-균일성을 교정하거나, 또는 장래 프로세스의 비-균일성들을 조정하는 것이 유익할 것이다. 일부 실시예들에 있어서, 작업물 프로세싱의 균일성은 작업물 스캔 속도에 의해 또는 가변 바이어스 듀티 사이클에 의해 제어될 수 있다. 다른 실시예들에 있어서, 작업물 프로세싱의 균일성은 추출되는 이온 빔의 형상 또는 밀도를 조작함으로써 제어될 수 있다.
도 1은 프로세싱 동안 작업물(90)의 하나 이상의 파라미터들의 균일성을 제어하기 위한 작업물 프로세싱 시스템(10)의 제 1 실시예를 도시한다. 이러한 파라미터들은 다음 중 하나 이상을 포함할 수 있다: 작업물(90) 상에 증착되는 재료의 양, 작업물(90)로부터 에칭되는 재료의 양, 작업물(90) 내로 주입되는 이온들의 도우즈(dose), 및 작업물(90)에 대하여 수행되는 비정질화의 정도.
안테나(20)는 유전체 윈도우(25)에 인접하여 플라즈마 챔버(30) 외부에 배치된다. 안테나(20)는, 안테나(20)에 교류 전압을 공급하는 RF 전원 공급장치(27)에 전기적으로 연결된다. 전압은, 예를 들어, 2MHz 이상의 주파수일 수 있다. 유전체 윈도우(25) 및 안테나(20)가 플라즈마 챔버(30)의 상단 측 상에 도시되지만, 다른 실시예들이 또한 가능하다. 예를 들어, 안테나(20)는 챔버 측벽들(33)을 둘러쌀 수 있다. 플라즈마 챔버(30)의 챔버 벽들은 흑연과 같은 전도성 재료로 만들어질 수 있다. 이러한 챔버 벽들은, 예컨대 추출 전원 공급장치(80)에 의해 추출 전압으로 바이어싱될 수 있다. 추출 전압은, 예를 들어, 1kV일 수 있지만, 다른 전압들이 본 개시의 범위 내에 속한다. 이에 더하여, 추출 전압은 약 1kHz 내지 50 kHz 사이의 주파수를 갖는 사각파일 수 있지만, 다른 주파수들이 본 개시의 범위 내에 속한다. 이러한 실시예에 있어서, 추출 전압은 그것의 주기의 일 부분 동안 Vext의 진폭을 가질 수 있으며, 그것의 주기의 제 2 부분 동안 접지 전위일 수 있다.
플라즈마 챔버(30)는 추출 개구(35)를 갖는 챔버 벽(31)을 포함한다. 이러한 챔버 벽(31)은 유전체 윈도우(25)에 대향되는 플라즈마 챔버(30)의 측면 상에 배치될 수 있지만, 다른 구성들이 또한 가능하다.
작업물(90)은 플라즈마 챔버(30)의 추출 개구(35)를 갖는 챔버 벽(31)에 인접하여 그 외부에 배치된다. 일부 실시예들에 있어서, 작업물(90)은 챔버 벽(31)의 약 1 cm 내에 존재할 수 있지만, 다른 거리들이 또한 가능하다. 동작 시에, 안테나(20)는 플라즈마 챔버(30) 내로 에너지를 유도 결합시키기 위하여 RF 신호를 사용하여 전력이 공급된다. 이러한 유도 결합 에너지는 가스 주입구(32)를 통해 주입된 공급 가스를 여기시키며, 따라서 플라즈마를 생성한다. 추출 전압이 Vext일 때, 플라즈마 챔버(30)의 챔버 벽들은 Vext로 포지티브하게(positively) 바이어싱되며, 플라즈마 챔버(30) 내의 플라즈마도 유사하게 포지티브하게 바이어싱된다. 접지될 수 있는 작업물(90)은 추출 개구(35)를 갖는 챔버 벽(31)에 인접하여 배치된다. 플라즈마와 작업물(90) 사이의 전위 차이는 플라즈마 내의 포지티브하게 대전된 이온들이 추출 개구(35)를 통해 리본 이온 빔(60)의 형태로 작업물(90)을 향해 가속되게끔 한다.
추출 전압이 접지 전위인 이러한 시간들에서, 플라즈마 챔버(30)의 챔버 벽들이 접지된다. 이러한 구성에서, 플라즈마와 작업물(90) 사이의 전위 차이가 존재하지 않으며, 이온들이 작업물(90)을 향해 가속되지 않는다. 다시 말해서, 추출 전압이 작업물(90)에 대하여 포지티브일 때, 플라즈마로부터의 포지티브 이온들이 작업물(90)로 끌어 당겨진다.
리본 이온 빔(60)은 x-방향과 같은 하나의 방향에서 적어도 작업물(90)만큼 넓을 수 있으며, 직교 방향(또는 y-방향)에서 작업물(90)보다 훨씬 더 좁을 수 있다. 추가로, 작업물(90)은, 작업물(90)의 상이한 부분들이 리본 이온 빔(60)에 노출되도록 추출 개구(35)에 대하여 병진이동될 수 있다. 작업물(90)이 리본 이온 빔(60)에 노출되도록 작업물(90)이 병진이동되는 프로세스가 "패스(pass)"로서 지칭된다. 패스는 플라즈마 챔버(30)의 위치를 유지하면서 작업물(90)을 병진이동시킴으로써 수행될 수 있다. 작업물(90)이 추출 개구(35)에 대하여 병진이동되는 속력은 작업물 스캔 속도로서 지칭될 수 있다. 다른 실시예에 있어서, 플라즈마 챔버(30)는 작업물(90)이 고정적으로 남아 있는 동안 병진이동될 수 있다. 다른 실시예들에 있어서, 플라즈마 챔버(30) 및 작업물(90) 둘 모두가 병진이동될 수 있다. 일부 실시예들에 있어서, 작업물(90)은 추출 개구(35)에 대하여 일정한 스캔 속도로 y-방향으로 움직이며, 그 결과 작업물(90)의 전체가 동일한 양의 시간 동안 리본 이온 빔(60)에 노출된다.
추가적으로, 일부 실시예들에 있어서, 작업물(90)은 리본 이온 빔(60)에 복수 회 노출될 수 있다. 다시 말해서, 복수의 패스들이 작업물(90)에 대하여 수행될 수 있다. 일부 추가적인 실시예들에 있어서, 작업물(90)은 각각의 패스 이후에 z-축에 평행한 축에 대하여 회전될 수 있다. 예를 들어, 작업물은 리본 이온 빔(60)에 복수 회, 예컨대 4 회, 8 회 또는 16회 노출될 수 있다. 작업물(90)이 리본 이온 빔(60)에 N 번 노출되는 경우(즉, N개의 패스들을 겪는 경우), 작업물(90)은 각각의 패스 이후에 (360/N)° 회전될 수 있다. 일부 실시예들에 있어서, 오로지 작업물(90)의 일부 부분들만이 각각의 패스 동안 리본 이온 빔(60)에 노출된다. 이러한 기술은 리본 이온 빔(60)의 임의의 비-균일성의 효과를 감소시킬 수 있다. 이러한 기술은 또한 관심이 있는 파라미터의 희망되는 균일성에 대한 더 강력한 제어를 가능하게 한다.
일부 실시예들에 있어서, 프로세싱될 작업물은 적어도 하나의 파라미터에 대하여 균일하지 않을 수 있다. 예를 들어, 도 2a 내지 도 2c는 각기 이전에 증착 프로세스를 겪은 작업물(190)을 도시한다. 각각의 경우에 있어서, 이러한 작업물(190)은 충전 재료(191) 및 복수의 포스트(post)들(192)을 갖는다. 도 2a에서, 포스트들(192)은 균등한 높이지만; 그러나, 충전 재료(191)이 균일하게 증착되지 않는다. 도 2b에서, 충전 재료(191)는 균일하게 분포되지만; 그러나, 포스트들(192)이 균등한 높이가 아니다. 도 2c에서, 충전 재료(191)가 균일하게 분포되지 않는다. 도 2a 내지 도 2b에서, 이러한 작업물(190)은 이제 에칭 프로세스를 겪을 수 있다. 도 2c에서, 작업물(190)은 이제 증착 프로세스를 겪을 수 있다. 각각의 경우에 있어서, 사전-프로세싱된 작업물(190)의 비-균일성에도 불구하고, 결과적인 작업물이 균일하게 증착된 충전 재료(191) 및 동일한 높이의 포스트들(192)을 갖는 것이 희망된다.
일 실시예에 있어서, 추출 전압의 듀티 사이클이 희망되는 균일성을 생성하기 위하여 변화될 수 있다. 예를 들어, 이상에서 설명된 바와 같이, 플라즈마 챔버(30)의 챔버 벽들이 작업물(90)보다 더 포지티브하게 바이어싱될 때 이온들이 작업물(90)을 향해 가속된다. 따라서, 추출 전압의 듀티 사이클이 증가될 때, 이온들은 더 많은 퍼센트의 시간 동안 작업물(90)을 향해 가속된다. 반대로, 듀티 사이클이 감소되는 경우, 이온들은 덜 자주 작업물(90)을 향해 가속된다. 따라서, 작업물(90) 상에 수행되는 프로세싱(즉, 주입, 에칭, 증착, 비정질화)의 양은 추출 전원 공급장치(80)로부터 출력되는 추출 전압의 듀티 사이클을 변화시킴으로써 조정될 수 있다.
따라서, 일 실시예에 있어서, 작업물(90)의 프로세싱은 추출 전압의 듀티 사이클을 변화시킴으로써 변경될 수 있다. 추출 전원 공급장치(80)는 프로그램이 가능할 수 있으며, 그 결과 그것의 출력 전압의 듀티 사이클이 변화될 수 있다. 일부 실시예들에 있어서, 전압의 진폭이 또한 수정될 수 있다. 예를 들어, 도 3a는 표면 비-균일성을 갖는 작업물(290)을 도시한다. 이러한 작업물(290)은 100 옹스트롬을 초과하는 표면 비-균일성을 가질 수 있다. 다시 말해서, 작업물(290)의 가장 얇은 부분과 작업물(290)의 가장 두꺼운 부분 사이의 거리가 100 옹스트롬을 초과할 수 있다. 이를 교정하기 위하여, 작업물(290)의 에지들로부터 보다 더 많은 재료가 작업물(290)의 중심으로부터 에칭될 수 있다. 작업물(290)이 추출 개구(35)에 대하여 병진이동될 때, 추출 전압의 듀티 사이클이 변조될 수 있다.
예를 들어, 도 4는, 화살표들(200)에 의해 표시되는 바와 같이 추출 개구(35)에 대하여 측방으로(즉, y-방향으로) 이동되는 작업물(290)을 도시한다. 이러한 예시에 있어서, 추출 전압의 듀티 사이클은 4개의 상이한 값들을 가질 수 있다. 작업물(290)의 영역들(210)이 리본 이온 빔(60)에 노출될 때, 최저 듀티 사이클이 적용된다. 작업물(290)의 영역들(220)이 노출될 때, 제 1 중간 듀티 사이클이 적용된다. 유사하게, 작업물(290)의 영역들(230)이 노출될 때, 제 1 중간 듀티 사이클보다 더 큰 제 2 중간 듀티 사이클이 적용된다. 마지막으로, 작업물(290)의 중심 근처의 영역을 나타내는 영역(240)이 리본 이온 빔(60)에 노출될 때, 최고 듀티 사이클이 적용된다. 따라서, 각각의 영역 내에서의 작업물(290)의 프로세싱이 상이할 때, 4개의 상이한 영역들(210-240)이 생성된다. 물론, 4개의 영역들보다 더 많거나 또는 더 적은 영역들이 작업물(290) 상에 생성될 수 있다.
일부 실시예들에 있어서, 작업물(290)은 z-축에 평행한 작업물(290)의 중심의 축(250)에 대하여 회전되며, 그런 다음 다시 추출 개구(35) 아래로 패스된다. 일 예에 있어서, 작업물(290)은 22.5° 회전되며, 다시 추출 개구(35) 아래로 패스된다. 이는, 작업물(290)이 360° 회전될 때까지 반복될 수 있으며, 이 지점에서 프로세스가 완료된다. 물론, 도 4에 예시된 영역들은 작업물(290)의 각각의 패스에 대하여 상이할 수 있다. 이러한 프로세싱의 결과들이 도 3b에서 보여질 수 있으며, 여기에서 프로세싱-후 작업물(291)의 표면 비-균일성이 약 20 옹스트롬으로 감소되었다. 이는, 작업물(290)의 모든 부분들로부터 일부 재료를 에칭하지만, 더 두꺼운 부분들로부터 더 많은 재료가 에칭됨으로서 달성된다.
리본 이온 빔(60)이 작업물(290)보다 더 넓기 때문에, 단지 하나의 패스를 사용하여 희망되는 패턴을 생성하는 것이 불가능할 수 있다. 따라서, 각각의 패스 이후에 작업물(290)이 회전되는 복수의 패스들이 더 복잡하고 비대칭적인 프로세싱 패턴들을 가능하게 한다.
도 3a 내지 도 3b 및 도 4가 건식 에칭 프로세스의 맥락에서 설명되지만, 본 개시가 이러한 실시예에 한정되지 않는다. 다른 실시예에 있어서, 도 1의 플라즈마 챔버(30)는 표면의 저항을 산욕(acid bath)으로 변경하는 불순물들을 작업물(290)의 표면 내로 주입하기 위하여 사용된다. 이상에서 설명된 바와 같이, 주입되는 불순물들의 양은, 이상에서 설명된 바와 같이 추출 전압 듀티 사이클을 변조하고 작업물을 복수 회 회전시킴으로써 조절될 수 있다. 따라서, 본원에서 설명되는 시스템 및 방법은 습식 에칭 프로세스 이전에 작업물의 표면을 컨디셔닝(condition)하기 위하여 사용될 수 있다.
다시 도 2a 내지 도 2c를 참조하면, 이러한 작업물들(190)의 표면은 2개의 상이한 재료들; 즉, 충전 재료(191)에 대하여 사용되는 제 1 재료 및 포스트들(192)에 대하여 사용되는 제 2 재료를 포함할 수 있다. 일 실시예에 있어서, 포스트들(192)은 질화 실리콘(SiN)일 수 있으며, 반면 충전 재료(191)는 이산화 실리콘(SiO2)이다. 표면 비-균일성을 제거하기 위하여 사용되는 에칭 프로세스는 재료들에 대하여 선택적인 에칭 프로세스일 수 있다. 선택적으로 하나의 재료를 제 2 재료보다 더 많이 에칭하기 위하여 사용될 수 있는 화학물질(chemistry)들이 당업계에서 잘 알려져 있다. 예를 들어, C4F6 및 C4F8은 우선적으로 충전 재료(191)를 제거하기 위하여 사용될 수 있다. 대안적으로, CH3F는 포스트들(192)을 우선적으로 제거하기 위하여 사용될 수 있다.
따라서, 작업물(290)의 부분들 또는 영역들 상에 수행되는 프로세싱의 양은 추출 전압의 듀티 사이클에 기초하여 결정될 수 있다. 추가적으로, 특정 화학물질들의 사용은 어떠한 재료들이 프로세싱될 지를 결정할 수 있다. 하나의 재료를 우선적으로 에칭하기 위한 특정 화학물질의 사용은 재료 선택적 에칭 프로세스로서 지칭될 수 있다. 재료 선택성은 제 2 재료보다 상당히 더 빠른 제 1 재료의 에칭을 지칭한다.
정리하면, 에칭 프로세스는 기체(aerial) 선택성, 재료 선택성, 또는 이 둘의 조합을 통합할 수 있다.  기체-유일 선택적 프로세스는 '스퍼터링(sputter) 에칭'하기 위하여 Ne, Ar, Kr, 및 Xe과 같은 비활성 가스들을 이용하여 작업물을 프로세싱할 수 있거나, 또는 당업계에서 잘 알려진 다른 화학물질들을 사용하지만 웨이퍼에 걸쳐 상이한 양들로 반응성 이온 에칭(Reactive Ion Etch; RIE)을 이용하여 작업물을 프로세싱할 수 있다.  예를 들어, 일 유형의 재료의 블랭킷(blanket) 필름이 이러한 방식으로 프로세싱될 수 있다. 재료 선택적 프로세스는, 그것의 표면이 적어도 2개의 유형들의 재료들로 구성된 작업물에 걸쳐 재료 또는 각도 선택성을 변화시키기 위해 어느 하나 유형의 에칭(즉, 스퍼터링 에칭 또는 RIE)을 사용할 수 있다.  각도 선택성은 제 2 유형의 표면보다 상당히 더 빠른 하나의 유형(즉, 수평적인 또는 수직적인)의 표면의 에칭을 지칭한다. 예를 들어, 에칭 프로세스는 중심에서보다 웨이퍼의 에지 상에서 SiO2보다 SiN을 더 많이 제거할 수 있다.  기체적인 및 재료적인 선택적 프로세스는 임의의 희망되는 패턴을 달성하기 위하여 사용될 수 있다.
추가적으로, 주입, 비정질화 및 증착 프로세스들이 또한 본원에서 설명되는 작업물 프로세싱 시스템(10) 및 방법들을 사용하여 수행될 수 있다.
다시 말해서, 추출 전압의 듀티 사이클의 변동이 또한 마찬가지로 증착, 주입 및 비정질화를 위한 희망되는 프로세싱 패턴들을 생성하기 위하여 사용될 수 있다.
이상의 설명이 희망되는 프로세싱 패턴들을 생성하기 위한 가변 추출 전압 듀티 사이클의 사용을 개시하지만, 다른 파라미터들이 또한 변화될 수 있다.
예를 들어, 일 실시예에 있어서, 작업물(90)이 추출 개구(35)에 대하여 움직이는 속력인 작업물 스캔 속도가 변화될 수 있다. 예를 들어, 특정 영역에 더 많은 재료를 에칭하거나, 증착하거나 또는 주입하기 위하여, 작업물(90)은 이러한 영역이 리본 이온 빔(60)에 노출될 때 감속될 수 있다. 반대로, 더 적은 재료가 특정 영역에 증착되거나, 에칭되거나 또는 주입되어야 할 때, 작업물(90)은 이러한 영역이 리본 이온 빔(60)에 노출될 때 더 높은 속도로 이동될 수 있다. 유사하게, 작업물(90)의 더 많은 비정질화가 더 낮은 작업물 스캔 속도들의 사용을 통해 달성될 수 있다. 따라서, 이전의 실시예와 유사하게, 작업물(90)은 이온 빔(60)을 관통해 복수 회 패스할 수 있으며, 여기에서 작업물(90)은 각각의 패스 이후에 회전된다. 그런 다음, 작업물(90)은, 작업물(90)의 전부 또는 적어도 일부 부분들이 리본 이온 빔(60)에 노출되도록 병진이동된다. 작업물 스캔 속도는, 현재 리본 이온 빔(60)에 노출되고 있는 작업물(90)의 영역에 의존하여 가변적일 수 있다.
다른 실시예에 있어서, 리본 이온 빔(60)의 각도가 희망되는 패턴을 달성하기 위하여 변화될 수 있다. 일부 실시예들에 있어서, 작업물에 대하여 사용되는 재료의 에칭 레이트는 이온 빔의 입사의 각도에 민감할 수 있다. 예를 들어, 하나의 테스트에 있어서, 에칭 레이트는 입사의 각도에 따라 최대 레이트까지 증가하고, 그런 다음 입사의 각도가 최대 레이트를 넘어섬에 따라 감소한다는 것이 발견되었다. 특정 이론에 구애되는 것을 원하지는 않더라도, 에칭 레이트의 증가는 작업물의 표면 근처에서의 증가된 충돌 가능성에 기인할 수 있다. 그러나, 특정 입사 각도를 지나가면, 표면 산란 두드러지고 에칭 레이트가 감소한다. 따라서, 리본 이온 빔(60)의 입사의 각도는, 작업물(90)이 추출 개구에 대하여 병진이동될 때 변화될 수 있다. 이는 비-균일 프로세싱 패턴을 달성하기 위하여 프로세싱 동안 변화될 수 있는 다른 파라미터일 수 있다.
다른 파라미터들이 또한 비-균일 프로세싱을 달성하기 위하여 변조될 수 있다. 예를 들어, 공급가스 흐름 레이트, 추출 전압의 진폭, 안테나(20)에 인가되는 전력, 및 다른 것들과 같은 파라미터들이 이러한 결과들을 달성하기 위하여 변화될 수 있다.
이상의 실시예들은, 리본 이온 빔(60)의 이온 밀도가 상대적으로 균일하거나 또는 적어도 변화하지 않을 수 있다고 가정할 수 있다. 다시 말해서, 작업물(90)의 각각의 패스 동안 적용될 패턴을 계산하는데 있어서, 리본 이온 빔(60)에 걸친 이온 밀도는 각각의 패스에 대하여 변화하지 않는 것으로 가정될 수 있다. 그러나, 다른 실시예들에 있어서, 리본 이온 빔(60)의 형상 또는 이온 밀도가 또한 수정될 수 있다.
일부 실시예들에 있어서, 리본 이온 빔(60)은 동적으로 성형(shape)되거나 또는 변경될 수 있다. 도 5a는 도 1에 예시된 것과 유사한 플라즈마 챔버(30)를 포함하는 시스템(510)을 도시한다. 모든 대응하는 엘리먼트들에 동일한 참조 지시자들이 주어졌으며, 다시 설명되지 않을 것이다. 이러한 실시예에 있어서, 전자석들(95)이 챔버 측벽들(33) 중 하나 이상의 측벽들 상에 배치될 수 있다. 전자석들(95)의 각각에 인가되는 전류는 독립적으로 제어가 가능할 수 있다. 도 5b는 도 5a의 플라즈마 챔버(30)의 저면도를 도시한다. 이러한 도면에 있어서, 전자석들(95)은 4개의 챔버 측벽들(33) 상에 배치되는 것으로 도시된다. 이러한 전자석들(95) 사이의 상호작용이 자기장(96)을 생성하며, 이는 리본 이온 빔(60)을 국한시키거나 또는 편향시키도록 역할한다. 각각의 전자석(95)을 통과하는 전류를 수정함으로써, 자기장(96)이 제어될 수 있으며, 이는 리본 이온 빔(60)의 전체 형상 및 이온 밀도에 대한 더 많은 제어를 가능하게 한다.
도 6은, 리본 이온 빔(60)의 형상 및/또는 이온 밀도를 동적으로 제어하기 위한 플라즈마 챔버(30)의 제 2 실시예를 도시한다. 도 6은 플라즈마 챔버(30)의 저면도를 도시하며, 여기에서 복수의 차단기(blocker)들(105)이 챔버 벽(31)에 인접하여 추출 개구(35)의 길이를 따라 배치된다. 차단기들(105) 및 작동기들(106)이 플라즈마 챔버(30) 외부에 존재할 수 있다. 일부 실시예들에 있어서, 차단기들(105)의 각각은 개별적인 작동기(106)와 연통(communication)한다. 다른 실시예들에 있어서, 2개 이상의 차단기(105)가 단일 작동기(106)와 연통할 수 있다. 각각의 작동기(106)는 그것의 개별적인 차단기(105)를 y-방향으로 병진이동시키는 것이 가능하다. 도 6은 추출 개구(35) 측면들 둘 모두 상에 배치된 차단기들(105)을 도시하지만; 그러나, 다른 실시예들에 있어서, 차단기(105)는 추출 개구(35)의 단지 하나의 측면 상에만 배치될 수 있다. 차단기들(105)을 y-방향으로 병진이동시킴으로써, 추출 개구(35)의 유효 폭이 조작될 수 있다. 또한, 일부 실시예들에 있어서, 차단기들(105)이 독립적으로 제어되기 때문에, 리본 이온 빔(60)의 형상 및 이온 밀도가 조작될 수 있다. 예를 들어, 추출 개구(35)의 중심을 향한 차단기들(105)은, 추출 개구(35)의 말단들에 인접하여 배치되는 차단기들(105)보다 추출 개구(35)의 더 큰 퍼센트를 차단하기 위하여 작동될 수 있다. 이는, 추출 개구(35)의 중심 가까이에서 이온 밀도를 감소시키면서 추출 개구(35)의 말단들 근처에서 이온 밀도를 효과적으로 증가시킬 수 있다. 물론, 차단기들(105)의 다른 구성들이 또한 가능하다.
도 5a 내지 도 5b 및 도 6이 리본 이온 빔(60)의 형상이 조작될 수 있는 2개의 실시예들을 예시하지만, 다른 메커니즘들이 또한 가능하다. 이러한 조작은, 예컨대 전극들 또는 전자석들(95)의 사용을 통한 실질적으로 전자기적이거나 또는 전기적인 것일 수 있다. 대안적으로, 이러한 조작은, 예컨대 차단기(105)들의 사용을 통한 기계적인 것일 수 있다. 물론, 리본 이온 빔(60)을 조작하는 다른 방법들이 또한 사용될 수 있으며, 본 개시가 임의의 특정 실시예에 한정되지 않는다.
일부 실시예들에 있어서, 리본 이온 빔(60)의 조작은 다른 기술들, 예컨대 추출 전압 듀티 사이클의 변경과 함께 사용된다. 예를 들어, 작업물(90)은 리본 이온 빔(60)을 관통해 복수 회 패스될 수 있으며, 여기에서 추출 전압 듀티 사이클이 각각의 패스 동안 변화된다. 각 패스 이후에, 작업물(90)이 회전되고 다른 패스를 겪을 수 있다. 추가적으로, 리본 이온 빔(60)은 각각의 패스 동안 조작될 수 있다. 다른 실시예들에 있어서, 리본 이온 빔(60)은 플라즈마 프로세싱이 개시하기 이전에 한번 조작될 수 있으며, 다시 조작되지 않을 수 있다.
다른 실시예들에 있어서, 리본 이온 빔(60)의 조작은, 임의의 다른 기술들, 예컨대 추출 전압 듀티 사이클의 변동의 사용 없이 사용될 수 있다. 예를 들어, 리본 이온 빔(60)은, 작업물(90)이 리본 이온 빔(60)을 관통해 패스할 때 조작될 수 있다. 예를 들어, 이러한 방식에 있어서, 리본 이온 빔(60)은 하나의 패스에서 작업물(90)에 임의의 희망되는 패턴을 생생하도록 조작될 수 있다. 일부 실시예들에 있어서, 추가적인 패스들이 또한 프로세싱 동작의 품질을 개선하기 위하여 수행된다.
본원에서 설명되는 플라즈마 프로세싱을 수행하기 위하여, 시스템(710)은 도 7에 도시된 바와 같이 제어기(700)와 통신할 수 있다. 시스템(710)은 도 1, 도 5a 내지 도 5b, 또는 도 6에 도시된 실시예들 중 임의의 것일 수 있다. 제어기(700)는 메모리 디바이스와 같은 비-일시적인 저장 엘리먼트(702)와 통신하는 프로세싱 유닛(701)을 포함할 수 있다. 비-일시적인 저장 엘리먼트(702)는, 프로세싱 유닛(701)에 의해 실행될 때 시스템(710)이 희망되는 플라즈마 프로세싱을 수행하는 것을 가능하게 하는 명령어들을 포함할 수 있다.
제어기(700)는 시스템(710)과 통신하며, 예컨대, 비제한적으로, 추출 전압 듀티 사이클, 추출 전압 진폭, RF 전력, 공급가스 흐름 레이트, 리본 이온 빔(60)의 입사의 각도와 같은 복수의 파라미터들, 및 전자석들(95) 또는 차단기들(105)(도 5a 내지 도 5b 및 도 6 참조)과 같은 리본 이온 빔(60)의 조작을 위해 사용되는 디바이스들을 제어할 수 있다.
작업물(90)은, 컨베이어 벨트와 같은 이동가능 표면(721) 상에 배치될 수 있으며, 이는 추출 개구(35)와 리본 이온 빔(60)에 대하여 y-방향(722)으로 작업물(90)을 병진이동시킨다. 이동가능 표면(721)은 작동기(720)를 사용하여 이동될 수 있다. 일부 실시예들에 있어서, 제어기(700)는 작동기(720)와 통신하며, 그 결과 제어기(700)가 작업물 스캔 속도 및/또는 방향을 수정할 수 있다. 일부 실시예들에 있어서, 작동기(720)는 이상에서 설명된 바와 같이 작업물(90)을 z-방향에 평행한 축에 대하여 회전시키는 것이 가능할 수 있다.
도 8은 제어기(700)에 의해 실행되는 대표적인 시퀀스를 예시하는 순서도를 도시한다. 먼저, 프로세스(800)에 도시된 바와 같이, 희망되는 패턴이 제어기(700)로 입력된다. 제어기(700)는 다양한 방식들로 이러한 입력을 수신할 수 있다. 예를 들어, 일부 실시예들에 있어서, 시스템(710)은 작업물(90) 상에 재료를 에칭하거나 또는 증착하기 위하여 사용될 수 있다. 이러한 실시예들에 있어서, 작업물(90)은 시스템(710)에 의해 프로세싱되기 이전에는 균일한 두께가 아닐 수 있다. 따라서, 시스템(710)은 결과적인 작업물이 평평해지도록(즉, 균일한 두께를 가지도록) 비-균일 방식으로 재료를 에칭하거나 또는 증착할 수 있다. 다른 실시예들에 있어서, 시스템(710)은 비-균일성을 생성하기 위하여 작업물(90)을 프로세싱할 수 있다. 또 다른 실시예에 있어서, 시스템(710)에 의해 프로세싱되기 이전의 작업물(90)은 균일한 두께가 아닐 수 있으며, 시스템(710)은 후속 프로세스에 의한 프로세싱을 예상하여 비-균일 두께의 상이한 패턴을 생성하기 위하여 작업물(90)을 프로세싱할 수 있다. 이러한 실시예들에 있어서, 제어기(700)로의 입력은 도 3a에 도시된 것과 유사한 작업물(90)의 토폴로지(topology) 맵일 수 있다. 이러한 토폴로지 맵은 비전 시스템을 사용하여 또는 어떤 다른 수단에 의해 생성될 수 있다. 다른 실시예들에 있어서, 이러한 토폴로지 맵은 이전에 프로세싱된 작업물(90)에 대해 이루어진 경험적인 또는 이론적인 측정치들에 기초하여 미리 정의될 수 있다. 주입 또는 비정질화 프로세스의 경우에 있어서, 희망되는 패턴은 상이한 방식으로 제어기(700) 내로 입력될 수 있다. 추가적으로, 비제한적으로 프로세싱 유형(에칭, 증착, 주입, 비정질화), 도우즈, 작업물의 패스들의 수, 및 회전들의 수와 같은 다른 파라미터들이 또한 제어기(700) 내로 입력될 수 있다.
추가적으로, 프로세스 응답 레이트들이 제어기(700) 내로 입력될 수 있다. 각각의 재료는 공지된 응답 레이트를 가지며, 이는 추출 전압의 듀티 사이클, 추출 전압의 진폭, 리본 이온 빔(60)의 입사의 각도 및 이온 밀도, 및 다른 파라미터들에 의존한다. 응답 레이트는, 재료가 작업물로부터 에칭되는 레이트, 재료가 작업물 상에 증착되는 레이트일 수 있다. 이러한 응답 레이트들은 이론적으로 또는 경험적으로 계산될 수 있으며, 제어기(700) 내로 입력될 수 있다.
이러한 정보에 기초하여, 제어기(700)는, 프로세스(810)에 도시된 바와 같이 작업물(90)이 프로세싱되는 동안 변화하지 않는 특정 파라미터들을 선택할 수 있다. 예를 들어, 작업물이 프로세싱될 때 하나 이상의 파라미터들이 일정하게 남아 있을 수 있다. 예를 들어, 일 실시예에 있어서, 리본 이온 빔(60)의 각도가 희망되는 결과를 달성하기 위하여 조작될 수 있다. 다른 실시예들에 있어서, RF 전력, 도우즈, 리본 이온 빔(60)의 입사의 각도, 공급 가스 흐름 레이트 또는 추출 전압의 진폭과 같은 다른 파라미터들이 작업물 프로세싱 동안 일정하게 남아 있을 수 있다. 이러한 비-변화 프로세스 파라미터들 전부가 프로세스(810)에서 제어기(700)에 의해 선택된다.
추가로, 입력 정보에 기초하여, 제어기(700)는, 프로세스(820)에 도시된 바와 같이 작업물(90)의 각각의 패스에 대하여 사용될 가변 프로세스 파라미터들의 세트를 계산할 수 있다. 이상에서 설명된 바와 같이, 일부 실시예들에 있어서, 일부 파라미터들은 일정한 값들로 유지되며, 반면 하나 이상의 파라미터들은 작업물의 프로세싱 동안 변화된다. 예를 들어, RF 전력, 도우즈, 공급 가스 흐름 레이트 및 추출 전압의 진폭과 같은 특정 파라미터들이 일정한 값으로 유지될 수 있으며, 반면 추출 전압 듀티 사이클, 리본 이온 빔(60)의 형상 및 입사의 각도, 및 작업물 스캔 속도와 같은 파라미터들은 작업물(90)의 프로세싱 동안 변화될 수 있다. 작업물의 하나를 초과하는 패스가 희망되는 경우, 제어기(700)는 각각의 패스에 대한 파라미터들의 적절한 세트를 생성할 수 있으며, 여기에서, 하나의 패스에 대하여 사용되는 파라미터들은 후속 패스 동안 사용되는 파라미터들과 동일하지 않을 수 있다.
일부 실시예들에 있어서, 리본 이온 빔(60)의 형상 및 각도는, 프로세스(825)에 도시된 바와 같이 작업물(90)을 프로세싱하기 이전에 이온 빔이 적절하게 교정되었다는 것을 보장하기 위하여 측정될 수 있다.
다음으로, 프로세스(830)에 도시된 바와 같이, 제어기(700)는 결과를 시뮬레이션하며, 이는 프로세스 파라미터들의 계산된 세트가 작업물에 적용되는 것을 가정한다.
그런 다음, 프로세스(840)에서, 제어기(700)는 희망되는 패턴을 프로세스(830)에서 생성된 시뮬레이션된 결과와 비교한다. 비교가 충분히 가까운 경우, 제어기(700)는 이러한 계산된 프로세스 파라미터들을 시스템(710)에 적용하며, 그런 다음 시스템은 프로세스(850)에 도시된 바와 같이 작업물(90)을 프로세싱한다. 그러나, 시뮬레이션된 결과가 충분히 가깝지 않은 경우, 제어기(700)는 프로세스(810)로 복귀할 수 있으며, 여기에서 제어기(700)는 비-변화 파라미터들 중 하나 이상을 변화시킨다. 예를 들어, 일 실시예에 있어서, 리본 이온 빔(60)의 형상이 비-변화 프로세스 파라미터일 수 있다. 시뮬레이션된 결과가 충분히 가깝지 않은 경우, 리본 이온 빔(60)의 형상이 프로세스(810)에서 다르게 조작될 수 있다. 그런 다음, 제어기(700)는, 시뮬레이션된 결과와 희망되는 패턴 사이의 차이가 충분히 작아질 때까지 프로세스들(810-840)을 반복한다.
도 8이 인입(incoming) 작업물로부터 작업물 두께 비-균일성과 같은 비-균일성을 제거하기 위한 시퀀스를 개시하지만, 다른 실시예들이 또한 가능하다. 예를 들어, 어닐링(anneal), 화학적-기계적 평탄화(chemical-mechanical planarization; CMP), 또는 유사한 것과 같은 후속 프로세스가 고유의 비-균일성들을 가질 수 있다는 것이 알려질 수 있다. 예를 들어, CMP 스테이션이 에지들로부터 보다 작업물의 중심으로부터 더 많은 재료를 제거하는 것으로 알려질 수 있다. 이러한 실시예에 있어서, 도 8의 시퀀스는, 시퀀스가 장래의 비-균일성을 예상하고 이를 보상할 수 있도록 작업물(90)을 프로세싱하기 위하여 사용될 수 있다. 다시 말해서, 이러한 예에 있어서, 도 8의 시퀀스는 에지들에서 보다 중심에서 더 두꺼운 작업물을 생성하기 위하여 사용될 수 있으며, CMP 스테이션의 고유한 비-균일성이 균일한 두께의 작업물을 야기할 것임을 알 수 있다.
설명된 시스템 및 방법은 다수의 이점들을 갖는다. 시스템 및 방법은, 플라즈마 챔버를 사용하여 임의의 희망되는 프로세싱 패턴의 생성을 가능하게 한다. 작업물이 리본 이온 빔에 대하여 병진이동되는 동안 플라즈마 챔버의 적어도 하나의 파라미터를 조작함으로써, 작업물을 비-균일하게 프로세싱하는 것이 가능할 수 있다. 예를 들어, 도 3a 내지 도 3b에 도시된 바와 같이, 비-균일 두께를 갖는 작업물이 두께와 관련하여 개선된 균일성을 갖는 작업물을 생성하기 위하여 이러한 실시예들에 따라 프로세싱될 수 있다. 추가적으로, 본 시스템 및 방법은 다양한 프로세스들, 예컨대 에칭, 주입, 증착 및 비정질화를 위해 사용될 수 있다. 추가로, 이러한 시스템 및 방법은 후속 프로세스에서 예상되는 비-균일 프로세싱을 보상하기 위하여 사용될 수 있다.
본 개시는 본원에서 설명된 특정 실시예에 의해 범위가 제한되지 않는다. 오히려, 본원에서 설명된 실시예들에 더하여, 본 개시의 다른 다양한 실시예들 및 이에 대한 수정예들이 이상의 설명 및 첨부된 도면들로부터 당업자들에게 자명해질 것이다. 따라서, 이러한 다른 실시예들 및 수정예들이 본 개시의 범위 내에 속하도록 의도된다. 추가로, 본 개시가 본원에서 특정 목적을 위한 특정 환경에서의 특정 구현예의 맥락에서 설명되었지만, 당업자들은 이의 유용함이 이에 한정되지 않으며, 본 개시가 임의의 수의 목적들을 위한 임의의 수의 환경들에서 유익하게 구현될 수 있다는 것을 인식할 것이다. 따라서, 이하에서 기술되는 청구항들은 본원에서 설명된 바와 같은 본 개시의 완전한 폭과 사상의 관점에서 해석되어야만 한다.

Claims (15)

  1. 플라즈마 챔버를 사용하여 작업물을 프로세싱하는 방법으로서,
    상기 플라즈마 챔버의 추출 개구를 통해 리본 이온 빔을 추출하는 단계;
    상기 작업물의 상이한 부분들이 상기 리본 이온 빔에 노출되도록 상기 작업물을 상기 플라즈마 챔버에 대하여 병진이동시키는 단계; 및
    상기 작업물이 병진이동되는 동안 상기 플라즈마 챔버의 적어도 하나의 파라미터를 변화시키는 단계를 포함하는, 방법.
  2. 청구항 1에 있어서,
    상기 방법은,
    상기 작업물의 적어도 일부 부분들이 상기 리본 이온 빔에 노출된 이후에 상기 작업물을 회전시키는 단계; 및
    희망되는 패턴을 달성하기 위하여 상기 병진이동시키는 단계, 변화시키는 단계 및 회전시키는 단계를 복수 회 반복하는 단계를 더 포함하는, 방법.
  3. 청구항 1에 있어서,
    추출 전압이 상기 플라즈마 챔버의 벽들에 인가되며; 및 상기 적어도 하나의 파라미터는 상기 추출 전압의 듀티 사이클을 포함하는, 방법.
  4. 청구항 1에 있어서,
    상기 적어도 하나의 파라미터는 상기 리본 이온 빔의 형상을 포함하며, 상기 리본 이온 빔의 상기 형상은 기계적인 차단기들, 전자석들 또는 전극들에 의해 변화되는, 방법.
  5. 청구항 1에 있어서,
    상기 적어도 하나의 파라미터는 상기 리본 이온 빔의 입사의 각도를 포함하는, 방법.
  6. 청구항 1에 있어서,
    상기 적어도 하나의 파라미터는, 상기 작업물이 상기 플라즈마 챔버에 대하여 병진이동되는 속도를 포함하는, 방법.
  7. 비-균일한 두께를 갖는 작업물을 에칭하는 방법으로서,
    상기 비-균일한 두께를 제거하는 에칭 패턴을 결정하는 단계; 및
    플라즈마 챔버로부터 추출되는 리본 이온 빔을 사용하여 상기 작업물에 상기 에칭 패턴을 적용하는 단계를 포함하는, 방법.
  8. 청구항 7에 있어서,
    상기 작업물은 상기 작업물의 상이한 부분들을 노출시키기 위하여 상기 리본 이온 빔에 대하여 병진이동되며, 상기 플라즈마 챔버와 연관된 파라미터는 상기 작업물이 병진이동될 때 변화되는, 방법.
  9. 청구항 8에 있어서,
    상기 작업물은 상기 리본 이온 빔에 복수 회 노출되며, 각각의 노출 이후에 회전되는, 방법.
  10. 작업물을 프로세싱하기 위한 시스템으로서,
    이로부터 리본 이온 빔이 추출되는 추출 개구를 갖는 플라즈마 챔버;
    그 위에 작업물이 배치되며 상기 추출 개구 근처를 패스(pass)하기 위한 이동가능 표면; 및
    제어기를 포함하며,
    상기 제어기는 상기 작업물이 상기 추출 개구를 패스하는 동안 상기 플라즈마 챔버의 적어도 하나의 파라미터를 변화시키도록 구성되는, 시스템.
  11. 청구항 10에 있어서,
    상기 시스템은 추출 전압을 공급하기 위하여 상기 플라즈마 챔버의 벽들과 연통하는 추출 전압 전원 공급장치를 더 포함하며, 상기 제어기는 상기 추출 전압의 듀티 사이클을 변화시키는, 시스템.
  12. 청구항 10에 있어서,
    상기 제어기는 상기 리본 이온 빔의 형상 또는 입사의 각도를 변화시키는, 시스템.
  13. 청구항 12에 있어서,
    상기 시스템은, 상기 추출 개구 근처에 배치되는 차단기들 및 상기 차단기들을 이동시키기 위하여 상기 차단기들과 연통하는 작동기들을 더 포함하며, 상기 제어기는 상기 작동기들과 연통하는, 시스템.
  14. 청구항 12에 있어서,
    상기 시스템은, 상기 플라즈마 챔버의 벽들 근처에 배치되는 전자석들을 더 포함하며, 상기 제어기는 상기 전자석들과 연통하는, 시스템.
  15. 청구항 10에 있어서,
    상기 시스템은, 상기 이동가능 표면의 속력을 조정하기 위한 작동기를 더 포함하며, 상기 제어기는 상기 이동가능 표면의 상기 속력을 변화시키기 위하여 상기 작동기와 연통하는, 시스템.
KR1020177012983A 2014-10-16 2015-10-09 작업물 프로세싱 방법 및 장치 KR20170070162A (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201462064740P 2014-10-16 2014-10-16
US62/064,740 2014-10-16
US14/878,519 US20160111254A1 (en) 2014-10-16 2015-10-08 Workpiece Processing Method And Apparatus
US14/878,519 2015-10-08
PCT/US2015/054892 WO2016060952A1 (en) 2014-10-16 2015-10-09 Workpiece processing method and apparatus

Publications (1)

Publication Number Publication Date
KR20170070162A true KR20170070162A (ko) 2017-06-21

Family

ID=55747166

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020177012983A KR20170070162A (ko) 2014-10-16 2015-10-09 작업물 프로세싱 방법 및 장치

Country Status (6)

Country Link
US (1) US20160111254A1 (ko)
JP (1) JP2017534145A (ko)
KR (1) KR20170070162A (ko)
CN (1) CN107075662B (ko)
TW (1) TWI697936B (ko)
WO (1) WO2016060952A1 (ko)

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2018129379A1 (en) 2017-01-09 2018-07-12 Tel Epion Inc. Compensated location specific processing apparatus and method
US10795173B2 (en) 2018-07-13 2020-10-06 Varian Semiconductor Equipment Associates, Inc. System and method for optimally forming gratings of diffracted optical elements
CA3120208A1 (en) * 2018-11-21 2020-05-28 Techinsights Inc. Ion beam delayering system and method, topographically enhanced delayered sample produced thereby, and imaging methods and systems related thereto
US10714296B2 (en) * 2018-12-12 2020-07-14 Axcelis Technologies, Inc. Ion source with tailored extraction shape
US11646213B2 (en) * 2020-05-04 2023-05-09 Applied Materials, Inc. Multi-zone platen temperature control
CN111339693B (zh) * 2020-05-19 2020-10-13 深圳市乾行达科技有限公司 一种工件的蚀刻方法、装置及终端设备
US11664193B2 (en) * 2021-02-04 2023-05-30 Applied Materials, Inc. Temperature controlled/electrically biased wafer surround

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5811823A (en) * 1996-02-16 1998-09-22 Eaton Corporation Control mechanisms for dosimetry control in ion implantation systems
US6710360B2 (en) * 2002-07-10 2004-03-23 Axcelis Technologies, Inc. Adjustable implantation angle workpiece support structure for an ion beam implanter
US7982195B2 (en) * 2004-09-14 2011-07-19 Axcelis Technologies, Inc. Controlled dose ion implantation
US20060240651A1 (en) * 2005-04-26 2006-10-26 Varian Semiconductor Equipment Associates, Inc. Methods and apparatus for adjusting ion implant parameters for improved process control
US7544957B2 (en) * 2006-05-26 2009-06-09 Varian Semiconductor Equipment Associates, Inc. Non-uniform ion implantation
JP2008117688A (ja) * 2006-11-07 2008-05-22 Fujifilm Corp イオン注入装置及びウエハ
US7807983B2 (en) * 2007-01-12 2010-10-05 Varian Semiconductor Equipment Associates, Inc. Technique for reducing magnetic fields at an implant location
JP4917457B2 (ja) * 2007-03-09 2012-04-18 富士通株式会社 漁獲証明処理方法,処理装置,処理プログラム,および処理システム
US7820988B2 (en) * 2008-10-02 2010-10-26 Varian Semiconductor Equipment Associates, Inc. Implant uniformity control
US8237136B2 (en) * 2009-10-08 2012-08-07 Tel Epion Inc. Method and system for tilting a substrate during gas cluster ion beam processing
US20120056107A1 (en) * 2010-09-08 2012-03-08 Varian Semiconductor Equipment Associates, Inc. Uniformity control using ion beam blockers
JP5211328B2 (ja) * 2011-02-02 2013-06-12 日新イオン機器株式会社 イオン注入方法およびイオン注入装置
US8907307B2 (en) * 2011-03-11 2014-12-09 Varian Semiconductor Equipment Associates, Inc. Apparatus and method for maskless patterned implantation
US20130250293A1 (en) * 2012-03-20 2013-09-26 Fei Company Method and Apparatus for Actively Monitoring an Inductively-Coupled Plasma Ion Source using an Optical Spectrometer
JP5856543B2 (ja) * 2012-06-27 2016-02-09 東京エレクトロン株式会社 エッチング方法
US8907300B2 (en) * 2013-03-14 2014-12-09 Varian Semiconductor Equipment Associates, Inc. System and method for plasma control using boundary electrode

Also Published As

Publication number Publication date
WO2016060952A1 (en) 2016-04-21
TW201630025A (zh) 2016-08-16
US20160111254A1 (en) 2016-04-21
CN107075662B (zh) 2019-12-03
CN107075662A (zh) 2017-08-18
TWI697936B (zh) 2020-07-01
JP2017534145A (ja) 2017-11-16

Similar Documents

Publication Publication Date Title
KR20170070162A (ko) 작업물 프로세싱 방법 및 장치
US20230282491A1 (en) Plasma processing apparatus
CN107004591B (zh) 离子束蚀刻方法和离子束蚀刻设备
CN107924838B (zh) 处理衬底的装置与系统及蚀刻衬底的方法
JP6634021B2 (ja) 可変エネルギー制御を伴うイオン注入システムおよび方法
KR100706809B1 (ko) 이온 빔 조절 장치 및 그 방법
JP7254791B2 (ja) 異方性パターンエッチングおよび処理のための方法および装置
US20060099830A1 (en) Plasma implantation using halogenated dopant species to limit deposition of surface layers
US7528389B2 (en) Profile adjustment in plasma ion implanter
KR101940146B1 (ko) 동적 빔 형상에 의한 개선된 균일도 제어 방법 및 장치
KR20080106956A (ko) 이온 주입 장치
KR20110135871A (ko) 플라즈마 처리 장치
JP2008522431A (ja) ビーム利用率の最適化
KR102157907B1 (ko) 멀티-에너지 이온 주입
US10290470B2 (en) Negative ribbon ion beams from pulsed plasmas
KR20170036479A (ko) 이온 빔 에칭 장치
JP2011233386A (ja) イオン注入装置及びビーム電流密度分布調整方法
CN115274394A (zh) 一种mram存储器的刻蚀装置

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E601 Decision to refuse application