KR20170038631A - 처리 시스템 및 방법 - Google Patents

처리 시스템 및 방법 Download PDF

Info

Publication number
KR20170038631A
KR20170038631A KR1020160042935A KR20160042935A KR20170038631A KR 20170038631 A KR20170038631 A KR 20170038631A KR 1020160042935 A KR1020160042935 A KR 1020160042935A KR 20160042935 A KR20160042935 A KR 20160042935A KR 20170038631 A KR20170038631 A KR 20170038631A
Authority
KR
South Korea
Prior art keywords
precursor
photoresist
dielectric layer
plasma
processing
Prior art date
Application number
KR1020160042935A
Other languages
English (en)
Inventor
완 이 카오
쾅 위안 수
체 리앙 리
Original Assignee
타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 filed Critical 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Publication of KR20170038631A publication Critical patent/KR20170038631A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/06Silver salts
    • G03F7/063Additives or means to improve the lithographic properties; Processing solutions characterised by such additives; Treatment after development or transfer, e.g. finishing, washing; Correction or deletion fluids
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • H01L21/02315Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/268Bombardment with radiation with high-energy radiation using electromagnetic radiation, e.g. laser radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Plasma & Fusion (AREA)
  • Ceramic Engineering (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Electromagnetism (AREA)
  • Optics & Photonics (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Drying Of Semiconductors (AREA)
  • Thin Film Transistor (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)

Abstract

유전체층을 디스커밍(descumming) 하는 방법이 제공된다. 실시예에서, 유전체층은 기판 위에 성막되고, 포토레지스트가 도포되고, 포토레지스트가 도포된 이후에 포토레지스트는 노출되고 현상된다. 포토레지스트의 패터닝이 아래 놓인 유전체층에 전사되면, 디스커밍 프로세스가 수행되며, 여기서 디스커밍 프로세스는 탄소 함유 프리커서, 디스커밍 프리커서 및 캐리어 가스의 혼합물을 이용한다. 혼합물은 처리 플라즈마로 점화(ignite)되며, 유전체층을 디스커밍하기 위해 처리 플라즈마가 유전체층에 가해진다.

Description

처리 시스템 및 방법{TREATMENT SYSTEM AND METHOD}
이 출원은 "Treatment System and Method"라는 제목으로 2015년 9월 30일자로 출원된 미국 가특허 출원 제62/234,958호의 우선권을 주장하며, 이로써 이 출원은 본 명세서에 인용에 의해 통합된다.
반도체 디바이스들은 개인용 컴퓨터들, 휴대 전화들, 디지털 카메라들 및 다른 전자 장비와 같은 다양한 전자 애플리케이션들에서 사용된다. 반도체 디바이스들은 통상적으로 절연 또는 유전체층들, 도전성층들, 및 재료의 반도체 층들을 반도체 기판 위에 순차적으로 성막하는 단계, 및 그 위에 회로 컴포넌트들 및 엘리먼트들을 형성하기 위해 리소그래피를 사용하여 다양한 재료층들을 패터닝하는 단계에 의해 제조된다.
반도체 산업은 최소 피쳐 사이즈에 있어서의 거듭되는 감소들에 의해 다양한 전자 컴포넌트들(예를 들어, 트랜지스터들, 다이오드들, 레지스터들, 캐패시터들 등)의 집적 밀도를 계속해서 향상시키며, 이는 주어진 면적 내로 더 많은 컴포넌트들이 집적되도록 허용한다. 그러나, 최소 피쳐 사이즈들이 감소됨에 따라, 처리되어야하는 추가의 문제들이 발생한다.
실시예에 따라, 반도체 디바이스를 제조하는 방법은, 유전체층 위에 포토레지스트를 도포하는 단계를 포함하며, 포토레지스트를 통해 유전체층의 제1 표면을 노출시키는 단계가 제공된다. 제1 처리 프리커서 및 복구 프리커서를 포함하는 처리 혼합물을 사용함으로써, 처리 플라즈마에 상기 제1 표면이 노출된다.
다른 실시예에 따라, 기판 위에 로우-k 유전체층을 성막하는 단계를 포함하는 반도체 디바이스를 제조하는 방법이 제공된다. 로우-k 유전체층은 디스커밍(descumming)되고, 로우-k 유전체층의 디스커밍은, 로우-k 유전체층의 표면을 따라 탄소 농도를 증가시킨다.
또 다른 실시예에 따라, 유전체층을 성막하는 단계를 포함하는 반도체 디바이스를 제조하는 방법이 제공되며, 유전체층은 실리콘 원자들과 산소 원자들 사이의 제1 결합들 및 실리콘 원자들과 탄소 원자들 사이의 제2 결합들을 포함한다. 제2 결합들은 디스커밍 프리커서의 플라즈마를 가함으로써 깨지고, 제2 결합들을 깨뜨리는 것은 제1 개방 말단기(open terminal group)들을 남기며, 제1 개방 말단기들은 복구 프리커서의 플라즈마를 가함으로써 탄소에 결합된다.
본 개시내용의 양상들은 첨부 도면들과 함께 판독될 때, 하기의 상세한 설명으로부터 가장 잘 이해된다. 산업 분야의 표준 관행에 따라, 다양한 피쳐들은 축적에 따라 도시되는 것은 아님이 강조된다. 사실상, 다양한 피쳐들의 치수들은 논의의 명료성을 위해 임의적으로 증가되거나 감소될 수 있다.
도 1a-1b는 몇몇 실시예들에 따른 핀 위에 형성된 유전체층을 예시한다.
도 2a-2c는 몇몇 실시예들에 따른 유전체층 위의 포토레지스트의 패터닝을 예시한다.
도 3a-3d는 몇몇 실시예들에 따른 처리 방법 및 시스템을 예시한다.
도 4는 몇몇 실시예들에 따른 포토레지스트의 제거를 예시한다.
도 5는 몇몇 실시예들에 따른 처리 프로세스의 프로세스 흐름을 예시한다.
다음의 개시내용은 발명의 상이한 피쳐들을 구현하기 위한 복수의 상이한 실시예들 또는 예시들을 제공한다. 컴포넌트들 및 장치(arrangement)들의 특정 예시들이 본 개시내용을 간략화하기 위해 하기에서 설명된다. 물론, 이들은 단지 예시들이며, 제한하는 것으로 의도되지는 않는다. 예를 들어, 뒤따르는 설명에서 제2 피쳐 위의 또는 제2 피쳐 상의 제1 피쳐의 형성은 제1 피쳐 및 제2 피쳐가 직접 접촉하여 형성되는 실시예들을 포함할 수 있으며, 제1 피쳐 및 제2 피쳐가 직접 접촉하지 않도록 제1 피쳐와 제2 피쳐 사이에 추가의 피쳐들이 형성될 수 있는 실시예들을 또한 포함할 수 있다. 또한, 본 개시내용은 다양한 예시들에서 참조 번호들 및/또는 문자들을 반복할 수 있다. 이러한 반복은 간략화 및 명료성을 위한 것이며, 그 자체로 논의된 다양한 실시예들 및/또는 구성들 간의 관계를 진술하는 것은 아니다.
뿐만 아니라, 공간적으로 상대적인 용어들, 예컨대 "밑", "아래", "하부", "위", "상부" 등은 도면들에 예시된 바와 같이 한 엘리먼트 또는 피쳐의 다른 엘리먼트(들) 또는 피쳐(들)에 대한 관계를 설명하기 위하여, 설명의 용이성을 목적으로 사용될 수 있다. 공간적으로 상대적인 용어들은 도면들에 개시된 배향 외에, 사용시 또는 동작시 디바이스의 상이한 배향들을 포괄하도록 의도된다. 장치는 다르게 배향(90도 또는 다른 배향들로 회전)될 수 있으며, 본 명세서에서 사용되는 공간적으로 상대적인 기술자들은 이에 따라 유사하게 해석될 수 있다.
이제 도 1a-1b을 참고하여(도 1b는 라인(B-B')을 따르는 도 1a의 단면도를 예시한다), 핀(103)(점선(102)에 의해 반도체 기판(101)의 나머지로부터 분리되는 것으로 표시된), 핀(103) 위의 패터닝된 마스크(105), 유전체층(107), 및 포토레지스트(111)를 갖는 반도체 기판(101)이 예시된다. 실시예에서, 반도체 기판(101)은 예를 들어, III-V 재료(예컨대, 갈륨 비화물, 인듐 비화물 등), 벌크 실리콘, 도핑된 또는 비도핑된, 게르마늄, 또는 SOI(semiconductor-on-insulator) 기판의 활성층을 포함할 수 있다. 일반적으로 SOI 기판은 절연체층 상에 형성된 실리콘과 같은 반도체 재료의 층을 포함한다. 절연체층은 예를 들어, BOX(buried oxide)층 또는 실리콘 산화물층일 수 있다. 절연체층은 기판, 통상적으로 실리콘 또는 유리 기판 상에 제공된다. 다층 또는 그래디언트 기판과 같은 다른 기판들이 또한 사용될 수 있다.
핀(103)은 반도체 기판(101)으로부터 형성될 수 있다. 실시예에서, 핀(103)은 초기에 반도체 기판(101) 위에 패터닝된 마스크(105)을 형성함으로써, 반도체 기판(101)으로부터 형성될 수 있다. 패터닝된 마스크(105)는 하나 이상의 유전체층들의 하드 마스크를 포함할 수 있다. 예를 들어, 하드 마스크는 예를 들어, 열적 산화, 화학 기상 증착(CVD, chemical vapor deposition) 등에 의하여 형성되는 실리콘 질화물 또는 실리콘 이산화물의 층일 수 있다. 대안적으로, 하드 마스크는 실리콘 산질화물과 같은 다른 유전체 재료들로 형성될 수 있다. 다층 하드 마스크, 예컨대 실리콘 이산화물 및 실리콘 질화물의 층들이 또한 사용될 수 있다. 뿐만 아니라, 금속, 금속 질화물, 금속 산화물 등과 같은 다른 재료들이 사용될 수도 있다. 예를 들어, 하드 마스크는 텅스텐으로 형성될 수 있다.
패터닝된 마스크(105)는 예를 들어, 포토리소그래피 기법들을 사용하여 후속하여 패터닝된다. 일반적으로, 포토리소그래피 기법들은 포토레지스트 재료의 성막(도 1a-1b에 예시되지 않음) 및 패턴에 따른 포토레지스트 재료의 조사를 수반한다. 그 후, 포토레지스트 재료는 포토레지스트 재료의 일부분을 제거하기 위하여 현상된다. 남아있는 포토레지스트 재료는 에칭과 같은 후속 프로세싱 단계들 동안에 아래 놓인 재료를 보호한다. 이 경우에, 포토레지스트 재료는 핀(103)을 형성하기 위해 패터닝된 마스크(105)를 생성하는데 이용된다. 이로써, 패터닝된 마스크(105)는 약 5 nm 내지 약 100 nm, 예컨대 약 10 nm의 제1 폭(W1)을 갖도록 형성될 수 있다.
패터닝된 마스크(105)가 형성되면, 핀(103)은 패터닝된 마스크(105)와 함께 차감식(subtractive) 에칭 프로세스를 사용하여 형성될 수 있다. 예를 들어, 반도체 기판(101)의 노출된 부분들은 반도체 기판(101)으로부터 핀(103)을 형성하기 위하여 에칭될 수 있다. 실시예에서, 반도체 기판(101)은 예를 들어, HBr/O2, HBr/Cl2/O2, 또는 SF6/Cl2 플라즈마에 의해 에칭될 수 있다. 실시예에서, 핀(103)은 그것이 결국 핀 전계 효과 트랜지스터(FinFET, fin field effect transistor)와 같은 반도체 디바이스의 채널을 위해 사용될 수 있도록 패터닝될 수 있다.
그러나, 본 기술분야의 당업자가 인식할 바와 같이, 핀(103)을 형성하기 위하여 상기 설명된 차감식 프로세스는 예시적인 것으로 의도되며, 실시예들을 제한하도록 의도된 것이 아니다. 차라리, 반도체 기판(101) 및 마스크를 사용하는 에피택셜 성장 프로세스와 같은 임의의 적절한 프로세스가 핀(103)을 형성하기 위해 대안적으로 이용될 수 있다. 반도체 기판(101)으로부터 핀(103)을 형성하기 위한 임의의 적절한 프로세스가 대안적으로 이용될 수 있으며, 그러한 모든 프로세스들은 전적으로 실시예들의 범위 내에 포함되도록 의도된다.
핀(103)이 형성되면, 게이트 유전체(113), 게이트 전극(115), 및 스페이서들(명료성을 위해 개별적으로 예시되지 않음)이 핀(103) 위에 게이트 스택(119)으로서 형성될 수 있다. 실시예에서, 게이트 유전체(113)는 열적 산화, 화학 기상 증착, 스퍼터링, 또는 게이트 유전체를 형성하기 위해 본 기술분야에 알려지고 사용되는 임의의 다른 방법들에 의하여 형성될 수 있다. 게이트 유전체 형성 기법에 따라, 핀(103)의 상단 상의 게이트 유전체(113) 두께는 핀(103)의 측벽 상의 게이트 유전체 두께와 상이할 수 있다.
게이트 유전체(113)는 약 3 옹스트롬 내지 약 100 옹스트롬 범위, 예컨대 약 10 옹스트롬인 두께로 실리콘 이산화물 또는 실리콘 산질화물과 같은 재료를 포함할 수 있다. 게이트 유전체(113)는 대안적으로 약 0.5 옹스트롬 내지 약 100 옹스트롬, 예컨대 약 10 옹스트롬 미만의 등가적 산화물 두께를 가지고, 란타늄 산화물(La2O3), 알루미늄 산화물(Al2O3), 하프늄 산화물(HfO2), 하프늄 산질화물(HfON), 또는 지르코늄 산화물(ZrO2), 또는 이들의 조합물들과 같은 고 유전율(하이-k(high-k)) 재료(예를 들어, 약 5보다 큰 상대 유전율을 갖는)로부터 형성될 수 있다. 부가적으로, 실리콘 이산화물, 실리콘 산질화물, 및/또는 하이-k 재료들의 임의의 조합이 게이트 유전체(113)를 위해 또한 사용될 수 있다.
게이트 전극(115)은 도전성 재료를 포함할 수 있으며, 다결정 실리콘(poly-SiGe), 다결정 실리콘 게르마늄(poly-SiGe), 금속성 질화물들, 금속성 실리사이드들, 금속성 산화물들, 금속들, 이들의 조합물들 등을 포함하는 그룹으로부터 선택될 수 있다. 금속성 질화물들의 예들은 텅스텐 질화물, 몰리브덴 질화물, 티타늄 질화물, 및 탄탈룸 질화물, 또는 그들의 조합물들을 포함한다. 금속성 실리사이드의 예들은 텅스텐 실리사이드, 티타늄 실리사이드, 코발트 실리사이드, 니켈 실리사이드, 플래티늄 실리사이드, 에르븀 실리사이드, 또는 그들의 조합물들을 포함한다. 금속성 산화물들의 예들은 류테늄 산화물, 인듐 주석 산화물, 또는 그들의 조합물들을 포함한다. 금속의 예들은 텅스텐, 티타늄, 알루미늄, 구리, 몰리브덴, 니켈, 플래티늄 등을 포함한다.
게이트 전극(115)은 화학 기상 증착(CVD), 스퍼터 증착, 또는 도전성 재료들을 성막시키기 위해 본 기술분야에서 알려지고 사용되는 다른 기법들에 의해 성막될 수 있다. 게이트 전극(115)의 두께는 약 200 옹스트롬 내지 약 4,000 옹스트롬의 범위일 수 있다. 이온들은 이 때 게이트 전극(115)으로 도입될 수도 있고, 도입되지 않을 수도 있다. 이온들은 예를 들어, 이온 주입 기법들에 의하여 도입될 수 있다.
형성되면, 게이트 유전체(113) 및 게이트 전극(115)은 핀(103) 위에 게이트 스택(119)을 형성하기 위하여 패터닝될 수 있다. 게이트 스택(119)은 게이트 유전체(113) 밑에 핀(103) 내에 위치된 복수의 채널 영역들을 형성한다. 게이트 스택(119)은 예를 들어, 본 기술분야에 알려진 성막 및 포토리소그래피 기법들을 사용하여, 게이트 전극(115) 상이 게이트 마스크(미도시)를 성막하고 패터닝함으로써 형성될 수 있다. 게이트 마스크는 포토레지스트 재료, 실리콘 산화물, 실리콘 산질화물 및/또는 실리콘 질화물과 같은(그러나 이에 제한되는 것은 아님) 흔히 사용되는 마스킹 재료들을 포함할 수 있다. 게이트 전극(115) 및 게이트 유전체(113)는 패터닝된 게이트 스택(119)을 형성하기 위하여 건식 에칭 프로세스를 사용하여 에칭될 수 있다.
게이트 스택(119)이 패터닝되면, 제1 스페이서들(명료성을 위해 개별적으로 예시되지 않음)이 형성될 수 있다. 제1 스페이서들은 게이트 스택(119)의 대향면들 상에 형성될 수 있다. 제1 스페이서들은 이전에 형성된 구조물 상에 스페이서층(미도시)을 블랭킷 증착함으로써 통상적으로 형성된다. 스페이서층은 SiN, 산질화물, SiC, SiON, 산화물 등을 포함할 수 있으며, 화학 기상 증착(CVD), 플라즈마 강화 CVD, 스퍼터, 및 본 기술분야에 알려진 다른 방법들과 같은 그러한 층을 형성하는데 이용되는 방법들에 의하여 형성될 수 있다. 제1 스페이서들은 그 후 예컨대, 구조물의 수평 표면들로부터 스페이서층을 제거하기 위하여 한번 이상의 에칭들에 의해 패터닝될 수 있다.
게이트 스택(119)이 형성되면, 유전체층(107)이 핀(103), 패터닝된 마스크(105) 및 게이트 스택(119) 위에 형성된다. 실시예에서, 유전체층(107)은 SiOCN, SiOC, SiCN, 또는 SiN과 같은 로우-k 유전체 재료이며, 임의의 적절한 프로세스가 이용될 수 있으나, 원자층 증착, 화학 기상 증착, 물리 기상 증착, 스핀-온, 이들의 조합들 등과 같은 프로세스를 통해 형성될 수 있다. 전체층(107)은 약 20 Å 내지 약 100 Å, 예컨대 약 50 Å의 제1 두께(T1)로 형성될 수 있다.
유전체층(107)이 형성되면, 유전체층(107)은 패터닝될 수 있다. 실시예에서, 유전체층(107)의 패터닝은 유전체층(107)에 포토레지스트(111)를 초기에 도포함으로써 개시될 수 있다. 실시예에서, 포토레지스트(111)는 포토레지스트 용액 내에 하나 이상의 광활성 화합물들(PAC, photoactive compound)과 함께 포토레지스트 폴리머 수지를 포함한다. 실시예에서, 포토레지스트 폴리머 수지는 (하기에 추가로 설명되는 바와 같이) 산, 염기 또는 PAC들에 의하여 발생되는 자유 라디칼(free radical)들과 혼합될 때 분해되거나(예를 들어, 산 불안정기(acid labile group)) 또는 다른 방식으로 반응할 하나 이상의 그룹들을 포함하는 탄화수소 구조물(예컨대, 아크릴 탄화수소 구조물)을 포함할 수 있다. 실시예에서, 탄화수소 구조물은 포토레지스트 폴리머 수지의 골격 중추(skeletal backbone)를 형성하는 반복 단위(repeating unit)를 포함한다. 이러한 반복 단위는 아크릴산 에스테르(acrylic esters), 메타크릴산 에스테르(methacrylic esters), 크로톤산 에스테르(crotonic esters), 비닐 에스테르(vinyl esters), 말레익산 다이에스테르(maleic diesters), 푸마르산 다이에스테르(fumaric diesters), 이타콘산 다이에스테르(itaconic diesters), (메타)아크릴로니트릴((meth)acrylonitrile), (메타)아크릴아미드((meth)acrylamides), 스티렌(styrenes), 비닐 에테르(vinyl ethers), 이들의 조합물들 등을 포함할 수 있다.
fumaric diesters, itaconic diesters, (meth)acrylonitrile, (meth)acrylamides, styrenes, vinyl ethers
이탈기, 또는 PAC가 광산(photoacid) 발생기인 실시예에서 산 불안정기로서 알려진, 분해될 그룹은, 노광 동안 PAC들에 의하여 발생되는 산/염기/자유 라디칼들과 그것이 반응하도록, 탄화불소 구조물에 부착된다. 실시예에서, 분해될 그룹은 카르복시산기(carboxylic acid group), 플루오르화 알콜기(fluorinated alcohol group), 석탄산 알콜기(phenolic alcohol group), 설폰산기(ulfonic group), 설포닐이미도기(sulfonylimido group), (알킬설포닐)(알킬카르보닐)메틸렌기((alkylsulfonyl)(alkylcarbonyl)methylene group), (알킬설포닐)(알킬-카르보닐)이미도기((alkylsulfonyl)(alkyl-carbonyl)imido group), 비스(알킬카르보닐)메틸렌기(bis(alkylcarbonyl)methylene group), 비스(알킬카르보닐)이미도기(bis(alkylcarbonyl)imido group), 비스(알킬실포닐)메틸렌기(bis(alkylsylfonyl)methylene group), 비스(알킬설포닐)이미도기(bis(alkylsulfonyl)imido group), 트리스(알킬카르보닐)메틸렌기(tris(alkylcarbonyl)methylene group), 트리스(알킬설포닐)메틸렌기(tris(alkylsulfonyl)methylene group), 이들의 조합물들 등일 수 있다. 플루오르화 알콜기를 위하여 이용될 수 있는 특정 그룹들은 플루오르화 하이드록시알킬기(fluorinated hydroxyalkyl group), 예컨대 헥사플루오로이소프로판올기(hexafluoroisopropanol group)를 포함한다. 카르복실산기를 위해 이용될 수 있는 특정 그룹들은 아크릴산기, 메타크릴산기 등을 포함한다.
부가적으로, 포토레지스트(111)는 하나 이상의 PAC들을 더 포함한다. PAC들은 광산 발생기들, 광염기 발생기들, 자유 라디칼 발생기들, 등과 같은 광활성 컴포넌트들일 수 있으며, PAC들은 포지티브 액팅(positive-acting) 또는 네거티브 액팅(negative-acting)일 수 있다. 그러나, 본 기술분야의 당업자가 인식할 바와 같이, 임의의 적절한 PAC가 대안적으로 이용될 수 있으며, 그러한 모든 PAC들은 전적으로 본 실시예들의 범위 내에 포함되도록 의도된다.
포토레지스트(111)의 개별적 컴포넌트들은 포토레지스트(111)의 혼합 밀 배치를 돕기 위해 포토레지스트(111) 용액 내로 배치될 수 있다. 포토레지스트(111)의 혼합 및 배치를 돕기 위해, 포토레지스트 용액은 PAC들 뿐 아니라 포토레지스트 폴리머 수지에 대해 선택된 재료들에 적어도 부분적으로 기반하여 선택된다. 특히, 포토레지스트 용액은 포토레지스트 폴리머 수지 및 PAC들이 포토레지스트 용액 내로 고르게 용해되고, 유전체층(107) 위에 공급될 수 있도록 선택된다. 실시예에서, 포토레지스트 용액은 유기 용제일 수 있으며, 케톤(ketones), 알콜, 폴리알콜, 에테르, 글리콜 에테르, 고리 모양 에테르, 아로마틱 탄화수소, 에스테르, 프로피오네이트(propionates), 락테이트(lactates), 락틱 에스테르(lactic esters), 알킬렌 글리콜 모노알킬 에테르(alkylene glycol monoalkyl ethers), 알킬 락테이트(alkyl lactates), 알킬 알콕시프로피오네이트(alkyl alkoxypropionates), 고리형 락톤(cyclic lactones), 링을 포함하는 모노케톤 화합물들(monoketone compounds), 알킬렌 카보네이트(alkylene carbonates), 알킬 알콕시아세테이트(alkyl alkoxyacetate), 알킬 피루브산(alkyl pyruvates), 락테이트 에스테르(lactate esters), 에틸렌 글리콜 알킬 에테르 아세테이트(ethylene glycol alkyl ether acetates), 디에틸렌 글리콜(diethylene glycols), 프로필렌 글리콜 알킬 에테르 아세테이트(propylene glycol alkyl ether acetates), 알킬렌 글리콜 알킬 에테르 에스테르(alkylene glycol alkyl ether esters), 알킬렌 글리콜 모노알킬 에스테르(alkylene glycol monoalkyl esters) 등과 같은 임의의 적절한 용제를 포함할 수 있다.
실시예에서, 임의의 원하는 첨가제들 또는 다른 물질들과 함께 포토레지스트 폴리머 수지 및 PAC들은 도포를 위해 포토레지스트 용액에 부가된다. 부가되면, 그 후 포토레지스트(111)의 균일하지 않은 혼합 또는 일정하지 않은 조성에 의하여 야기되는 결합들이 없도록 보장하기 위하여, 포토레지스트(111) 전반에 걸친 균일한 조성을 달성하도록 혼합물이 혼합된다. 함께 혼합되면, 포토레지스트(111)는 그것의 사용 이전에 저장되거나, 그렇지 않으면 즉시 사용될 수 있다.
준비가 되면, 포토레지스트(111)는 포토레지스트(111)를 유전체층(107)에 초기에 도포함으로써 이용될 수 있다. 포토레지스트(111)는 포토레지스트(111)가 유전체층(107)의 노출된 상부 표면을 코팅하도록 유전체층(107)에 도포될 수 있으며, 스핀 온 코팅 프로세스, 딥 코팅(dip coating) 방법, 에어 나이프 코팅(air-knife coating) 방법, 커튼 코팅(curtain coating) 방법, 와이어-바(wire-bar) 코팅 방법, 그라비어 코팅(gravure coating) 방법, 라미네이션 방법, 압출 코팅 방법, 이들의 조합들 등과 같은 프로세스를 사용하여 도포될 수 있다. 실시예에서, 포토레지스트(111)는 그것이 약 10 nm 내지 약 300 nm, 예컨대 약 150 nm의 유전체층(107)의 표면 위의 두께를 갖도록 도포될 수 있다.
포토레지스트(111)가 유전체층(107)에 도포되면, 포토레지스트(111)의 도포를 마무리하기 위해 노광 이전에 포토레지스트(111)를 경화시키고 건조시키도록 포토레지스트(111)의 예비-베이킹이 수행된다. 포토레지스트(111)의 경화 및 건조는 포토레지스트 폴리머 수지 및 PAC들을 남기면서 포토레지스트 용액 컴포넌트를 제거한다. 실시예에서, 정확한 온도는 포토레지스트(111)에 대하여 선택된 재료들에 좌우되나, 예비-베이킹은 포토레지스트 용액을 증발시키기에 적합한 온도, 예컨대 약 40 ℃ 내지 150 ℃에서 수행될 수 있다. 예비-베이킹은 약 10 초 내지 약 5 분, 예컨대 약 90 초와 같이 포토레지스트(111)를 경화시키고 건조시키기에 충분한 시간 동안 수행된다.
도 2a-2c는 도포되면, 포토레지스트(111)는 포토레지스트(111) 내에 노광된 영역 및 노광되지 않은 영역을 형성하기 위하여 노광될 수 있고, 노광된 영역 또는 노광되지 않은 영역 중 어느 하나를 제거하고 제1 개구(201)를 형성하기 위해 포토레지스트(111)의 현상이 수행되는 것을 예시한다. 실시예에서, 경화되고 건조되면, 반도체 기판(101) 및 포토레지스트(111)를 노광을 위해 포토레지스트 이미징 디바이스(도 2a-2c에 개별적으로 예시되지는 않음) 내로 배치함으로써, 노광이 개시될 수 있다. 포토레지스트 이미징 디바이스는 포토레지스트 지지 플레이트, 포토레지스트 에너지 소스, 및 포토레지스트 지지 플레이트와 포토레지스트 에너지 소스 사이의 패터닝된 마스크를 포함할 수 있다.
실시예에서, 포토레지스트 에너지 소스는 PAC들의 반응을 유도하기 위하여 포토레지스트(111)에 광과 같은 포토레지스트 에너지를 공급하며, 이는 포토레지스트 에너지가 충돌하는 포토레지스트(111)의 그러한 부분들을 화학적으로 변경하기 위하여 포토레지스트 폴리머 수지와 결국 반응하게 된다. 실시예에서, 포토레지스트 에너지는 g-레이(약 436 nm의 파장을 갖는), i-레이(약 365 nm의 파장을 갖는), 자외선 복사선, 원자외선 복사선, x-레이, 전자 빔, 등과 같은 전자기 복사선일 수 있다. 포토레지스트 에너지 소스는 전자기 복사선의 소스일 수 있으며, 수은 증기 램프, 제논 램프, 탄소 아크 램프 등과 같은 포토레지스트 에너지의 임의의 다른 적절한 소스가 대안적으로 이용될 수 있으나, KrF 엑시머 레이저 광(248 nm의 파장을 갖는), ArF 엑시머 레이저 광(193 nm의 파장을 갖는), F2 엑시머 레이저 광(157 nm의 파장을 갖는), 등일 수 있다.
패터닝된 마스크는 포토레지스트 에너지가 포토레지스트(111)에 실제로 충돌하기 이전에 패터닝된 에너지를 형성하기 위하여 포토레지스트 에너지의 부분들을 차단하도록 포토레지스트 에너지 소스와 포토레지스트(111) 사이에 위치된다. 실시예에서, 패터닝된 마스크는 포토레지스트 에너지의 부분들이 반사되거나, 흡수되거나, 또는 조명되도록 원하지 않는 포토레지스트(111)의 그러한 부분들에 도달하는 것을 막기 위해, 일련의 층들(예를 들어, 기판, 흡광층들, 반사방지 코팅층들, 차폐층들 등)을 포함할 수 있다. 원하는 패턴은 원하는 조명의 형상으로 패터닝된 마스크를 통해 개구들을 형성함으로써, 패터닝된 마스크에 형성될 수 있다.
실시예에서, 포토레지스트(111)를 갖는 반도체 디바이스(100)가 포토레지스트 지지 플레이트 상에 배치된다. 패턴이 반도체 디바이스(100)에 대해 정렬되면, 포토레지스트 에너지 소스는 포토레지스트(111)로의 경로에서 패터닝된 마스크를 통과하는 원하는 포토레지스트 에너지(예를 들어, 광)를 발생시킨다. 포토레지스트(111)의 부분들에 부딪치는 패터닝된 에너지는 포토레지스트(111) 내에 PAC들의 반응을 유도한다. 패터닝된 에너지(예를 들어, 산/염기/자유 라디칼들)의 PAC들의 흡수의 화학 반응 부산물들은 그 후 포토레지스트 폴리머 수지와 반응하여, 패터닝된 마스크를 통해 조명된 그들 부분들의 포토레지스트(111)를 변경시킨다.
포토레지스트(111)가 노광되면, 포토레지스트(111)는 노광되지 않은 영역으로부터 노광된 영역을 분리시키고 포토레지스트(111) 내에 제1 개구(201)를 형성하기 위해 현상될 수 있다. 포토레지스트(111)가 노광되고 노광 후 베이킹이 발생하였으면, 포토레지스트(111)는 포토레지스트(111)를 위한 원하는 패턴에 따라 포지티브 톤 현상제 또는 네거티브 톤 현상제 중 어느 하나를 사용하여 현상될 수 있다. 포토레지스트(111)의 노광된 영역이 포지티브 톤을 형성하기 위하여 제거되기 원하는 실시예에서, 염기성 수용액과 같은 포지티브 톤 현상제는 패터닝된 엥너지에 노광되고 화학 반응들을 통해 수정되고 변경된 그들의 용해성을 가진 포토레지스트(111)의 그러한 부분들을 제거하는데 이용될 수 있다. 네거티브 톤 현상제가 요망된다면, 유기 용매 또는 임계 유체가 에너지에 노출되지 않은 포토레지스트(111)의 그러한 부분들을 제거하고 이로써 그들의 최초 용해성을 보존하는데 이용될 수 있다.
그러나, 본 기술분야의 당업자는 포지티브 톤 현상제들 및 네거티브 톤 현상제들에 대한 상기 설명이 단지 예시적인 것으로 의도되며, 상기 나열된 현상제들만으로 실시예들을 제한하도록 의도되지 않음을 인식할 것이다. 그보다는 차라리, 산성 현상제들 또는 심지어 물 현상제들을 포함하는, 포토레지스트(111)의 다른 부분과 상이한 특성(예를 들어, 용해성)을 갖는 포토레지스트(111)의 소정 부분을 선택적으로 제거하는데 이용될 수 있는 임의의 적절한 타입의 현상제가 대안적으로 이용될 수 있으며, 그러한 모든 현상제들은 완전히 실시예들의 범위 내에 포함되는 것으로 의도된다.
도 2b는 포토레지스트(111)가 현상되면, 상기 설명된 노광 및 현상 프로세스에 의하여 포토레지스트(111) 내에 형성된 제1 개구(201)가 아래 놓인 유전체층(107) 및 패터닝된 마스크(105)로 전사되는 것을 부가적으로 예시한다. 실시예에서, 반응성 이온 에칭과 같은 에칭 프로세스는 포토레지스트(111)에 의하여 노광되는 패터닝된 마스크(105) 및 유전체층(107)의 부분들을 제거하기 위하여 마스크로서 포토레지스트(111)와 함께 사용될 수 있다. 그러나, 임의의 적절한 프로세싱이 대안적으로 이용될 수 있다. 실시예에서, 제1 개구(201)는 약 20 nm와 같은 약 10 nm 내지 약 100 nm의 제2 폭(W2)을 가질 것이다.
도 2c는 도 2b의 점선(209) 내에 제1 개구(201)를 향하는 유전체층(107)의 표면의 클로즈업 도면을 예시한다. 유전체층(107)이 SiOCN을 포함하는 실시예에서, 유전체층(107)의 표면은 아래 놓인 실리콘에 결합된 산소, 아래 놓인 실리콘에 결합된 탄소, 및 아래 놓인 실리콘에 결합된 질소를 포함하는 복수의 말단기(terminal group)들을 가질 것이다. 예를 들어, 유전체층(107)은 증착 직후의(as-deposited) 약 33.4%-원자의 실리콘 농도, 증착 직후의 약 4.4%-원자의 탄소 농도, 증착 직후의 약 19.5%-원자의 질소 농도를 가질 수 있다. 그러나, 임의의 적절한 농도가 이용될 수도 있다.
도 3a-3d는 유전체층(107)으로의 패턴의 전사 및 포토레지스트(111)의 현상 이후에 남겨질 스컴(scum) 또는 다른 잔여물을 제거하는데 사용될 수 있는 (301로 라벨 붙여진 곡선 화살표들에 의해 도 3a에 표시되는) 디스컴(descum) 또는 처리 프로세스를 예시한다. 실시예에서, 처리 프로세스(301)는 제1 처리 프리커서, 복구 프리커서, 및 캐리어 가스의 혼합물을 사용하여 수행될 수 있다. 실시예에서, 오존 또는 O2/H2와 같은 임의의 다른 적절한 산소공급 프리커서, 또는 H2, H2/N2, 또는 NH3와 같은 임의의 다른 제1 처리 프리커서들이 또한 이용될 수 있으나, 제1 처리 프리커서는 나머지 잔여물과 반응하여 이를 제거하는데 사용되고, 산소(O2)와 같은 산소공급 프리커서일 수 있다.
그러나, 제1 처리 프리커서는 단독으로 유전체층(107)의 표면을 손상시킬 것이다. 예를 들어, 유전체층(107)은 실리콘-산소 결합들, 실리콘-탄소 결합들, 및 실리콘-질소 결합들을 포함하는 표면을 갖는 SiOCN인 실시예에서, 제1 처리 프리커서는 실리콘-탄소 결합들 및 실리콘-질소 결합들을 또한 깨뜨릴 것이다. 부가적으로, 제1 처리 프리커서의 플라즈마만이 존재한다면, 제1 처리 프리커서의 플라즈마로부터의 원자들(예를 들어, 산소 원자들)은 표면을 따르는 탄소 원자들 및 질소 원자들을 부가적인 산소 원자들과 교체할 것이다.
이러한 문제를 완화시키기 위한 노력에서, 복구 프리커서는 제1 처리 프리커서에 의하여 깨진 결합들 중 적어도 일부를 복구시키기 위하여 제1 처리 프리커서와 함께 이용된다. 실시예에서, 복구 프리커서는 제1 처리 프리커서(예를 들어, O2)의 플라즈마로의 유전체층(107)의 노출 동안에 손상되는 탄소 결합들을 제거하는데 사용될 수 있는 프리커서이다. 실시예에서, 복구 프리커서는 메탄, 탄소 이산화물, 에탄, 프로판, 이들의 조합물들 등과 같은 탄소 함유 프리커서일 수 있다. 그러나, 임의의 적절한 탄소 함유 프리커서가 사용될 수 있다.
부가적으로, 캐리어 가스는 복구 프리커서 및 제1 처리 프리커서를 제어하고 희석시키는데, 그리고 제1 처리 프리커서 및 복구 프리커서를 (하기에 추가로 설명되는) 플라즈마로 점화시키는데 사용되는 전압을 낮춤으로써 제1 처리 프리커서 및 복구 프리커서의 점화를 돕는데 사용될 수 있다. 실시예에서, 임의의 적절한 캐리어 가스가 사용될 수 있으나, 캐리어 가스는 아르곤, 헬륨 또는 크립톤과 같은 비반응성 가스일 수 있다.
도 3c는 처리 프로세스(301)를 개시하기 위하여, 유전체층(107)(및 반도체 기판(101))이, 예를 들어 처리 프로세스(301)의 일부분으로서 원격 플라즈마 시스템을 사용할 수 있는 처리 시스템(300) 내에 배치될 수 있는 것을 예시한다. 실시예에서, 처리 시스템(300)은 제1 프리커서 전달 시스템(305)로부터 제1 처리 프리커서를, 제2 프리커서 전달 시스템(306)으로부터 복구 프리커서를, 그리고 제3 프리커서 전달 시스템(308)으로부터 캐리어 가스를 수신할 수 있다.
제1 프리커서 전달 시스템(305), 제2 프리커서 전달 시스템(306), 및 제3 프리커서 전달 시스템(308)은 예를 들어, 프리커서 가스 제어기(313)를 통해 처리 챔버(303)에 다양한 상이한 프리커서 재료들을 공급하기 위하여 서로 함께 작동할 수 있다. 그러나, 제1 프리커서 전달 시스템(305), 제2 프리커서 전달 시스템(306), 및 제3 프리커서 전달 시스템(308)은 서로 유사한 물리적 컴포넌트들을 가질 수 있다. 예를 들어, 제1 프리커서 전달 시스템(305), 제2 프리커서 전달 시스템(306), 및 제3 프리커서 전달 시스템(308)은 (제1 프리커서 전달 시스템(305)에 관하여 도 3c에 라벨붙여지나, 명료성을 위해 제2 프리커서 전달 시스템(306) 또는 제3 프리커서 전달 시스템(308)에 관하여 라벨 붙여지지 않는) 가스 공급기(307) 및 유동 제어기(309)를 각각 포함할 수 있다.
제1 처리 프리커서가 기체 상태로 저장되는 실시예에서, 가스 공급기(307)는 제1 처리 프리커서를 처리 챔버(303)에 공급할 수 있다. 가스 공급기(307)는 처리 챔버(303)에 국소적으로 위치되거나 또는 그렇지 않으면 처리 챔버(303)로부터 원격으로 위치될 수 있는 가스 저장 탱크와 같은 용기(vessel)일 수 있다. 대안적으로, 가스 공급기(307)는 제1 처리 프리커서를 독립적으로 준비하여 유동 제어기(309)로 전달하는 설비일 수 있다. 제1 처리 프리커서를 위한 임의의 적절한 소스는 가스 공급기(307)로서 이용될 수 있으며, 그러한 모든 소스들은 완전히 실시예들의 범위 내에 포함되도록 의도된다.
가스 공급기(307)는 원하는 제1 처리 프리커서를 유동 제어기(309)로 공급할 수 있다. 유동 제어기(309)는 프리커서 가스 제어기(313)로의 그리고 결국은 처리 챔버(303)로의 제1 처리 프리커서의 유동을 제어하는데 이용되어, 또한 처리 챔버(303) 내의 압력을 제어하는 것을 도울 수 있다. 유동 제어기(309)는 예를 들어, 비례 제어 밸브(proportional valve), 조절 밸브(modulating valve), 니들 밸브(needle valve), 압력 조절기(pressure regulator), 질량 유량 제어기(mass flow controller), 이들의 조합물들 등일 수 있다. 그러나, 프리커서 가스 제어기(313)로의 제1 처리 프리커서의 유동을 제어하고 조절하기 위한 임의의 적절한 방법이 이용될 수 있으며, 그러한 모든 컴포넌트들 및 방법들은 완전히 실시예들의 범위 내에 포함되도록 의도된다.
그러나, 본 기술분야의 당업자가 인식할 바와 같이, 제1 프리커서 전달 시스템(305), 제2 프리커서 전달 시스템(306), 및 제3 프리커서 전달 시스템(308)은 동일한 컴포넌트들을 갖는 것으로서 본 명세서에 설명되었으나, 이것은 단지 예시적 예이며, 어떠한 방식으로도 실시예들을 제한하도록 의도되지 않는다. 처리 시스템(300) 내의 다른 프리커서 전달 시스템들 중 임의의 것과 동일하거나 또는 상이한 임의의 타입 및 개수의 개별적 컴포넌트들을 갖는 임의의 타입의 적절한 프리커서 전달 시스템이 대안적으로 이용될 수 있다. 그러한 모든 프리커서 성막 시스템들은 완전히 실시예들의 범위 내에 포함되도록 의도된다.
부가적으로, 제1 처리 프리커서가 고체 또는 액체 상태로 저장되는 실시예에서, 가스 공급기(307)는 캐리어 가스를 저장할 수 있고, 캐리어 가스는 고체 또는 액체 상태로 제1 처리 프리커서를 저장하는 프리커서 캐니스터(canister)(개별적으로 예시되지는 않음) 내로 도입될 수 있다. 캐리어 가스는 이것이 프리커서 가스 제어기(313)로 전송되기 이전에 프리커서 캐니스터의 기체 섹션으로 증발되거나 또는 승화됨에 따라, 그 후 제1 처리 프리커서를 푸쉬하고 나르는데 사용된다. 임의의 적절한 방법 및 유닛들의 조합은 제1 처리 프리커서, 복구 프리커서, 및 캐리어 가스를 제공하는데 이용될 수 있으며, 모든 그러한 유닛들의 조합은 완전히 실시예들의 범위 내에 포함되도록 의도된다.
제1 프리커서 전달 시스템(305), 제2 프리커서 전달 시스템(306), 및 제3 프리커서 전달 시스템(308)은 원하는 비율들로(하기에서 추가로 논의됨) 처리 챔버(303)로 원하는 프리커서 재료들을 전달하기 위하여, 제1 프리커서 전달 시스템(305), 제2 프리커서 전달 시스템(306), 및 제3 프리커서 전달 시스템(308)을 처리 챔버(303)로 연결하고 처리 챔버(303)로부터 분리시킬 수 있는 프리커서 가스 제어기(313) 내로 그들의 개별적인 프리커서 재료들을 공급할 수 있다. 프리커서 가스 제어기(313)는 프리커서들(예를 들어, 제1 처리 프리커서, 복구 프리커서, 및 캐리어 가스) 각각의 전달 레이트들을 제어하기 위하여 밸브들, 유량계들, 센서들 등과 같은 디바이스들을 포함할 수 있으며, 제어 유닛(315)으로부터 수신되는 명령어들에 의해 제어될 수 있다.
제어 유닛(315)으로부터 명령어들을 수신 시, 프리커서 가스 제어기(313)는 제1 프리커서 전달 시스템(305), 제2 프리커서 전달 시스템(306), 및 제3 프리커서 전달 시스템(308)을 처리 챔버(303)에 연결하도록 밸브들을 개방 및 폐쇄할 수 있으며, 원하는 처리 혼합물을 매니폴드(316)를 통해 플라즈마 블록(320)으로 지향시킬 수 있다. 실시예에서, 플라즈마 블록(320)은 매니폴드(316)로부터 처리 혼합물을 수신하는 유입 포트 및 (제1 처리 프리커서, 복구 프리커서, 및 캐리어 가스로부터 변환된) 제1 처리 플라즈마(321)를 처리 챔버(303)로 전달하기 위하여 결합되는 유출 포트를 갖는다. 플라즈마 블록(320)이 자기 플라즈마 발생기인 실시예에서, 제1 처리 프리커서, 복구 프리커서, 및 캐리어 가스는 플라즈마 블록(320)에 진입하고, 플라즈마 블록(320)의 일부분을 둘러싸는 자기 코어 사이를 통과한다. 플라즈마 블록(320)에 진입하는 제1 처리 프리커서, 복구 프리커서, 및 캐리어 가스로부터 제1 처리 플라즈마(321)의 형성을 유도하기 위하여 자기 코어가 이용된다.
자기 코어는 유입 포트로부터 유출 포트로 플라즈마 블록(320)을 관통하는 유동 경로의 일부분 주위에 위치될 수 있다. 실시예에서, 자기 코어는 트랜스포머의 일부분이며, 1차 코일은 트랜스포머의 다른 부분을 형성한다. 실시예에서, 1차 코일은 약 600와 같이 약 100 내지 약 1000의 권선을 가질 수 있다. 플라즈마 블록(320) 내에서 제1 처리 프리커서, 복구 프리커서, 및 캐리어 가스로부터 원하는 제1 처리 플라즈마(321)를 발생시키기 위해, 예를 들어, 제어 유닛(315)에 의하여 제어되는 전기의 짧은 고전압 펄스가 1차 코일에 인가될 수 있다. 1차 코일의 전기의 고전압 펄스가 자기 코어 내로의 에너지 펄스로 변환되며, 이는 플라즈마 블록(320) 내의 제1 처리 프리커서, 복구 프리커서, 및 캐리어 가스로부터의 제1 처리 플라즈마(321)의 형성을 유도한다. 실시예에서, 고전압 펄스는 약 13.56 MHz와 같이 약 10 kHz 내지 약 30MHz일 수 있다. 한편 온도는 약 50 ℃ 내지 약 200 ℃이며, 압력은 약 1 torr 내지 약 20 torr이다.
그러나, 자기 코일로 제1 처리 프리커서, 복구 프리커서, 및 캐리어 가스를 점화시키는 것이 실시예들과 함께 사용될 수 있는 일 실시예로서 설명되나, 실시예들은 이로 제한되지 않는다. 그보다는 차라리, 임의의 적절한 방법 또는 구조들이 제1 처리 플라즈마(321)를 형성하기 위하여 제1 처리 프리커서, 복구 프리커서, 및 캐리어 가스를 점화시키는데 사용될 수 있다. 예를 들어, 대안적인 실시예들에서, 고전압 펄스가 플라즈마 블록(320)에 결합되는 전극(예시되지 않음)에 인가될 수 있거나, 또는 제1 처리 프리커서, 복구 프리커서, 및 캐리어 가스가 제1 처리 프리커서, 복구 프리커서, 및 캐리어 가스를 점화시키고 제1 처리 플라즈마(321)를 형성하는데 사용될 수 있는 자외선 복사선에 노출될 수 있다. 제1 처리 프리커서, 복구 프리커서, 및 캐리어 가스를 점화시키는 임의의 적절한 방법 및 임의의 다른 적절한 플라즈마 유도 디바이스는 완전히 실시예들의 범위 내에 포함되는 것으로 의도된다.
제1 처리 플라즈마(321)가 생성되면, 제1 처리 플라즈마(321)는 처리 챔버(303) 내로 지향될 수 있다. 처리 챔버(303)는 제1 처리 플라즈마(321)를 수신하고, 제1 처리 플라즈마(321)를 유전체층(107)에 노출시킬 수 있으며, 처리 챔버(303)는 제1 처리 플라즈마(321)를 확신시키고 제1 처리 플라즈마(321)를 유전체층(107)과 접촉시키기에 적합할 수 있는 임의의 원하는 형상일 수 있다. 도 3c에 예시된 실시예에서, 처리 챔버(303)는 원통형 측벽 및 하단부를 갖는다. 그러나, 처리 챔버(303)는 원통 형상으로 제한되지 않으며, 중공형 사각 튜브, 팔각형 형상 등과 같은 임의의 다른 적절한 형상이 대안적으로 이용될 수 있다. 뿐만 아니라, 처리 챔버(303)는 다양한 프로세스 재료들에 대해 비활성인 재료로 만들어진 하우징(319)에 의하여 둘러싸일 수 있다. 이로써, 하우징(319)은 성막 프로세스에 수반되는 화학 반응들 및 압력들을 견딜 수 있는 임의의 적절한 재료일 수 있는 반면, 실시예에서, 하우징(319)은 스틸, 스테인레스 스틸, 니켈, 알루미늄, 이들의 합금들, 이들의 조합물들 등일 수 있다.
처리 챔버(303) 내에서, 유전체층(107)은 처리 프로세스(301) 동안 반도체 기판(101) 및 유전체층(107)을 위치설정하고 제어하기 위하여 장착 플랫폼(322) 상에 배치될 수 있다. 처리 챔버(303)는 배기 가스들이 처리 챔버(303)를 빠져나가게 하기 위해 배기구(325)를 또한 가질 수 있다. 진공 펌프(324)는 배기 가스들의 배기(evacuate)를 돕기 위하여 처리 챔버(303)의 배기구(325)에 연결될 수 있다. 제어 유닛(315)의 제어 하에, 진공 펌프(324)는 처리 챔버(303) 내에 압력을 원하는 압력으로 감소시키고 제어하는데 또한 이용될 수 있으며, 다음 프리커서 재료의 도입을 위한 준비에서 처리 챔버(303)로부터 프리커서 재료들을 배기시키는데 또한 이용될 수 있다.
도 3d는 프리커서 가스 제어기(313)를 제어하는데 이용될 수 있는 제어 유닛(315)의 실시예를 예시한다. 제어 유닛(315)은 프로세스 머신들을 제어하기 위한 산업적 설정에서 사용될 수 있는 임의의 형태의 컴퓨터 프로세서일 수 있거나, 또는 대안적으로 그러한 제어를 위해 프로그래밍된 범용 컴퓨터 플랫폼일 수 있다. 실시예에서, 제어 유닛(315)은 데스크탑 컴퓨터, 워크스테이션, 랩탑 컴퓨터 또는 특정 애플리케이션을 위해 맞춤제작된 전용 유닛과 같은 프로세싱 유닛(323)을 포함할 수 있다. 제어 유닛(315)은 디스플레이(326) 및 명령어 출력부들, 센서 입력부들, 마우스, 키보드, 프린터, 이들의 조합물들 등과 같은 하나 이상의 입력/출력 컴포넌트들(327)이 구비될 수 있다. 프로세싱 유닛(323)은 버스(339)에 연결된 중앙 처리 장치(CPU)(329), 메모리(331), 대용량 저장 디바이스(333), 비디오 어댑터(335), 및 I/O 인터페이스(337)를 포함할 수 있다.
버스(339)는 메모리 버스 또는 메모리 제어기, 주변장치 버스, 또는 비디오 버스를 포함하는 임의의 타입의 수 개의 버스 아키텍쳐들 중 하나 이상의 것일 수 있다. CPU(329)는 임의의 타입의 전자 데이터 프로세서를 포함할 수 있으며, 메모리(331)는 정적 랜덤 액세스 메모리(SRAM, static random access memory), 동적 랜덤 액세스 메모리(DRAM, dynamic random access memory), 또는 판독 전용 메모리(ROM, read-only memory)와 같은 임의의 타입의 시스템 메모리를 포함할 수 있다. 대용량 저장 디바이스(333)는 데이터, 프로그램 및 다른 정보를 저장하도록 그리고 데이터, 프로그램 및 다른 정보를 버스(339)를 통해 액세스가능하게 하도록 구성되는 임의의 타입의 저장 디바이스를 포함할 수 있다. 대용량 저장 디바이스(333)는 예를 들어, 하드 디스크 드라이브, 자기 디스크 드라이브 또는 광학 디스크 드라이브 중 하나 이상을 포함할 수 있다.
비디오 어댑터(335) 및 I/O 인터페이스(337)는 외부 입력 및 출력 디바이스들을 프로세싱 유닛(323)에 연결하기 위하여 인터페이스들을 제공한다. 도 3d에 예시된 바와 같이, 입력 및 출력 디바이스들의 예들은 비디오 어댑터(335)에 연결되는 디스플레이(326), 및 I/O 인터페이스(337)에 연결되는 I/O 컴포넌트(205), 예컨대 마우스, 키보드, 프린터 등를 포함한다. 다른 디바이스들은 프로세싱 유닛(323)에 결합될 수 있으며, 추가의 또는 더 적은 인터페이스 카드들이 이용될 수 있다. 예를 들어, 직렬 인터페이스 카드(미도시)가 프린터를 위한 직렬 인터페이스를 제공하는데 사용될 수 있다. 프로세싱 유닛(323)은 LAN(local area network 또는 WAN(wide area network)(343)에 대한 유선 링크 및/또는 무선 링크일 수 있는 네트워크 인터페이스(341)를 또한 포함할 수 있다.
제어 유닛(315)은 다른 컴포넌트들을 포함할 수 있다는 것에 유념해야 한다. 예를 들어, 제어 유닛(315)은 파워 서플라이들, 케이블들, 마더보드, 착탈식 저장 매체, 케이스들 등을 포함할 수 있다. 도 2에는 미도시되었으나, 이러한 다른 컴포넌트들은 제어 유닛(315)의 일부로 고려된다.
특정 실시예에서, 처리 프로세스(301)는 제1 처리 프리커서(예를 들어, 산소)를 제1 프리커서 전달 시스템(305)에 넣는 것 또는 제1 프리커서 전달 시스템(305)에 의하여 형성되는 제1 처리 프리커서를 갖는 것에 의하여 개시된다. 부가적으로, 복구 프리커서는 제2 프리커서 전달 시스템(306) 내로 배치되거나 제2 프리커서 전달 시스템(306)에 의하여 형성될 수 있으며, 캐리어 가스는 제3 프리커서 전달 시스템(308) 내로 배치되거나 제3 프리커서 전달 시스템(308)에 의하여 형성될 수 있다. 제1 처리 프리커서, 복구 프리커서, 및 캐리어 가스가 제1 프리커서 전달 시스템(305), 제2 프리커서 전달 시스템(306), 및 제3 프리커서 전달 시스템(308) 내로 각각 배치되면, 처리 프로세스(301)는 원하는 농도로 제1 프리커서 전달 시스템(305), 제2 프리커서 전달 시스템(306), 및 제3 프리커서 전달 시스템(308)을 매니폴드(316)에 연결하기 위해 명령어들을 프리커서 가스 제어기(313)에 전송하는 제어 유닛(315)에 의하여 개시될 수 있다. 실시예에서, 프리커서 가스 제어기(313)는 제1 처리 프리커서(예를 들어, O2)가 매니폴드(316) 내에 약 2% 내지 약 50%, 예컨대 약 5%의 농도를 갖도록 농도를 제어할 수 있다. 부가적으로, 프리커서 가스 제어기(313)는 복구 프리커서(예를 들어, CH4)의 농도를 약 2% 내지 약 80%, 예컨대 약 15%이도록 제어하고, 캐리어 가스(예를 들어, Ar)를 약 2% 내지 약 90%, 예컨대 약 80%의 농도를 갖도록 제어할 수 있다.
제1 처리 프리커서, 복구 프리커서, 및 캐리어 가스가 매니폴드(316)에서 처리 혼합물로 혼합되면, 처리 혼합물은 플라즈마 블록(320)에 진입할 수 있다. 플라즈마 블록(320)에서, 처리 혼합물 내에 제1 처리 프리커서, 복구 프리커서, 및 캐리어 가스는 제1 처리 플라즈마(321)로 변환될 것이다. 변환되면, 제1 처리 플라즈마(321)는 그 후 처리 챔버(303)로 보내지고, 여기서 압력은 약 10 mT 내지 약 200 mT, 예컨대 약 200 mT로 유지될 수 있으며, 온도는 약 15 ℃ 내지 약 65 ℃, 예컨대 약 40 ℃로 유지될 수 있다.
처리 챔버(303) 내에 있으면, 제1 처리 플라즈마(321)는 유전체층(107)의 표면을 한정하는(terminate) 말단기(terminal group)들과 반응할 것이다. 예를 들어, 제1 처리 프리커서(예를 들어, 산소 플라즈마)의 플라즈마는 포토레지스트(111)의 임의의 남아있는 부분들 또는 유전체층(107)의 패터닝으로부터의 임의의 남아있는 잔여물을 디스커밍하도록 작동할 것이다. 그러나, 남아 있는 그리고 원치 않는 잔여물을 제거하는 것 이외에도, 제1 처리 프리커서의 플라즈마는 또한 유전체층(107)의 표면을 따라 실리콘, 탄소 및 질소 원자들 사이에 결합들의 적어도 일부의 반응하고 그것을 깨뜨려서, 실리콘이 탄소 및 질소와 결합된 개방 말단기를 남긴다. 이러한 결합들을 깨뜨리는 것과 후속 반응들은, 달리 복구되거나 완화되지 않는다면, 유전체층(107)을 손상시킨다.
예를 들어, 상기 논의된 실시예(유전체층(107)이 유전체층(107)의 표면에서 33.4% Si, 4.4% C, 42.6% O 및 19.5% N의 증착 직후 농도를 갖는)에서, 제1 처리 프리커서(예를 들어, 산소 플라즈마)의 플라즈마만이 복구 프리커서의 플라즈마 없이 도입된 경우, 유전체층(107)의 표면에서 다양한 원자들의 농도는 탄소 원자들 및 질소 원자들 사이의 결합들을 깨뜨리고, 그 후 제1 처리 프리커서(예를 들어, 산소 원자들)의 플라즈마의 원자들을 그와 결합시키기 위한 개방 말단기들을 가져, 유전체층(107)의 표면에서 탄소 및 질소와 같은 원자들을 산소 원자들과 교체됨으로써, 제1 처리 프리커서의 플라즈마에 의하여 수정될 것이다.
예를 들어, 제1 처리 프리커서의 플라즈마가 복구 프리커서의 플라즈마 없이 사용되는 몇몇 실시예들에서, 유전체층(107)의 표면에서의 탄소 농도는 4.4% 탄소에서 2.2% 탄소로 감소될 것이며, 이와 함께 산소 농도는 42.6%에서 57.4%로 증가할 것이다. 부가적으로, 실리콘 농도는 33.4%에서 30.7%로 변화될 것이며, 이와 함께 질소 농도는 19.5%에서 9.7%로 변화할 것이다. 그러한 변화는 5 Å 깊이에서 21 Å 깊이로(제어 웨이퍼 상에서 측정될 때) 손상된 유전체층(107)의 깊이의 증가 및 5.0에서 5.05로의 K 값의 증가를 초래할 것이다. 부가적으로, 포토레지스트의 스트립 레이트는 약 253 Å이다.
제1 처리 프리커서의 플라즈마가 복구 프리커서의 플라즈마 없이 사용되는 다른 실시예에서, 제1 처리 프리커서의 플라즈마는 29% 실리콘, 13.3% 탄소, 45.9% 산소, 및 11.8% 질소의 표면 농도를 갖는 유전체층(107)의 저유전체 재료 상에 사용될 수 있다. 이 예에서, 복구 프리커서의 플라즈마 없이 제1 처리 프리커서의 플라즈마를 사용하면, 탄소 농도는 13.3% 탄소에서 4.9% 탄소로 감소될 것이며, 이와 함께 산소 농도는 45.9%에서 61.7%로 증가할 것이다. 부가적으로, 실리콘 농도는 29%에서 28.4%로 변화할 것이며, 이와 함께 질소 농도는 11.8%에서 5%로 변화할 것이다. 그러한 변화는 8 Å 깊이에서 24 Å 깊이로 손상된 유전체층(107)의 깊이의 증가 및 4.3에서 4.5로의 K 값의 증가를 초래할 것이다. 부가적으로, 포토레지스트의 스트립 레이트는 약 253 Å이다.
또한, 단독의 플라즈마 CO, 플라즈마 NH3, 또는 플라즈마 CH4와 같은 다른 단일 플라즈마들 각각은 그들 자신의 문제점들을 갖는다. 예를 들어, 그들이 손상을 낮출 수 있는 동안 플라즈마 CO, 플라즈마 NH3, 또는 플라즈마 CH4의 사용은 포토레지스트 스트립 레이트의 저하를 또한 가질 것이다. 예를 들어, 플라즈마 CO의 사용은 60 Å의 포토레지스트 스트립 레이트(및 14 Å의 손상)을 초래할 것이고, 플라즈마 NH3의 사용은 20-30 Å의 포토레지스트 스트립 레이트(및 14 Å의 손상)를 초래할 것이며, 플라즈마 CH4의 사용은 0 Å의 포토레지스트 스트립 레이트(및 5 Å의 손상)를 초래할 것이다.
이로써, 처리 프로세스(301)에 의하여 야기되는 손상을 완화, 감소 또는 제거하기 위하여, 복구 프리커서는 탄소 결합들 중 일부를 복구시키고 손상을 방지 또는 완화시키기 위하여 처리 혼합물에 부가된다. 예를 들어, 도 3b에 예시된 바와 같이, 제1 처리 프리커서의 플라즈마(예를 들어, 산소의 플라즈마)는 아래 놓인 실리콘과 탄소 및 질소의 원자들 사이에 결합들을 깨뜨리고 제거할 것인 한편, 복구 프리커서의 플라즈마(예를 들어, CH4의 플라즈마)는 이제 개방된 말단 사이트(terminal site)들과 반영하고, 제1 처리 프리커서의 플라즈마에 의하여 제거된 탄소 원자들 및 질소 원자들을 교체할 것이다. 도 3b에서, 도 2b에 예시된 단일 탄소 원자는 제거되고 또한 다른 탄소 원자와 교체된 반면, 질소 원자는 제거되고 탄소 원자와 교체되었다.
예를 들어, 상기 논의된 실시예(유전체층(107)이 33.4% Si, 4.4% C, 42.6% O, 및 19.5% N의 증착 직후 농도를 갖는)에서, 제1 처리 프리커서가 복구 프리커서와 함께 이용될 때, 2.2%로 감소되는 대신 탄소 농도는 실제로 6%로 상승될 것인 반면, 질소 농도는 19.5%에서 11%로 감소될 것이고, 산소 농도는 42.6%에서 51.2%로 증가될 것이고, 실리콘 농도는 33.4%에서 31.8%로 감소된다. 그러한 복구는 21 Å에서(단지 제1 처리 프리커서의 플라즈마가 사용될 때) 단지 8 Å로(조사를 위해 사용되는 제어 웨이퍼 상에서 측정될 때) 손상의 깊이를 감소시킬 것이며, 13 Å에서(단지 제1 처리 프리커서의 플라즈마가 사용될 때) 단지 3 Å로(임계 치수들이 디바이스들의 형성에서 이용되는 제조 웨이퍼 상에서 측정될 때) 손상의 깊이를 감소시킬 것이다. 부가적으로, 복구는 253 Å에서 거의 동일하게 남아있는 포토레지스트 스트립 레이트에 대해 현저한 효과를 갖지 않고, 만약 존재한다면, K 값(5에 머무르는)에 대해 최소한의 효과를 가질 것이다.
복구 프리커서가, 표면이 29% Si, 13.3% C, 45.9% O, 및 11.8% N의 증착 직후 농도를 갖는 유전체층(107)이 성막되는 실시예 상의 제1 처리 프리커서와 함께 사용되는 다른 실시예에서, 탄소 농도는 4.9%로 감소되는 대신에 실제로 9.3%로 감소될 수 있는 반면, 질소 농도는 11.8%에서 5.4%로 감소될 것이고, 산소 농도는 45.9%에서 55.5%로 증가될 것이며, 실리콘 농도는 29%에서 29.8%로 증가된다. 그러한 복구는 24 Å에서(단지 제1 처리 프리커서의 플라즈마가 사용될 때) 단지 10 Å로(제어 웨이퍼 상에서 측정될 때) 손상의 깊이를 감소시킬 것이며, 15 Å에서(단지 제1 처리 프리커서의 플라즈마가 사용될 때) 단지 5 Å로(제조 웨이퍼 상에서 측정될 때) 손상의 깊이를 감소시킬 것이다. 부가적으로, 복구는 253 Å에서 거의 동일하게 남아있는 포토레지스트 스트립 레이트에 대해 현저한 효과를 갖지 않고, K 값(4.3에서 약 4.25가 되는)에 대해 최소한의 효과를 가질 것이다.
구조물의 표면을 디스커밍하기 위하여 처리 프로세스(301) 동안에 제1 처리 프리커서 및 캐리어 가스와 함께 복구 프리커서를 이용함으로써, 보통 유전체층(107)의 표면의 제1 처리 프리커서의 플라즈마로의 노출로부터 발생하는 유전체층(107)에 대한 손상은 처리 프로세스(301) 동안 깨지는 결합들 중 적어도 일부를 복구시킴으로써 완화될 수 있다. 이러한 결합들을 복구시킴으로써, 처리 프로세스(301)로부터의 손상은 완화될 수 있으며, 유전체층(107)은 더 작은 두께로 형성될 수 있는데 이는 유전체층(107)의 손상된 부분을 제거하기 위한 에칭이 그만큼 필요하지는 않기 때문이다. 이로써, 더 작은 전체 구조물이 달성될 수 있다.
도 4는 포토레지스트(111)의 패턴이 유전체층(107)에 전사된 이후에, 유전체층(107) 위로부터의 포토레지스트(111)의 제거를 예시한다. 실시예에서, 포토레지스트(111)는 유전체층(107)으로부터 포토레지스트(111)를 에칭 제거하기 위하여 반응성 이온 에칭과 같은 건식 에칭 프로세스를 사용하여 제거될 수 있다. 그러나, 애싱 프로세스와 같은 임의의 다른 적절한 프로세스가 이용될 수도 있다.
부가적으로, 유전체층(107)으로 패턴을 전사하기 위한 에칭 프로세스 동안에, 유전체층(107)의 일부분은 포토레지스트(111) 아래에 산화될 수 있다. 이로써, 포토레지스트(111)가 유전체층(107) 위로부터 제거된 이후에, 유전체층(107)의 일부분은 유전체층(107)의 손상된 부분 또는 산화된 부분을 제거하기 위하여 제거될 수 있다. 예를 들어, 실시예에서, 유전체층(107)은 33.4% Si, 4.4% C, 42.6% O, 및 19.5% N(어떠한 처리도 없이 19 Å까지 산화될 수 있음)의 증착 직후 농도를 가지며, 제1 처리 프리커서는 복구 프리커서와 함께 이용되고, 산화된 유전체층(107)의 양은 21 Å만큼 낮을 수 있는 반면, 복구 프리커서가 없는 제1 처리 프리커서 단독의 사용은 26 Å의 제거를 가질 수 있다. 다른 예로서, 유전체층(107)이 29% Si, 13.3% C, 45.9% O, 및 11.8% N(어떠한 처리도 없이 19 Å까지 산화될 수 있음)의 증착 직후 농도를 갖고 제1 처리 프리커서가 복구 프리커서와 함께 이용되는 실시예에서, 산화된 유전체층(107)의 양은 21 Å만큼 낮을 수 있는 반면, 복구 프리커서가 없는 제1 처리 프리커서 단독의 사용은 26 Å의 제거를 가질 수 있다.
실시예에서, 유전체층(107)의 손상된 섹션의 제거는 반응성 이온 에칭 프로세스, 습식 에칭 프로세스 등을 사용하여 수행될 수 있다. 특정 실시예에서, 포토레지스트를 제거한 반응성 이온 에칭이 또한 유전체층(107)의 손상된 부분의 제거에 적합한 경우, 포토레지스트(111)를 제거한 반응성 이온 에칭은 간단히 유전체층(107)의 손상된 부분을 제거하기 위해 계속될 수 있거나, 또는 개별적인 에칭 프로세스가 이용될 수 있다. 다른 실시예에서, 유전체층(107)의 손상된 섹션의 제거는 유전체층(107)의 약 10 Å 내지 약 50 Å를 제거하도록 수행될 수 있다.
유전체층(107)이 패터닝되면, 핀(103) 및 게이트 전극(115)으로의 연결들을 완료하고 완전히 연결된 FinFET 디바이스를 형성하기 위하여 부가적인 단계들이 수행될 수 있다. 예를 들어, 실리사이드들, 콘택들 및 층간 유전체들(개별적으로 예시되지는 않음)은 원하는 바에 따라 전기 접속부들을 제공하기 위하여 그리고 기능적 반도체 다이 또는 다른 디바이스를 형성하기 위해 다른 능동 디바이스들 및 외부 디바이스들과 핀(103)을 상호연결하기 위하여 형성될 수 있다.
도 5는 실시예들과 함께 이용될 수 있는 흐름도를 예시한다. 도 5에 예시된 실시예에서, 제1 단계(501)는 핀을 형성하기 위한 것이고, 제2 단계(503)는 핀 위에 게이트 스택을 형성하기 위한 것이고, 제3 단계(505)는 핀 위에 로우-k 유전체를 형성 또는 성막하기 위한 것이다. 제4 단계(507)는 포토레지스트의 배치 및 패터닝을 포함하며, 제5 단계(509)는 핀으로부터 형성된 트랜지스터에 대한 콘택들을 위한 패턴을 형성하기 위한 포토레지스트의 현상을 갖는다. 패턴은 제6 단계(511)에서 유전체층에 전사되고, 유전체층은 제7 단계(513)에서 복구 프리커서 및 캐리어 가스와 함께 제1 처리 프리커서로 처리되며, 포토레지스트는 제8 단계(515)에서 제거된다.
전술한 내용은 본 기술분야의 당업자들이 본 개시물의 양상들을 더 잘 이해할 수 있도록, 수 개의 실시예들의 피쳐들의 개요를 서술한다. 본 기술분야의 당업자들은 그들이 본 명세서에 도입된 실시예들의 동일한 목적들을 실행하고/실행하거나 동일한 장점들을 달성하기 위한 다른 프로세스들 및 구조물들을 설계 또는 수정하기 위한 기반으로서 본 개시내용을 용이하게 사용할 수 있다는 것을 인식해야 한다. 본 기술분야의 당업자들은 그러한 등가적 구조들이 본 개시물의 사상 및 범위를 벗어나지 않으며, 그들이 본 개시물의 사상 및 범위를 벗어나지 않고 본 발명에 대한 다양한 변화들, 대체들 및 변경들을 할 수 있다는 것을 또한 인식해야 한다.

Claims (10)

  1. 반도체 디바이스를 제조하는 방법에 있어서,
    유전체층 위에 포토레지스트를 도포하는 단계;
    상기 포토레지스트를 통해 상기 유전체층의 제1 표면을 노출시키는 단계; 및
    제1 처리 프리커서 및 복구 프리커서를 포함하는 처리 혼합물을 사용함으로써, 처리 플라즈마에 상기 제1 표면을 노출시키는 단계
    를 포함하는, 반도체 디바이스를 제조하는 방법.
  2. 제1항에 있어서,
    상기 복구 프리커서는 메탄을 포함하는 것인, 반도체 디바이스를 제조하는 방법.
  3. 제2항에 있어서,
    상기 제1 처리 프리커서는 산소를 포함하는 것인, 반도체 디바이스를 제조하는 방법.
  4. 제3항에 있어서,
    상기 처리 혼합물은 캐리어 가스를 더 포함하는 것인, 반도체 디바이스를 제조하는 방법.
  5. 제1항에 있어서,
    상기 제1 표면은, 상기 처리 플라즈마에 상기 제1 표면을 노출시키기 이전에 제1 탄소 농도를 그리고 상기 처리 플라즈마에 상기 제1 표면을 노출시킨 이후에 상기 제1 탄소 농도와 상이한 제2 탄소 농도를 갖는 것인, 반도체 디바이스를 제조하는 방법.
  6. 제5항에 있어서,
    상기 제2 탄소 농도는 상기 제1 탄소 농도보다 더 높은 것인, 반도체 디바이스를 제조하는 방법.
  7. 반도체 디바이스를 제조하는 방법에 있어서,
    기판 위에 로우-k 유전체층을 성막하는 단계; 및
    상기 로우-k 유전체층을 디스커밍(descumming)하는 단계
    를 포함하며,
    상기 로우-k 유전체층을 디스커밍하는 단계는, 상기 로우-k 유전체층의 표면을 따라 탄소 농도를 증가시키는 것인, 반도체 디바이스를 제조하는 방법.
  8. 제7항에 있어서,
    상기 로우-k 유전체층을 디스커밍하는 단계는, 탄소 함유 프리커서, 처리 프리커서 및 캐리어 가스의 플라즈마를 가하는 단계를 더 포함하는 것인, 반도체 디바이스를 제조하는 방법.
  9. 제7항에 있어서,
    상기 로우-k 유전체층 상으로 포토레지스트를 도포하는 단계; 및
    상기 포토레지스트를 노출시키고 현상하는 단계
    를 더 포함하며,
    상기 로우-k 유전체층을 디스커밍하는 단계는, 상기 포토레지스트를 노출시키고 현상한 이후에, 상기 포토레지스트를 통해 수행되는 것인, 반도체 디바이스를 제조하는 방법.
  10. 반도체 디바이스를 제조하는 방법에 있어서,
    유전체층을 성막하는 단계 ― 상기 유전체층은 실리콘 원자들과 산소 원자들 사이의 제1 결합들 및 실리콘 원자들과 탄소 원자들 사이의 제2 결합들을 포함함 ― ;
    디스커밍 프리커서의 플라즈마를 가함으로써, 상기 제2 결합들을 깨뜨리는 단계 ― 상기 제2 결합들을 깨뜨리는 단계는 제1 개방 말단기(open terminal group)들을 남김 ― ; 및
    복구 프리커서의 플라즈마를 가함으로써, 제1 개방 말단기들을 탄소에 결합시키는 단계
    를 포함하는, 반도체 디바이스를 제조하는 방법.
KR1020160042935A 2015-09-30 2016-04-07 처리 시스템 및 방법 KR20170038631A (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201562234958P 2015-09-30 2015-09-30
US62/234,958 2015-09-30
US14/989,227 US10312075B2 (en) 2015-09-30 2016-01-06 Treatment system and method
US14/989,227 2016-01-06

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020180014124A Division KR102011943B1 (ko) 2015-09-30 2018-02-05 처리 시스템 및 방법

Publications (1)

Publication Number Publication Date
KR20170038631A true KR20170038631A (ko) 2017-04-07

Family

ID=58281935

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020160042935A KR20170038631A (ko) 2015-09-30 2016-04-07 처리 시스템 및 방법
KR1020180014124A KR102011943B1 (ko) 2015-09-30 2018-02-05 처리 시스템 및 방법

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020180014124A KR102011943B1 (ko) 2015-09-30 2018-02-05 처리 시스템 및 방법

Country Status (5)

Country Link
US (4) US10312075B2 (ko)
KR (2) KR20170038631A (ko)
CN (1) CN106558473B (ko)
DE (1) DE102016103691A1 (ko)
TW (1) TWI641024B (ko)

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10312075B2 (en) * 2015-09-30 2019-06-04 Taiwan Semiconductor Manufacturing Company, Ltd. Treatment system and method
US10079290B2 (en) * 2016-12-30 2018-09-18 United Microelectronics Corp. Semiconductor device having asymmetric spacer structures
US10304677B2 (en) * 2017-09-29 2019-05-28 Taiwan Semiconductor Manufacturing Co., Ltd. Low-k feature formation processes and structures formed thereby
DE102018110837A1 (de) 2017-09-29 2019-04-04 Taiwan Semiconductor Manufacturing Co., Ltd. Prozesse zur Bildung von Merkmalen mit einem niedrigen K-Wert und dadurch gebildete Aufbauten
TWI750384B (zh) * 2017-11-13 2021-12-21 台灣積體電路製造股份有限公司 半導體元件的製造方法以及半導體處理系統
US11061333B2 (en) 2017-11-13 2021-07-13 Taiwan Semiconductor Manufacturing Company, Ltd. Manufacturing method of semiconductor device and semiconductor processing system
CN111211088B (zh) * 2018-11-21 2023-04-25 台湾积体电路制造股份有限公司 半导体器件及其形成方法
US20220334482A1 (en) * 2021-04-15 2022-10-20 Taiwan Semiconductor Manufacturing Co., Ltd. Photoresist top coating material for etching rate control

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6054398A (en) * 1999-05-14 2000-04-25 Advanced Micro Devices, Inc. Semiconductor interconnect barrier for fluorinated dielectrics
US6346489B1 (en) 1999-09-02 2002-02-12 Applied Materials, Inc. Precleaning process for metal plug that minimizes damage to low-κ dielectric
US6372661B1 (en) * 2000-07-14 2002-04-16 Taiwan Semiconductor Manufacturing Company Method to improve the crack resistance of CVD low-k dielectric constant material
US7169440B2 (en) * 2002-04-16 2007-01-30 Tokyo Electron Limited Method for removing photoresist and etch residues
US7314828B2 (en) 2005-07-19 2008-01-01 Taiwan Semiconductor Manufacturing Company, Ltd. Repairing method for low-k dielectric materials
US7279427B2 (en) 2005-08-03 2007-10-09 Tokyo Electron, Ltd. Damage-free ashing process and system for post low-k etch
US7585778B2 (en) * 2007-03-27 2009-09-08 Applied Materials, Inc. Method of etching an organic low-k dielectric material
US7871922B2 (en) 2007-04-10 2011-01-18 Taiwan Semiconductor Manufacturing Co., Ltd. Methods for forming interconnect structures that include forming air gaps between conductive structures
US20090211596A1 (en) 2007-07-11 2009-08-27 Lam Research Corporation Method of post etch polymer residue removal
DE102009023379B4 (de) 2009-05-29 2014-08-21 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Erzeugen einer hydrophoben Oberfläche empfindlicher Dielektrika mit kleinem ε von Mikrostrukturbauelementen durch eine in-situ-Plasmabehandlung
US7981699B2 (en) * 2009-10-22 2011-07-19 Lam Research Corporation Method for tunably repairing low-k dielectric damage
US8487378B2 (en) 2011-01-21 2013-07-16 Taiwan Semiconductor Manufacturing Company, Ltd. Non-uniform channel junction-less transistor
US9054110B2 (en) 2011-08-05 2015-06-09 Taiwan Semiconductor Manufacturing Company, Ltd. Low-K dielectric layer and porogen
US8887106B2 (en) 2011-12-28 2014-11-11 Taiwan Semiconductor Manufacturing Company, Ltd. Method of generating a bias-adjusted layout design of a conductive feature and method of generating a simulation model of a predefined fabrication process
US8729634B2 (en) 2012-06-15 2014-05-20 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET with high mobility and strain channel
US8826213B1 (en) 2013-03-11 2014-09-02 Taiwan Semiconductor Manufacturing Company, Ltd. Parasitic capacitance extraction for FinFETs
US8943455B2 (en) 2013-03-12 2015-01-27 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for layout verification for polysilicon cell edge structures in FinFET standard cells
US20140273516A1 (en) 2013-03-13 2014-09-18 Applied Materials, Inc. Vbd and tddb improvement thru interface engineering
US10312075B2 (en) * 2015-09-30 2019-06-04 Taiwan Semiconductor Manufacturing Company, Ltd. Treatment system and method

Also Published As

Publication number Publication date
KR20180018621A (ko) 2018-02-21
DE102016103691A1 (de) 2017-03-30
US10529553B2 (en) 2020-01-07
US10796898B2 (en) 2020-10-06
US20200152449A1 (en) 2020-05-14
US20200411310A1 (en) 2020-12-31
TW201724175A (zh) 2017-07-01
KR102011943B1 (ko) 2019-08-26
US10312075B2 (en) 2019-06-04
CN106558473B (zh) 2019-12-03
TWI641024B (zh) 2018-11-11
US20190259602A1 (en) 2019-08-22
US20170092487A1 (en) 2017-03-30
US11670500B2 (en) 2023-06-06
CN106558473A (zh) 2017-04-05

Similar Documents

Publication Publication Date Title
US10796898B2 (en) Treatment system and method
US8465903B2 (en) Radiation patternable CVD film
US11676852B2 (en) Patterning methods for semiconductor devices
US7855154B2 (en) Methods of forming intermediate semiconductor device structures using spin-on, photopatternable, interlayer dielectric materials
US20130000846A1 (en) Photoresist double patterning apparatus
US7491343B2 (en) Line end shortening reduction during etch
TWI528446B (zh) 利用惰性氣體電漿改善線寬粗度
TWI458009B (zh) 利用抗反射塗佈(arc)層開口之線寬粗糙度控制
US20100323525A1 (en) Cd bias loading control with arc layer open
US20090042398A1 (en) Method for etching low-k material using an oxide hard mask
CN100472730C (zh) 半导体装置的制造方法和制造系统
US7125645B2 (en) Composite photoresist for pattern transferring
KR20080027200A (ko) 부분 에칭에 의한 반-반사성 코팅의 패터닝 방법
US8668805B2 (en) Line end shortening reduction during etch
US7811747B2 (en) Method of patterning an anti-reflective coating by partial developing
JP5063535B2 (ja) プラズマ処理方法
CN117461113A (zh) 金属氧化物渗入光刻胶中的方法
Hong et al. 248-nm photolithography compatibility on low-k dielectrics in BEOL interconnects
KR20060066875A (ko) 반도체 소자의 콘택홀 형성 방법

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
AMND Amendment
A107 Divisional application of patent