KR20170026052A - 리소그래피를 위한 새로운 현상제 - Google Patents

리소그래피를 위한 새로운 현상제 Download PDF

Info

Publication number
KR20170026052A
KR20170026052A KR1020150179331A KR20150179331A KR20170026052A KR 20170026052 A KR20170026052 A KR 20170026052A KR 1020150179331 A KR1020150179331 A KR 1020150179331A KR 20150179331 A KR20150179331 A KR 20150179331A KR 20170026052 A KR20170026052 A KR 20170026052A
Authority
KR
South Korea
Prior art keywords
developer
resist
weight
organic solvent
layer
Prior art date
Application number
KR1020150179331A
Other languages
English (en)
Inventor
첸유 리우
칭유 창
Original Assignee
타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 filed Critical 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Publication of KR20170026052A publication Critical patent/KR20170026052A/ko

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • G03F7/32Liquid compositions therefor, e.g. developers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • G03F7/32Liquid compositions therefor, e.g. developers
    • G03F7/322Aqueous alkaline compositions
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08FMACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
    • C08F220/00Copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and only one being terminated by only one carboxyl radical or a salt, anhydride ester, amide, imide or nitrile thereof
    • C08F220/02Monocarboxylic acids having less than ten carbon atoms; Derivatives thereof
    • C08F220/10Esters
    • C08F220/26Esters containing oxygen in addition to the carboxy oxygen
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0042Photosensitive materials with inorganic or organometallic light-sensitive compounds not otherwise provided for, e.g. inorganic resists
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/039Macromolecular compounds which are photodegradable, e.g. positive electron resists
    • G03F7/0392Macromolecular compounds which are photodegradable, e.g. positive electron resists the macromolecular compound being present in a chemically amplified positive photoresist composition
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/075Silicon-containing compounds
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/11Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers having cover layers or intermediate layers, e.g. subbing layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • G03F7/32Liquid compositions therefor, e.g. developers
    • G03F7/325Non-aqueous compositions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • G03F7/32Liquid compositions therefor, e.g. developers
    • G03F7/325Non-aqueous compositions
    • G03F7/327Non-aqueous alkaline compositions, e.g. anhydrous quaternary ammonium salts
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/38Treatment before imagewise removal, e.g. prebaking
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • H01L21/0275Photolithographic processes using lasers
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D2111/00Cleaning compositions characterised by the objects to be cleaned; Cleaning compositions characterised by non-standard cleaning or washing processes
    • C11D2111/10Objects to be cleaned
    • C11D2111/14Hard surfaces
    • C11D2111/22Electronic devices, e.g. PCBs or semiconductors

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Chemical & Material Sciences (AREA)
  • Computer Hardware Design (AREA)
  • Organic Chemistry (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Architecture (AREA)
  • Structural Engineering (AREA)
  • Optics & Photonics (AREA)
  • Health & Medical Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Medicinal Chemistry (AREA)
  • Polymers & Plastics (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Materials For Photolithography (AREA)

Abstract

리소그래피 패터닝 방법은, 기판 상부에 재료층을 형성하는 단계; 복사선에 재료층의 일부분을 노광시키는 단계; 및 현상제에서 재료층의 노광된 부분을 제거하여, 패터닝된 재료층을 생성하는 단계를 포함한다. 현상제는 유기 용매 및 염기성 용질을 포함하고, 유기 용매는 중량 기준으로 현상제의 50% 초과이다. 일실시예에 있어서, 현상제는 중량 기준으로 현상제는 50% 미만의 물을 더 포함한다.

Description

리소그래피를 위한 새로운 현상제{NEW DEVELOPER FOR LITHOGRAPHY}
본 발명은 리소그래피 패터닝 방법에 관한 것이고, 보다 구체적으로는 리소그래피를 위한 새로운 현상제에 관한 것이다.
반도체 집적 회로(integrated circuit, IC) 산업은 기하급수적인 성장을 이루었다. IC 재료 및 설계에서의 기술 진보는 각 세대가 이전 세대보다 더 작고 더 복잡한 회로를 갖는 IC 세대를 생산하였다. IC 진화의 과정에서 기하학적 크기[즉, 제조 공정을 사용하여 생성될 수 있는 최소 컴포넌트(또는 라인)]는 감소되면서 기능적인 밀도(즉, 칩 면적당 상호 접속된 디바이스들의 개수)는 일반적으로 증가되었다. 이러한 스케일링 다운 공정은 일반적으로 생산 효율성을 증가시키고 관련 비용을 낮춤으로써 이점을 제공한다. 그러한 스케일링 다운은 또한 IC의 처리 및 제조의 복잡성을 증가시켰다.
예를 들어, 리소그래피는 반도체 웨이퍼에 IC 패턴을 전사하는 전형적인 방법이었다. 전형적인 리소그래피 공정에서, 레지스트막이 웨이퍼의 표면 상에 코딩되고, 후속적으로 노광되고 현상되어 레지스트 패턴을 형성한다. 레지스트 패턴은 그 후에 IC를 형성하기 위해 웨이퍼를 에칭하는데 사용된다. 레지스트 패턴의 품질의 최종 IC의 품질에 직접적으로 영향을 미친다. 스케일링 다운 공정이 계속됨에 따라, 레지스트 패턴의 라인 에지 러프니스(line edge roughness; LER)와 라인 폭 러프니스(line width roughness; LWR)는 더 중요해진다. 다수의 요인이, 그중에서도 현상제, 즉 노광된 레지스트막을 현상하는데 사용되는 화학적 용액이, 레지스트 패턴의 LER/LWR에 영향을 미친다. 현재, 염기성 수성 현상제는 포지티브 톤 현상(positive tone development; PTD) 공정에 사용되는 반면, 유기 용매를 가진 현상제는 네거티브 톤 현상(negative tone development; NTD) 공정에 사용된다. 전자는 레지스트 레지스트 팽창 문제 및 레지스트 패턴 붕괴 문제를 빈번하게 발생시키고, 후자는 충분한 레지스트 콘트라스트를 제공하지 않는다. 따라서, 새로운 유형의 레지스트 현상제가 필요하다.
본 발명개시는 첨부 도면과 함께 판독될 때 다음의 상세한 설명으로부터 가장 잘 이해된다. 산업상 표준 시행에 따라, 여러 피처들은 일정한 비율로 그려지지 않았으며, 단지 예시의 목적으로만 사용된다는 것이 강조된다. 실제, 여러 피처들의 치수는 논의의 명료함을 위해 임의로 증감될 수 있다.
도 1은 본 발명개시의 다양한 양상에 따른 리소그래피 패터닝 방법의 흐름도를 예시한다.
도 2a, 도 2b, 도 2c, 도 2d, 도 2e, 및 도 2f는 일실시예에 따른 도 1의 방법에 따라 타겟 패턴을 형성하는 단계의 단면도를 예시한다.
도 3 및 도 4는 일부 실시예에 따른 도 1의 방법에 의해 사용될 수 있는 장치를 예시한다.
다음의 발명개시는 제공되는 본 발명내용의 상이한 피처들을 구현하기 위한 많은 상이한 실시예들 또는 예시들을 제공한다. 본 발명개시를 간략화하기 위해서 컴포넌트 및 배치의 구체적인 예시들이 이하에 설명된다. 물론, 이들은 단지 예시를 위한 것이며 한정을 의도하는 것은 아니다. 예를 들어, 다음의 설명에서 제 2 피처 상부 또는 위에 제 1 피처를 형성하는 것은 제 1 피처와 제 2 피처가 직접 접촉하여 형성된 실시예를 포함할 수 있고, 또한 제 1 피처와 제 2 피처가 직접 접촉하지 않도록 제 1 피처와 제 2 피처 사이에 추가의 피처가 형성될 수 있는 실시예도 포함할 수 있다. 또한, 본 발명개시는 다양한 예시들에서 참조 부호들 및/또는 문자들을 반복할 수 있다. 이러한 반복은 간략화 및 명료화를 위한 것이고, 그 자체가 개시된 다양한 실시예들 및/또는 구성들 사이의 관계를 설명하는 것은 아니다.
또한, 도면들에 예시된 바와 같은 하나의 엘리먼트 또는 피처에 대한 다른 엘리먼트(들) 또는 피처(들)의 관계를 설명하기 위해서 "아래", "밑", "하부", "위", "상부" 등과 같은 공간 상대적 용어들이 설명의 용이성을 위해 여기서 이용될 수 있다. 공간 상대적인 용어는 도면에 도시된 배향에 더하여 이용 또는 동작에서의 디바이스의 상이한 배향을 포함하도록 의도된다. 장치는 이와 다르게 배향될 수 있고(90° 회전되거나 또는 다른 배향에 있음), 여기서 이용되는 공간 상대적 기술어들은 그에 따라 해석될 수 있다.
본 발명개시는 일반적으로 반도체 디바이스 제조 방법에 관한 것이고, 보다 구체적으로는 리소그래피에서 노광된 레지스트막을 형상하는 조성물 및 이를 이용하는 방법에 관한 것이다. 리소그래피 패터닝에서, 레지스트막은, 심자외(deep ultraviolet; DUV)선, 극자외(extreme ultraviolet; EUV)선 또는 전자 빔(e-빔)과 같은 복사선에 노광된 후에, 현상제(화학적 용액)에서 현상된다. 현상제는 레지스트막의 부분들을 제거하고, 그에 따라 라인 패턴 및/또는 트렌치 패턴을 포함할 수 있는 레지스트 패턴을 형성한다. 그 후에, 레지스트 패턴은, 아래놓인 패터닝층에 패턴을 전사하는, 후속하는 에칭 공정에서 에칭 마스크로서 사용된다.
일반적으로 노광된 레지스트층을 현상하기 위해 2가지 유형의 공정: 포지티브 톤 현상(positive tone development; PTD) 공정 및 네거티브 톤 현상(negative tone development; NTD) 공정이 있다. PTD 공정은 PTD 현상제를 사용한다. NTD 공정은 NTD 현상제를 사용한다. 여기서 사용되는 "PTD 현상제"는 제 1 문턱값(예를 들어 노광 용량(exposure dosage)값)보다 낮지 않게 노광되는 레지스트막의 영역을 선택적으로 용해하고 제거하는 현상제를 나타낸다. 여기서 사용되는 "NTD 현상제"는 미노광 또는 언더-노광되는(under-exposed) 레지스트막의 영역, 즉 제 2 문턱값보다 높지 않게 노광되는 영역을 선택적으로 용해하고 제거하는 현상제를 나타낸다. 제 1 및 제 2 문턱값은, 레지스트 재료 및 현상제의 파라미터에 의존하여, 동일하거나 상이할 수 있다. 다음의 발명개시에서, 레지스트막(또는 레지스트층)의 "미노광 영역"이란 용어는 레지스트막의 미노광 영역 및 언더-노광 영역을 모두 포함한다.
현재, 일반적으로 사용되는 PTD 및 NTD 현상제는 각각 진보된 리소그래피에서 그들 자신의 결점을 가진다. 예를 들어, 일반적으로 사용되는 PTD 현상제는 빈번하게 레지스트를 팽창시킨다. PTD 공정 동안에 레지스트막의 노광 영역이 100%까지 또는 그 이상으로 팽창될 수 있다는 것이 관찰되었다. 레지스트 팽창은 현상된 레지스트 패턴의 라인 에지 러프니스(line edge roughness; LER) 및 라인 폭 러프니스(line width roughness; LWR)을 증가시킨다. 일반적으로 사용되는 PTD 현상제의 다른 문제는, 현상된 레지스트 패턴이 때때로 PTD 현상제에 의해 발생되는 과도한 표면 장력때문에 붕괴한다는 것이다. 레지스트 팽창 문제 및 레지스트 패턴 붕괴 문제는 일반적으로 사용되는 NTD 현상제에서는 보통 보이지 않는다. 그러나, NTD 현상제는 PTD 현상제만큼 좋은 레지스트 콘트라스트를 생산하지 않고, 그 결과 높은 LER, 높은 LWR, 및/또는 낮은 패터닝 충실도를 초래한다. 본 발명개시의 목적은 낮은 LER, 낮은 LWR, 및 높은 레지스트 콘트라스트를 갖는 레지스트막을 현상할 수 있는 새로운 그리고 향상된 PTD 현상제를 제공하는 것이다. 새로운 현상제는 EUV 리소그래피 및 e-빔 리소그래피를 포함한 오늘날의 진보된 리소그래피 공정에서의 요구 사항들을 충족시킬 것이다.
도 1은 본 발명개시의 다양한 양상에 따라 기판(예를 들어, 반도체 웨이퍼)을 패터닝하는 방법(100)의 흐름도이다. 방법(100)은 심자외선(deep ultraviolet; DUV) 리소그래피, 극자외선(extreme ultraviolet; EUV) 리소그래피, 전자 빔(e-빔) 리소그래피, x-선 리소그래피, 및 다른 리소그래피 공정을 채용한 시스템에 의해 전체 또는 일부 구현될 수 있다. 본 실시예에 있어서, EUV 리소그래피가 주된 예시로서 사용된다. 추가적인 동작들이 방법(100) 이전에, 동안에, 및 이후에 제공될 수 있으며, 설명된 일부 동작들은 방법의 추가적인 실시예들을 위해 대체되거나, 제거되거나 또는 이동될 수 있다. 방법(100)은 단지 예시이고, 청구범위에서 명시적으로 인용되는 것 이상으로 본 발명개시를 한정하려는 의도는 아니다. 방법(100)은 도 2a 내지 도 2f와 함께 이하에 설명되고, 여기서 반도체 디바이스(200)는 방법(100)의 실시예를 이용하여 제조된다. 또한, 도 3 및 도 4는 일부 실시예에 있어서, 방법(100)에 의해 사용될 수 있는 장치를 예시한다.
다양한 실시예에 있어서, 반도체 디바이스(200)는 IC 공정 동안에 제조된 중간 디바이스, 또는 그 일부분일 수 있고, 정적 랜덤 액세스 메모리(static random access memory; SRAM) 및/또는 로직 회로, 저항기, 캐피시터, 및 인덕터와 같은 수동 컴포넌트, 및 p형 전계 효과 트랜지스터(p-type field effect transistor; PFET), n형 FET(n-type FET; NFET), 핀형 FET(fin-like FET; FinFET), 다른 다중 게이트 FET, 금속-산화물 반도체 FET(metal-oxide semiconductor FET; MOSFET), 상보성 금속-산화물 반도체(complementary metal-oxide semiconductor; CMOS) 트랜지스터, 양극성 트랜지스터, 고전압 트랜지스터, 고주파 트랜지스터, 다른 능동 디바이스와 같은 능동 컴포넌트, 및 그들의 조합을 포함할 수 있다.
동작(102)에서, 방법(100)(도 1)은 기판(202)을 제공한다. 도 2a를 참조하면, 기판(202)은 하나 이상의 재료 또는 조성물의 층을 포함한다. 일부 실시예에 있어서, 기판(202)은 반도체 기판(예를 들어, 웨이퍼)이다. 다른 실시예에서, 기판(202)은 결정질 구조의 실리콘을 포함한다. 대안의 실시예에 있어서, 기판(202)은 게르마늄과 같은 다른 원소의 반도체, 실리콘 카바이드, 실리콘 카바이드, 갈륨 비화물, 인듐 비화물 및 인듐 인화물과 같은 화합물 반도체, 또는 실리콘 게르마늄 카바이드, 갈륨 비소 인화물, 및 갈륨 인듐 인화물과 같은 합금 반도체를 포함한다. 일부 실시예에 있어서, 기판(202)은 실리콘 온 인슐레이터(silicon on insulator; SOI) 기판을 포함하고, 성능 향상을 위해 변형되거나/응력이 가해지고, 격리 영역을 포함하고, 도핑 영역을 포함하고, 하나 이상의 반도체 디비아스 또는 그 부분들을 포함하고, 도전성 및/또는 비도전성 층을 포함하고, 그리고/또는 다른 적합한 피처들 및 층들을 포함할 수 있다.
다른 실시예에 있어서, 기판(202)은 석영, 실리콘, 실리콘 카바이드, 또는 실리콘 산화물 - 티타늄 산화물 화합물과 같은 저열 팽창 재료를 포함할 수 있는 마스크 기판이다. 이 예시에 더하여, 기판(202)은 심자외선(DUV) 마스크, 극자외선(EUV) 또는 다른 유형의 마스크를 제작하기 위한 마스크 기판일 수 있다.
도 2a에 나타낸 본 실시예에 있어서, 기판(202)은 패터닝층(204)을 포함한다. 일실시예에 있어서, 패터닝층(204)은 비정질 실리콘(a-Si), 실리콘 산화물(SiO2), 실리콘 질화물(SiN), 실리콘 산질화물(SiON), 실리콘 카본 질화물(SiCN), 실리콘 카바이스(SiC), 티타늄 질화물(TiN)과 같은 재료, 다른 적합한 재료, 또는 이들의 조합을 포함하는 하드 마스크층이다. 다양한 실시예에 있어서, 패터닝 층(204)은 하이-k 유전체층, 게이트층, 하드 마스크층, 계면층, 캐핑(capping)층, 확산/배리어층, 유전체층, 도전성 층, 다른 적합한 층, 및/또는 이들의 조합을 포함할 수 있다.
동작(104)에서, 방법(100)(도 1)은 기판(202)(도 2b) 상부에 재료층(206)을 형성한다. 도 2b를 참조하면, 일실시예에 있어서, 재료층(206)은 기판(202) 상에 액상 고분자 재료를 스핀-온 코팅함으로써 형성된다. 일실시예에 있어서, 재료층(206)은 소프트 베이킹 공정 및 하드 베이킹 공정으로 더 처리된다. 일실시예에 있어서, I-라인 레지스트를 포함한 포토레지스트, 크립톤 불소(KrF) 레지스트 및 아르곤 불소(ArF) 레지스트를 포함한 DUV 레지스트, EUV 레지스트, 전자 빔(e-빔) 레지스트, 및 이온 빔 레지스트와 같은 복사선 민감성 층이다. 본 실시예에 있어서, 재료층(206)은 EUV 복사선에 민감한 레지스트이고, PTD 현상을 위한 것, 즉, EUV 복사시 PTD 현상제에서 그 용해도가 증가하는 것이다. 편의를 위해서, 재료층(206)은 다음의 논의에서 간단히 레지스트막(또는 레지스트)(206)이라 한다. 일실시예에 있어서, 레지스트막(206)은 복사시 산을 생성하는 광산 발생제(photo-acid generator; PAG)를 함유한다. 산은 레지스트막의 백본(backbone) 폴리머로부터 산불안정기(acid labile group; ALG)의 클리빙(cleaving)을 촉진시킬 수 있다. ALG가 백본 폴리머를 떠나면, 폴리머의 가지 단위(branch unit)는 카르복실기로 변경될 것이다. 그것은 PTD 현상제에 대한 폴리머의 용해도를 증가시키고 레지스트막의 노광 영역을 현상제에 의해 제거되게 하는 반면, 미노광 영역은 용해되지 않은채로 남아 후속의 공정을 위한 마스킹 요소가 된다.
일실시예에 있어서, 재료층(206)을 형성하기 전에, 방법(100)은 패터닝층(204) 상부에 반사 방지 코팅(anti-reflective coating; ARC)을 형성한 후, ARC층 상부에 재료층(206)을 형성한다. 예를 들어, ARC층은 실리콘 산화물(SiO2), 실리콘 산소 카바이드(SOC), 플라즈마 향상 화학적 기상 증착된 실리콘 산화물(PECVD-SiO2)과 같은 재료, 다른 적합한 재료 또는 이들의 조합을 포함한 질소-비함유 반사 방지 코팅(nitrogen-free anti-reflective coating; NFARC)층일 수 있다. 또 다른 실시예에 있어서, 방법(100)은 패터닝층(204)과 재료층(206) 사이에 하나 이상의 층을 형성한다.
동작(106)에서, 방법(100)(도 1)은 리소그래피 시스템(300)(도 2c)에서 복사빔(208)에 레지스트막(206)을 노광시킨다. 도 2c를 참조하면, 복사빔(208)은 I-라인(365 나노미터(nm)), KrF 엑시머 레이저(248 nm) 및 ArF 엑시머 레이저(193 nm)와 같은 DUV 복사선, EUV 복사선(예를 들어, 13.8 nm), e-빔, x-선, 이온 빔, 또른 다른 적합한 복사선일 수 있다. 동작(106)은 공기에서, 액체에서(액침 리소그래피), 또는 진공에서(예를 들어, EUV 리소그래피 및 e-빔 리소그래피를 위해) 수행될 수 있다. 실시예에 있어서, 복사빔(208)은, 위상 시프팅 및/또는 광학 근접 보정(optical proximity correction; OPC)과 같은 해상도 향상 기술을 포함하는, 투과성 마스크 또는 반사성 마스크와 같은 IC 패턴을 갖는 마스크로 패터닝된다. 다른 실시예에 있어서, 복사빔(208)은 마스크를 이용하지 않고(마스크리스 리소그래피) IC 패턴으로 직접 변조된다. 본 실시예에 있어서, 복사빔(208)은 EUV 복사선이고, 리소그래피 시스템(300)은 EUV 리소그래피 시스템이다. EUV 리소그래피 시스템(300)의 일실시예가 도 3에 도시된다.
도 3을 참조하면, EUV 리소그래피 시스템(300)은 복사빔(208)을 생성하는 복사선원(302), 집광 광학계(condenser optics)(306), 그 위에 마스크(308)를 고정하는 마스크 스테이지(310), 투영 광학계(312), 및 기판(202) 및 레지스트막(206)을 포함한 디바이스(200)를 고정하는 기판 스테이지(314)를 포함한다. 아이템의 다른 구성 및 포함 또는 생략이 가능할 수 있다. 본 발명개시에서, EUV 리소그래피 시스템(300)은 스테퍼(stepper) 또는 스캐너일 수 있다.
복사선원(302)은 예를 들어 약 1 내지 100 nm의 EUV 범위 내의 파장을 갖는 복사빔(208)을 제공한다. 일실시예에 있어서, 복사빔(208)은 약 13.5 nm의 파장을 갖는다. 집광 광학계(306)는 다층 코팅된 콜렉터(collector) 및 복수의 그레이징 미러(grazing mirror)를 포함한다. 집광 광학계(306)는 복사빔(208)을 집광하여 형상화하고, 마스크(308)로 복사빔(208)의 슬릿(slit)을 제공하도록 구성된다. 마스크(308)는 또한 포토마스크 또는 레티클이라고도 하고, 하나 이상의 타겟 IC 디바이스의 패턴을 포함한다. 마스크(308)는 복사빔(208)에 패터닝된 에어리얼 이미지(aerial image)를 제공한다. 마스크(308)는 본 실시예에서 반사성 마스크이고, 위상-시프팅 기술 및/또는 광학 근접 보정(OPC)과 같은 해상도 향상 기술을 통합할 수 있다. 마스크 스테이지(310)는 그 위에 진공 등에 의해 마스크(308)를 고정하고, EUV 리소그래피 시스템(300)에서, 정렬, 포커싱, 레벨링 및 노광 동작 동안에 마스크(308)의 정확한 위치 및 이동을 제공한다.
투영 광학계(312)는 하나 이상의 렌즈 및 복수의 미러를 포함한다. 렌즈는, 그에 따라 디바이스(200)에, 특히 레지스트막(206)에 마스크(308)의 패터닝된 에어리얼 이미지를 축소시키는 것보다 작은 배율을 가질 수 있다. 디바이스(200)는 기판(3014)에 의해 고정되고, 그것은 마스크(308)의 패터닝된 에어리얼 이미지가 반복적인 방식으로(다른 리소그래피 방법도 가능하다) 레지스트막(206) 상에 노광되도록, UV 리소그래피 시스템(300)에서 정렬, 포커싱, 레벨링 및 노광 공작 동안에 디바이스(200)의 정확한 위치 및 이동을 제공한다. 레지스트막(206)의 노광 부분은 PTD 현상제에서 용해가능하게 된다.
도 2c에 나타낸 실시예에서, 레지스트막(206)의 부분(206B)은 이하 논의될 PTD 현상제에 의해 제거될 수 있도록 충분한 용량(dosage)으로 복사선(208)에 의해 노광되는 반면, 레지스트막(206)의 부분(206A)은 미노광 영역이다. 레지스트막(206)이 PAG를 함유한 실시예에 있어서, 반도체 디바이스(200)에는 하나 이상의 노광후 베이킹(post-exposure baking; PEB) 공정이 시행될 수 있다. PEB 공정은 전형적으로 PAG에 의해 산 생성을 가속화하고, 결국 레지스트 패턴 형성 공정을 가속화한다.
동작(108)에서, 방법(100)(도 1)은 본 발명개시의 다양한 양상에 따라 구성된 현상제(210)에서 노광된 레지스트막(206)을 현상한다. 도 2d를 참조하면, 현상제(210)는 노광 부분(206B) 및 미노광(206A) 모두를 포함하여 레지스트막(206)에 도포되어 있다. 본 실시예에 있어서, 현상제(210)는 노출 부분(206B)을 용해하고 제거하여, 결과적으로 레지스트 패턴(206A)(도 2e)을 생성하는, PTD 현상제이다. 도 2e에 나타낸 바와 같은 예시에 있어서, 레지스트 패턴(206A)은 라인 패턴에 의해 표현된다. 그러나, 이하 논의는 트렌치에 의해 표현된 레지스트 패턴에 동등하게 적용가능하다.
상기 논의된 바와 같이, 일반적으로 사용된 PTD 및 NTD 현상제는 오늘날의 선진 리소그래피에서 각각 그들의 결점을 갖는다: 전자는 일반적으로 레지스트 레지스트 팽창 문제 및 레지스트 패턴 붕괴 문제를 발생시키고, 후자는 충분한 레지스트 콘트라스트를 제공하지 않는다. 동시에, 일반적으로 사용되는 PTD 현상제는 높은 레지스트 콘트라스트를 생성하고, 일반적으로 사용되는 NTD 현상제는 레지스트 레지스트 팽창 문제를 발생시키지 않는다. 본 출원의 방명자는 PTD 현상제 및 NTD 현상제 모두의 이점을 조합하면서, 그들 각각의 결함을 회피하는 방법을 발견하였다.
일반적으로 사용되는 PTD 현상제는 염기성(또는 알칼리성) 수용액, 즉 용매로서 물과 용질로서 염기를 갖는 용액을 사용한다. 염기는 유기물 또는 무기물일 수 있다. 본 출원의 발명자는 용매, 물이 레지스트막을 팽창시키는 원인일 수 있다고 믿는다. 물은 작은 분자량(Mw = 18)을 갖고, 작은 물 분자는 레지스트막에 쉽게 침투하여 레지스트막을 확장시킬 수 있다. 그러므로, PTD 현상제 내의 함수량을 줄이는 것은 레지스트 팽창 문제가 적어지도록 이끌 수 있다.
일반적으로 사용되는 NTD 현상제는 물 대신에 용매로서 유기 재료를 사용한다. 유기 용매는 (물에 비해) 상대적으로 큰 분자량을 가진다. 본 출원의 발명자는 큰 분자량의 유기 용매가, NTD 현상제가 일반적으로 레지스트막에 팽창을 발생시키지 않는 이유라고 믿는다. 그러나, 일반적으로 사용되는 NTD 현상제는 용질, 특히 염기성 용질이 부족하고, 그것은 높은 레지스트 콘트라스트를 생성하지 않는 이유일 수 있다.
본 발명개시에 따라 조성된 현상제(210)는 일반적으로 사용되는 PTD 현상제의 장점과 일반적으로 사용되는 NTD 현상제의 장점을 결합한다. 실시예에 있어서, 현상제(210)는 적어도 하나의 유기 용매 및 적어도 하나의 염기성 용질을 포함한다. 추가의 실시예에 있어서, 적어도 하나의 유기 용매는 중량 기준으로 현상제의 50% 초과이다. 현상제(210)의 더 많은 실시예들이 이하 제시된다. 다양한 실시예에 있어서, 현상제(210)의 실시예는 일반적으로 사용되는 PTD 현상제보다 더 작은 LWR 및 LER을 가지고, 일반적으로 사용되는 NTD 현상제보다 매우 높은 레지스트 콘트라스를 제공하는 레지스트 패턴을 생성한다.
실시예에 있어서, 현상제(210)는 중량 기준으로 0% 초과 30% 미만의 범위 내의 염기성 용질(들)을 함유한다. 예를 들어, 현상제(210)는 중량 기준으로 약 0% 내지 약 20%, 예를 들어 중량 기준으로 약 2% 내지 약 10%의 범위 내의 염기성 용질(들)을 함유할 수 있다.
실시예에 있어서, 현상제(210)는 중량 기준으로 현상제의 50% 미만의 물을 더 포함할 수 있다. 일례에 있어서, 현상제(210)는 중량 기준으로 약 70%의 유기 용매(들), 중량 기준으로 약 10%의 염기성 용질(들), 중량 기준으로 약 20%의 물, 및 하나 이상의 계면 활성제(surfactant)와 같은 다른 첨가제를 함유한다.
일실시예에 있어서, 현상제(210)의 유기 용매는, 수산기(OH) 관능기, NH 관능기, NH2 관능기, 메르캅토(SH) 관능기, 메톡시(OMe) 관능기, 및 에톡시(OEt) 관능기 중 적어도 하나를 함유한다. 일실시예에 있어서, 현상제(210)의 유기 용매는, 너무 큰 분자량을 갖는 것이 노광된 레지스트막을 용해하는데 있어서 현상제(210)의 효과를 저감시킬 수 있기 때문에, 300 미만의 분자량을 가진다. 그러나, 유기 용매의 분자량은 일반적으로 사용되는 PTD 현상제와 같이 레지스트막에 팽창을 발생시킬 정도로 작지 않다. 본 실시예에 더하여, 유기 용매는 물의 분자량보다 큰 분자량을 가진다. 예를 들어, 유기 용매는 50보다 큰 분자량을 가질 수 있다. 일실시예에 있어서, 현상제(210) 내의 유기 용매는 에틸렌 글리콜, 디에틸렌 글리콜, 및 프로필렌 글리콜 중 하나이다.
일실시예에 있어서, 현상제(210)의 염기성 용질은 이온성 염기, 비이온성 염기, 또는 이들의 조합이다. 예를 들어, 염기성 용질은 OH- 이온을 함유한 이온성 염기일 수 있다. 다양한 실시예에서, 염기성 용질은, 너무 큰 분자량을 갖는 것이 노광된 레지스트막을 용해하는데 있어서 현상제(210)의 효과를 저감시킬 수 있기 때문에, 400 미만의 분자량을 갖는 이온성 염기이다.
대안의 실시예에 있어서, 염기성 용질은 아민을 함유한 염기와 같은, 비이온성 염기일 수 있다. 예를 들어, 염기성 용질은 일차 아민, 이차 아민, 또는 삼차 아민을 포함할 수 있다. 본 실시예에 더하여, 비이온성 염기는 상기와 동일한 이유로 300 미만의 분자량을 가질 수 있다. 일실시예에 있어서, 염기성 용질은 에틸렌 디아민이다. 또 다른 실시예에서, 현상제(210)의 염기성 용질은, 현상된 레지스트 내에 금속 함량을 남겨 두는 것을 회피하기 위해서, 금속이 없는 것이다. 예를 들어, 염기성 용질은 테트라메틸암모늄 수산화물(TMAH), 테트라부틸암모늄 수산화물(TBAH), 에틸렌디아민(EDA), 트리에틸렌 아민, 피리딘, 구아니디니움(guanidinium), 피페리딘 또는 다른 유기 염기일 수 있다.
다양한 실시예에 있어서, 현상제(210)는 50 미터 당 미리뉴턴(mN/m) 미만의 표면 장력을 가진다. 낮은 표면 장력은 레지스트 패턴을 붕괴시킬 가능성을 감소시킨다. 또한, 실시예에 있어서, 현상제(210)는 하나 이상의 계면 활성제와 같은 어떤 첨가제를 함유할 수 있다. 예를 들어, 계면 활성제는 음이온성, 비이온성, 또는 굴수성(hydrotropic)일 수 있다. 계면 활성제는 현상제(210)의 표면 장력을 감소시키는 것을 돕는다.
도 2d를 여전히 참조하면, 현상제(210)가 레지스트막(206)에 도포된다. 기판(202) 상부의 미노광 부분(206A)(언더-노광 부분을 포함함)을 남겨 두면서, 레지스트막(206)의 노광된 부분(206B)은 현상제(210)에 의해 용해된다. 논의의 편의를 위해서, 남겨진 부분(206A)은 또한 레지스트 패턴(206A)이라고도 한다. 상기 논의된 현상제(210)의 특성 때문에, 레지스트 패턴(206A)은 매우 매끄러운 가장자리 및 측벽(즉, 낮은 LER 및 LWR)을 갖고, 잘 정의된다(즉, 높은 현상 콘트라스트).
일실시예에 있어서, 현상제(210)는 현상 툴(400)에서 디바이스(200)로 도포되고, 그 예시가 도 4에서 일부 도시된다. 도 4를 참조하면, 현상 툴(400)은 반도체 제조 공정에서 클러스터 툴(cluster tool)의 부분이다. 레지스트막(206)이 EUV 리소그래피 시스템(300)에서 노광된 후에(도 2c 및 도 3), 디바이스(200)는 레지스트막(206)에 현상제(210)를 도포하는 현상 툴(400)로 이송된다. 도 4에 나타낸 바와 같은 실시예에 있어서, 현상 툴(400)은 스핀-온 현상 공정에서 현상제(210)를 도포한다, 즉 레지스트막(206) 상에 현상제(210)를 분무하면서 디바이스(200)는 수직 축을 중심으로 회전된다.
도 4에 나타낸 바와 같이, 현상 툴(400)은 레지스트막(206)을 포함한 디바이스(200)를 고정하도록 설계된 기판 스테이지(402)를 포함한다. 기판 스테이지(402)는, 그 위에 고정된 디바이스(200)가 스핀-온 현상 공정 동안에 그에 따라 회전하도록, 회전하도록 동작가능하다. 기판 스테이지(402)는 디바이스(200)를 고정하기 위해, 진공 흡착, e-척킹(e-chucking)과 같은 메카니즘, 또는 다른 적합한 메카니즘을 포함한다. 현상 툴(400)은 기판 스테이지(402)와 통합된 모션 메카니즘(404)을 더 포함하고, 기판 스테이지(402) 및 그 위에 고정된 디바이스(200)를 다양한 모션 모드로 구동시키도록 동작가능하다. 일부 실시예에 있어서, 모션 메카니즘(404)은 기판 스테이지(402) 및 디바이스(200)를 다양한 동작(예를 들어, 현상 및 리싱(rinsing)) 동안에 특정 회전 속도로 회전시키도록 구동하기 위해 모터를 포함한다.
현상제(210)는 디바이스(200)가 회전되는 동안에 디바이스(200) 상부에 노즐(406)을 통하여 분사된다. 현상제(210)는 컨테이너(408) 내에 저장되고, 파이프라인을 포함한 운반 장치를 통하여 노즐(406)로 운반된다. 현상제(210)는 펌프, 가압 가스, 또는 다른 메카니즘을 이용하여 운반될 수 있다.
다양한 실시예에 있어서, 현상제(210)는 디바이스(200) 상에 연속적으로 분무될 수 있다. 대안적으로, 현상제(210)는 퍼들(puddle) 현상 공정과 같은 다른 수단에 의해 도포될 수 있다. 방법(100)은 동작(108) 이후에 레지스트 패턴(206A)을 마무리하기 위한 추가의 동작을 포함할 수 있다. 예를 들어, 디바이스(200)에는 잔여물 및 입자를 제거하기 위해 초순수(de-ionized; DI water)를 이용한 린싱 동작, 및/또는 그 구조적 안정성을 증가시키기 위해 레지스트 패턴(206A)을 경화시키기 위한 현상후 베이킹(post-development baking; PDB) 공정이 시행될 수 있다.
동작(110)에서 방법(100)(도 1)은 레지스트 패턴(206A)으로부터 기판(202)(도 2f)으로 IC 패턴(들)을 전사한다. 실시예에 있어서, 동작(110)은 에칭 마스크로서 레지스트 패턴(206A)을 사용하여 기판(202)을 에칭하는 단계를 포함한다. 일실시예에 있어서, 패터닝층(204)은 하드 마스크층이다. 본 실시예에 더하여, IC 패턴은 레지스트 패턴(206A)으로부터 하드 마스크층(204)으로 먼저 전사되고, 그 후에 기판(202)의 다른 층으로 전사된다. 예를 들어, 하드 마스크층(204)은 건식(플라즈마) 에칭, 습식 에칭, 및/또는 다른 에칭 방법을 사용하여 레지스트 패턴(206A)의 개구부를 통하여 에칭될 수 있다. 예를 들어, 건식 에칭 공정은 산소 함유 가스, 플루오린 함유 가스(예를 들어, CF4, SF6, CH2F2, CHF3, 및/또는 C2F6), 염소 함유 가스(예를 들어, Cl2, CHCl3, CCl4, 및/또는 BCl3), 브로민 함유 가스(예를 들어, HBr 및/또는 CHBR3), 아이오딘 함유 가스, 다른 적합한 가스 및/또는 플라즈마, 및/또는 이들의 조합을 구현할 수 있다. 예를 들어, 습식 에칭 공정은 희석된 플루오르화 수소산(DHF), 수산화 칼륨(KOH) 용액, 암모니아, 수산화 테트라메틸암모늄(TMAH), 플루오르화 수소산(HF) 함유 용액, 질산(HNO3), 및/또는 아세트산(CH3COOH), 또는 다른 적합한 습식 에천트에서의 에칭을 포함할 수 있다. 레지스트 패턴(206A)은 하드 마스크층(204)의 에칭 동안에 부분적으로 또는 완전히 소비될 수 있다. 일실시예에 있어서, 레지스트 패턴(206A)의 임의의 남아 있는 부분은, 도 2f에 예시된 바와 같이, 기판(202) 상부에 패터닝된 하드 마스크층(204A)을 남기고 박리될 수 있다.
도 1에 도시되지 않았지만, 방법(100)은 기판(202) 상에 최종 패턴 또는 IC 디바이스를 형성하는 단계로 진행할 수 있다. 일실시예에 있어서, 기판(202)은 반도체 기판이고, 방법(100)은 핀 전계 효과 트랜지스터(fin field effect transistor; FinFET) 구조물을 형성하는 단계로 진행한다. 예를 들어, 동작(110)은 반도체 기판(202) 내에 복수의 활성 핀을 형성할 수 있다. 활성 핀은 레지스트 패턴(206A)의 낮은 LER 및 LWR로 인해 균일한 임계 치수(critical dimension; CD)를 가진다. 다른 실시예에 있어서, 방법(100)은 반도체 기판(202) 내에 복수의 게이트 전극을 형성하는 단계로 진행한다. 게이트 전극은 레지스트 패턴(206A)의 매끄러운 측벽으로 인해 균일한 게이트 길이를 가진다. 다른 실시예에 있어서, 타겟 패턴은 다층 상호접속 구조물에서 금속 라인으로서 형성될 것이다. 예를 들어, 금속 라인은, 복수의 트렌치를 포함하기 위해 동작(110)에 의해 에칭된, 기판(202)의 층간 유전체(inter-layer dielectric; ILD)층 내에 형성될 수 있다. 그 다음에, 방법(100)은 금속과 같은 도전성 재료로 트렌치를 충진하는 단계, 및 화학 기계적 평탄화(chemical mechanical planarization; CMP)와 같은 공정을 사용하여 도전성 재료를 연마하여 패터닝된 ILD층을 노광시킴으로써 ILD층 내에 금속 라인을 형성하는 단계로 진행한다. 상기는 본 발명개시의 다양한 양상에 따른 방법(100) 및 현상제(210)를 사용하여 제조 및/또는 향상될 수 있는 디바이스/구조물의 비제한적인 예시이다.
제한하는 것으로 의도되지 않지만, 본 발명개시의 하나 이상의 실시예는 반도체 디바이스 또는 그 형성에 많은 이점들을 제공한다. 예를 들어, 본 발명개시에 따라 조성된 레지스트 현상제는 DUV 리소그래피, EUV 리소그래피, 및 e-빔 리소그래피와 같은 선진의 리소그래피를 위한 PTD 공정에서 우수한 성능을 제공한다. 레지스트 현상제는 LER 및 LWR와 같은 레지스트 패턴 표면 러프니스를 감소시키고 높은 패터닝 충실도를 제공하도록 한다. 제공된 레지스트 현상제는 CD 균일성이 회로 성능에 중요한 요인이 되는 나노미터 반도체 제조에서 유리하다.
하나의 예시적인 양상에 있어서, 본 발명개시는 리소그래피 패터닝 방법에 관한 것이다. 방법은 기판 상부에 재료층을 형성하는 단계, 및 재료층의 일부분을 노광시키는 단계를 포함한다. 방법은, 현상제(developer)에서 재료층의 노출된 부분을 제거하여, 패터닝된 재료층을 생성하는 단계를 더 포함하고, 여기서 현상제는 유기 용매 및 염기성 용질(basic solute)을 포함하고, 유기 용매는 중량 기준으로 현상제의 50% 초과이다.
다른 예시적인 양상에 있어서, 본 발명개시는 리소그래피 패터닝 방법에 관한 것이다. 방법은 기판 상부에 레지스트층을 형성하는 단계, 복사선에 레지스트층의 일부분을 노광시키는 단계, 및 현상제에서 레지스트층의 노광된 부분을 제거하여, 패터닝된 레지스트층을 생성하는 단계를 포함한다. 현상제는, 중량 기준으로 현상제의 50% 초과의 유기 용매, 중량 기준으로 현상제의 50% 미만의 물, 및 염기성 용질을 포함한다.
다른 예시적인 양상에 있어서, 본 발명개시는 리소그래피 패터닝 방법에 관한 것이다. 방법은 기판 상부에 레지스트층을 형성하는 단계, 복사선에 레지스트층의 일부분을 노광시키는 단계, 및 현상제에서 레지스트층의 노광된 부분을 제거하는 단계를 포함한다. 현상제는, 중량 기준으로 현상제의 50% 초과의 유기 용매, 중량 기준으로 현상제의 50% 미만의 물, 및 중량 기준으로 현상제의 30% 미만의 염기성 용질을 포함한다.
상기는 본 발명개시의 양상들을 본 발명분야의 당업자가 더 잘 이해할 수 있도록 여러 실시예들의 피처들을 약술한다. 본 발명분야의 당업자는 본 개시를 기초로서 여기에 개시된 실시형태들과 동일한 목적을 수행하고 동일한 이점를 성취하는 다른 공정 및 구조들을 설계하거나 수정하는 용이하게 사용될 수 있다는 것을 인지해야 한다. 또한, 본 발명분야의 당업자는 그러한 동등한 구성이 본 발명개시의 사상 및 범주로부터 벗어나지 않으며, 이들이 본 개시의 사상 및 범주로부터 벗어남없이 다양한 수정, 대체 및 변경을 할 수 있다는 것을 인지해야 한다.

Claims (10)

  1. 리소그래피 패터닝 방법에 있어서,
    기판 상부에 재료층을 형성하는 단계;
    복사선에 상기 재료층의 일부분을 노광시키는 단계; 및
    현상제(developer)에서 상기 재료층의 노광된 부분을 제거하여, 패터닝된 재료층을 생성하는 단계
    를 포함하고,
    상기 현상제는 유기 용매 및 염기성 용질(basic solute)을 포함하고, 상기 유기 용매는 중량 기준으로 상기 현상제의 50% 초과인 것인, 리소그래피 패터닝 방법.
  2. 제 1 항에 있어서,
    상기 현상제는 중량 기준으로 상기 현상제의 50% 미만의 물을 더 포함하는 것인, 리소그래피 패터닝 방법.
  3. 제 1 항에 있어서,
    상기 염기성 용질은 중량 기준으로 상기 현상제의 30% 미만인 것인, 리소그래피 패터닝 방법.
  4. 제 1 항에 있어서,
    상기 유기 용매는, OH 관능기(functional group), NH 관능기, NH2 관능기, SH 관능기, OMe 관능기, 및 OEt 관능기 중 하나를 포함하는 것인, 리소그래피 패터닝 방법.
  5. 제 1 항에 있어서,
    상기 유기 용매는 300 미만의 분자량(Mw)을 가지는 것인, 리소그래피 패터닝 방법.
  6. 제 1 항에 있어서,
    상기 유기 용매는, 에틸렌 글리콜, 디에틸렌 글리콜, 및 프로필렌 글리콜 중 하나인 것인, 리소그래피 패터닝 방법.
  7. 제 1 항에 있어서,
    상기 염기성 용질은, 이온성 염기, 비이온성 염기, 또는 이들의 조합인 것인, 리소그래피 패터닝 방법.
  8. 제 1 항에 있어서,
    상기 염기성 용질은 금속이 없는 것인, 리소그래피 패터닝 방법.
  9. 리소그래피 패터닝 방법에 있어서,
    기판 상부에 레지스트층을 형성하는 단계;
    복사선에 상기 레지스트층의 일부분을 노광시키는 단계; 및
    현상제에서 상기 레지스트층의 노광된 부분을 제거하여, 패터닝된 레지스트층을 생성하는 단계
    를 포함하고,
    상기 현상제는,
    중량 기준으로 상기 현상제의 50% 초과의 유기 용매,
    중량 기준으로 상기 현상제의 50% 미만의 물; 및
    염기성 용질을 포함하는 것인, 리소그래피 패터닝 방법.
  10. 리소그래피 패터닝 방법에 있어서,
    기판 상부에 레지스트층을 형성하는 단계;
    복사선에 상기 레지스트층의 일부분을 노광시키는 단계; 및
    현상제에서 상기 레지스트층의 노광된 부분을 제거하여, 패터닝된 레지스트층을 생성하는 단계
    를 포함하고,
    상기 현상제는,
    중량 기준으로 상기 현상제의 50% 초과의 유기 용매,
    중량 기준으로 상기 현상제의 50% 미만의 물; 및
    중량 기준으로 상기 현상제의 30% 미만의 염기성 용질을 포함하는 것인, 리소그래피 패터닝 방법.

KR1020150179331A 2015-08-31 2015-12-15 리소그래피를 위한 새로운 현상제 KR20170026052A (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/840,363 US9612536B2 (en) 2015-08-31 2015-08-31 Developer for lithography
US14/840,363 2015-08-31

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020180058240A Division KR101983945B1 (ko) 2015-08-31 2018-05-23 리소그래피를 위한 새로운 현상제

Publications (1)

Publication Number Publication Date
KR20170026052A true KR20170026052A (ko) 2017-03-08

Family

ID=58010925

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020150179331A KR20170026052A (ko) 2015-08-31 2015-12-15 리소그래피를 위한 새로운 현상제
KR1020180058240A KR101983945B1 (ko) 2015-08-31 2018-05-23 리소그래피를 위한 새로운 현상제

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020180058240A KR101983945B1 (ko) 2015-08-31 2018-05-23 리소그래피를 위한 새로운 현상제

Country Status (5)

Country Link
US (1) US9612536B2 (ko)
KR (2) KR20170026052A (ko)
CN (1) CN106483776B (ko)
DE (1) DE102015116964B4 (ko)
TW (1) TWI584083B (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10274847B2 (en) 2017-09-19 2019-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Humidity control in EUV lithography

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10386723B2 (en) 2016-03-04 2019-08-20 Taiwan Semiconductor Manufacturing Co., Ltd. Lithography patterning with flexible solution adjustment
US10727055B2 (en) * 2017-02-10 2020-07-28 International Business Machines Corporation Method to increase the lithographic process window of extreme ultra violet negative tone development resists
CN109406586A (zh) * 2017-08-18 2019-03-01 蓝思科技(长沙)有限公司 碳纳米管传感器的制作方法及其用途
US10573519B2 (en) * 2017-09-08 2020-02-25 Taiwan Semiconductor Manufacturing Co., Ltd. Method for performing a photolithography process
CN107942624A (zh) * 2018-01-24 2018-04-20 深圳市瑞世兴科技有限公司 一种用于pcb板的高精度洁净显影液
TWI676076B (zh) * 2018-04-27 2019-11-01 台灣美日先進光罩股份有限公司 光罩、光罩的製造方法及半導體光罩基板
TWI712775B (zh) * 2018-06-06 2020-12-11 台灣積體電路製造股份有限公司 半導體製程及半導體設備
US10877382B2 (en) * 2018-08-14 2020-12-29 Taiwan Semiconductor Manufacturing Co., Ltd. Method for handling mask and lithography apparatus
JP7465185B2 (ja) * 2020-09-16 2024-04-10 キオクシア株式会社 原版の製造方法、および露光方法

Family Cites Families (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3417432B2 (ja) * 1994-12-08 2003-06-16 東京応化工業株式会社 レジスト用現像液組成物
US6599683B1 (en) * 2002-02-13 2003-07-29 Micron Technology, Inc. Photoresist developer with reduced resist toppling and method of using same
SG138212A1 (ko) * 2005-06-13 2008-01-28
US8518628B2 (en) 2006-09-22 2013-08-27 Taiwan Semiconductor Manufacturing Company, Ltd. Surface switchable photoresist
US8580117B2 (en) 2007-03-20 2013-11-12 Taiwan Semiconductor Manufactuing Company, Ltd. System and method for replacing resist filter to reduce resist filter-induced wafer defects
JP2010230721A (ja) * 2009-03-25 2010-10-14 Fujifilm Corp 感光性組成物、感光性フィルム、及び、永久パターン形成方法
US8216767B2 (en) 2009-09-08 2012-07-10 Taiwan Semiconductor Manufacturing Company, Ltd. Patterning process and chemical amplified photoresist with a photodegradable base
US8512939B2 (en) 2009-09-25 2013-08-20 Taiwan Semiconductor Manufacturing Company, Ltd. Photoresist stripping technique
US20110159447A1 (en) * 2009-12-25 2011-06-30 Tokyo Ohka Kogyo Co., Ltd. Developing solution for photolithography, method for forming resist pattern, and method and apparatus for producing developing solution for photolithography
US8435728B2 (en) * 2010-03-31 2013-05-07 Tokyo Electron Limited Method of slimming radiation-sensitive material lines in lithographic applications
US8323870B2 (en) 2010-11-01 2012-12-04 Taiwan Semiconductor Manufacturing Company, Ltd. Method and photoresist with zipper mechanism
JP5741521B2 (ja) * 2011-05-11 2015-07-01 信越化学工業株式会社 レジスト組成物及びパターン形成法
US8703401B2 (en) 2011-06-01 2014-04-22 Jsr Corporation Method for forming pattern and developer
US9134617B2 (en) * 2011-06-10 2015-09-15 Tokyo Ohka Kogyo Co., Ltd. Solvent developable negative resist composition, resist pattern formation method, and method for forming pattern of layer including block copolymer
US8647796B2 (en) 2011-07-27 2014-02-11 Taiwan Semiconductor Manufacturing Company, Ltd. Photoactive compound gradient photoresist
GB2499663A (en) * 2012-02-27 2013-08-28 Conductive Inkjet Tech Ltd Protective coatings for photo-resists that are separately applied with different solvents but removed together using same solvent
US8741551B2 (en) 2012-04-09 2014-06-03 Taiwan Semiconductor Manufacturing Company, Ltd. Method and composition of a dual sensitive resist
US9213234B2 (en) 2012-06-01 2015-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Photosensitive material and method of lithography
US9851636B2 (en) 2012-07-05 2017-12-26 Taiwan Semiconductor Manufacturing Company, Ltd. Materials and methods for improved photoresist performance
US20140017615A1 (en) 2012-07-11 2014-01-16 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for resist coating and developing
US9256133B2 (en) 2012-07-13 2016-02-09 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for developing process
US9028915B2 (en) 2012-09-04 2015-05-12 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a photoresist layer
US8906595B2 (en) 2012-11-01 2014-12-09 Taiwan Semiconductor Manufacturing Company, Ltd. Method for improving resist pattern peeling
US9012132B2 (en) 2013-01-02 2015-04-21 Taiwan Semiconductor Manufacturing Company, Ltd. Coating material and method for photolithography
US9057960B2 (en) * 2013-02-04 2015-06-16 International Business Machines Corporation Resist performance for the negative tone develop organic development process
US9017934B2 (en) * 2013-03-08 2015-04-28 Taiwan Semiconductor Manufacturing Company, Ltd. Photoresist defect reduction system and method
US8936903B2 (en) 2013-03-09 2015-01-20 Taiwan Semiconductor Manufacturing Company, Ltd. Photo-resist with floating acid
US8932799B2 (en) 2013-03-12 2015-01-13 Taiwan Semiconductor Manufacturing Company, Ltd. Photoresist system and method
US9223220B2 (en) 2013-03-12 2015-12-29 Taiwan Semiconductor Manufacturing Company, Ltd. Photo resist baking in lithography process
US9146469B2 (en) 2013-03-14 2015-09-29 Taiwan Semiconductor Manufacturing Company, Ltd. Middle layer composition for trilayer patterning stack
JP2014219487A (ja) * 2013-05-02 2014-11-20 富士フイルム株式会社 パターン形成方法、電子デバイス及びその製造方法、現像液
JP6185874B2 (ja) * 2013-05-02 2017-08-23 富士フイルム株式会社 パターン形成方法、感活性光線性又は感放射線性樹脂組成物、レジスト膜、電子デバイスの製造方法、及び、電子デバイス
JP6180212B2 (ja) * 2013-07-12 2017-08-16 東京応化工業株式会社 パターン微細化用被覆剤
WO2015046019A1 (ja) * 2013-09-27 2015-04-02 東レ株式会社 ポリイミド前駆体、それから得られるポリイミド樹脂膜、ならびにそれを含む表示素子、光学素子、受光素子、タッチパネル、回路基板、有機elディスプレイ、および、有機el素子ならびにカラーフィルタの製造方法

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10274847B2 (en) 2017-09-19 2019-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Humidity control in EUV lithography
KR20200092922A (ko) * 2017-09-19 2020-08-04 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 Euv 리소그래피에서의 습도 제어
US11307504B2 (en) 2017-09-19 2022-04-19 Taiwan Semiconductor Manufacturing Co., Ltd. Humidity control in EUV lithography

Also Published As

Publication number Publication date
US20170059993A1 (en) 2017-03-02
DE102015116964A1 (de) 2017-03-02
KR101983945B1 (ko) 2019-05-29
TW201708979A (zh) 2017-03-01
CN106483776A (zh) 2017-03-08
KR20180058217A (ko) 2018-05-31
DE102015116964B4 (de) 2021-02-25
TWI584083B (zh) 2017-05-21
CN106483776B (zh) 2019-12-13
US9612536B2 (en) 2017-04-04

Similar Documents

Publication Publication Date Title
KR101983945B1 (ko) 리소그래피를 위한 새로운 현상제
US11822238B2 (en) Extreme ultraviolet photolithography method with developer composition
KR101791750B1 (ko) 극자외선 리소그래피용 네가티브 톤 현상액 조성물
US10747114B2 (en) Blocking layer material composition and methods thereof in semiconductor manufacturing
US11378884B2 (en) Extreme ultraviolet photoresist and method
US11143963B2 (en) Negative tone developer for extreme ultraviolet lithography
US11774855B2 (en) Lithography patterning technique
US9927707B2 (en) Developer for lithography
TW201835971A (zh) 用於微影製程之圖案化的方法
US11550220B2 (en) Negative tone photoresist for EUV lithography

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
AMND Amendment
AMND Amendment
E902 Notification of reason for refusal
A107 Divisional application of patent