KR20170020099A - Rf signal generator and apparatus for treating substrate comprising the same - Google Patents

Rf signal generator and apparatus for treating substrate comprising the same Download PDF

Info

Publication number
KR20170020099A
KR20170020099A KR1020150114829A KR20150114829A KR20170020099A KR 20170020099 A KR20170020099 A KR 20170020099A KR 1020150114829 A KR1020150114829 A KR 1020150114829A KR 20150114829 A KR20150114829 A KR 20150114829A KR 20170020099 A KR20170020099 A KR 20170020099A
Authority
KR
South Korea
Prior art keywords
signal
parameter
oscillator
phase
oscillators
Prior art date
Application number
KR1020150114829A
Other languages
Korean (ko)
Other versions
KR102201881B1 (en
Inventor
멜리키안
미쉬라 아누라그
Original Assignee
세메스 주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 세메스 주식회사 filed Critical 세메스 주식회사
Priority to KR1020150114829A priority Critical patent/KR102201881B1/en
Publication of KR20170020099A publication Critical patent/KR20170020099A/en
Application granted granted Critical
Publication of KR102201881B1 publication Critical patent/KR102201881B1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32192Microwave generated discharge
    • H01J37/32211Means for coupling power to the plasma
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
    • H05H2001/4645

Abstract

The present invention relates to an RF signal generator and a substrate processing apparatus including the same. The RF signal generator according to an embodiment of the present invention includes: a plurality of oscillators which generate RF signals; a parameter control unit which controls a parameter of the RF signal; and a connection unit which connects any one of the oscillators to the parameter control unit and repeatedly changes the oscillator connected to the parameter control unit. Accordingly, the present invention can reduce the size and costs of equipment and simply control a plurality of RF power sources.

Description

RF 신호 생성기 및 그를 포함하는 기판 처리 장치{RF SIGNAL GENERATOR AND APPARATUS FOR TREATING SUBSTRATE COMPRISING THE SAME}TECHNICAL FIELD [0001] The present invention relates to an RF signal generator and a substrate processing apparatus including the RF signal generator.

본 발명은 RF 신호 생성기 및 그를 포함하는 기판 처리 장치에 관한 것이다.The present invention relates to an RF signal generator and a substrate processing apparatus including the RF signal generator.

반도체 공정 중 기판 위에 박막을 증착하거나 기판 위 박막을 식각하는 공정에 플라즈마가 사용되고 있다. 기판이 배치된 공정 챔버 내에 플라즈마를 생성하기 위해, 챔버에 설치된 전극이나 코일에 RF 신호를 인가하여 챔버 내에 전자장을 생성하고 챔버 내 공정 가스를 플라즈마 상태로 변환시킨다.Plasma is used in the process of depositing a thin film on a substrate or etching a thin film on a substrate during a semiconductor process. An RF signal is applied to an electrode or coil installed in the chamber to create an electromagnetic field in the chamber and convert the process gas in the chamber to a plasma state to generate plasma in the process chamber in which the substrate is disposed.

최근 들어 플라즈마를 이용한 기판 처리 장치에 복수의 RF 전원들을 구비하여 서로 다른 주파수의 RF 신호들을 챔버에 공급함으로써 공정 효율의 향상을 꾀하고 있다. 그러나, 기판 처리 장치에 구비되는 RF 전원의 수가 늘어남에 따라 장치의 사이즈 및 가격도 동반하여 상승하게 되며 전원 제어도 복잡하게 된다.In recent years, a plurality of RF power sources are provided in a substrate processing apparatus using plasma, and RF signals having different frequencies are supplied to the chamber to improve process efficiency. However, as the number of RF power sources provided in the substrate processing apparatus increases, the size and the price of the apparatus also increase along with the increase in the number of RF power sources.

본 발명의 실시예는 장비에 구비되는 RF 전원의 개수 증가에 따른 사이즈 및 가격 상승을 억제하고 전원의 단순한 제어를 달성하기 위한 RF 신호 생성기 및 그를 포함하는 기판 처리 장치를 제공하는 것을 목적으로 한다.An object of the present invention is to provide a RF signal generator for suppressing increase in size and price due to an increase in the number of RF power sources provided in equipment and achieving simple control of power supply, and a substrate processing apparatus including the RF signal generator.

본 발명의 일 실시예에 따른 RF 신호 생성기는, RF 신호를 발생시키는 다수의 발진기들; 상기 RF 신호의 파라미터를 조절하는 파라미터 조절부; 및 상기 발진기들 중 어느 하나를 상기 파라미터 조절부에 연결하되, 상기 파라미터 조절부에 연결되는 발진기를 반복적으로 변경하는 연결부;를 포함할 수 있다.According to an aspect of the present invention, there is provided an RF signal generator including: a plurality of oscillators for generating an RF signal; A parameter adjuster for adjusting a parameter of the RF signal; And a connection unit connecting one of the oscillators to the parameter adjuster and repeatedly changing an oscillator connected to the parameter adjuster.

상기 발진기들은: 서로 다른 주파수의 RF 신호를 발생시킬 수 있다.The oscillators can generate RF signals of different frequencies.

상기 파라미터 조절부는: 상기 RF 신호의 위상을 조절하는 위상 조절부; 및 상기 RF 신호의 파워를 조절하는 파워 조절부; 중 적어도 하나를 포함할 수 있다.The parameter adjusting unit may include: a phase adjusting unit adjusting a phase of the RF signal; And a power regulator for regulating the power of the RF signal; Or the like.

상기 파라미터 조절부는: 상기 위상 조절부가 상기 RF 신호의 위상을 조절한 뒤, 상기 파워 조절부가 상기 위상이 조절된 RF 신호의 파워를 조절하도록 구성될 수 있다.The parameter adjusting unit may be configured such that: after the phase adjusting unit adjusts the phase of the RF signal, the power adjusting unit adjusts the power of the phase-adjusted RF signal.

상기 파라미터 조절부는: 상기 위상 조절부 및 상기 파워 조절부가 종속 접속되도록 구성될 수 있다.The parameter adjuster may be configured such that: the phase adjuster and the power adjuster are cascade-connected.

상기 파워 조절부는: 상기 RF 신호의 진폭을 증폭시키는 증폭기를 포함할 수 있다.The power controller may include: an amplifier for amplifying the amplitude of the RF signal.

상기 연결부는: 상기 파라미터 조절부에 연결되는 발진기를 상기 RF 신호의 주기보다 짧거나 같은 주기로 변경할 수 있다.The connection unit may change an oscillator connected to the parameter adjusting unit to a period shorter than or equal to the period of the RF signal.

상기 연결부는: 상기 파라미터 조절부에 연결되는 발진기를 상기 발진기들이 발생시키는 RF 신호들 중 주파수가 가장 높은 RF 신호의 주기보다 짧거나 같은 주기로 변경할 수 있다.The connection unit may change an oscillator connected to the parameter adjusting unit to a period shorter than or equal to a cycle of the RF signal having the highest frequency among the RF signals generated by the oscillators.

상기 연결부는: 일단이 상기 파라미터 조절부의 입력단에 연결되고, 타단이 상기 발진기들의 출력단들 사이에서 스위칭되는 스위치를 포함할 수 있다.The connection unit may include: a switch having one end connected to the input terminal of the parameter control unit and the other end switched between the output terminals of the oscillators.

본 발명의 일 실시예에 따른 RF 신호 생성기는, 제 1 주파수의 RF 신호를 발생시키는 제 1 발진기; 상기 제 1 주파수보다 높은 제 2 주파수의 RF 신호를 발생시키는 제 2 발진기; 상기 제 1 또는 제 2 발진기로부터 출력된 RF 신호의 위상을 조절하는 위상 조절부; 상기 위상 조절부로부터 출력된 RF 신호를 증폭시키는 증폭기; 및 일단이 상기 위상 조절부의 입력단에 연결되고, 타단이 상기 제 1 발진기의 출력단과 상기 제 2 발진기의 출력단 사이에서 스위칭되는 스위치;를 포함할 수 있다.An RF signal generator according to an embodiment of the present invention includes: a first oscillator for generating an RF signal of a first frequency; A second oscillator for generating an RF signal of a second frequency higher than the first frequency; A phase adjusting unit for adjusting a phase of an RF signal output from the first or second oscillator; An amplifier for amplifying the RF signal output from the phase adjusting unit; And a switch having one end connected to the input terminal of the phase adjusting section and the other end switched between the output terminal of the first oscillator and the output terminal of the second oscillator.

상기 스위치는: 상기 타단이 상기 제 2 주파수의 RF 신호의 주기보다 짧거나 같은 시간 간격으로 스위칭될 수 있다.The switch may be switched at a time interval shorter than or equal to the period of the RF signal of the second frequency.

상기 제 1 발진기는 2 MHz의 주파수를 갖는 RF 신호를 발생시키고, 상기 제 2 발진기는 13.56 MHz의 주파수를 갖는 RF 신호를 발생시키고, 상기 스위치는 상기 타단이 1 ns의 시간 간격으로 스위칭될 수 있다.The first oscillator generates an RF signal having a frequency of 2 MHz and the second oscillator generates an RF signal having a frequency of 13.56 MHz and the switch can be switched at a time interval of 1 ns at the other end .

본 발명의 일 실시예에 따른 기판 처리 장치는, 기판이 처리되는 공간을 제공하는 챔버; 상기 챔버 내에서 상기 기판을 지지하는 기판 지지 어셈블리; 상기 챔버 내부로 가스를 공급하는 가스 공급 유닛; 및 상기 챔버 내의 가스를 플라즈마 상태로 여기시키는 플라즈마 발생 유닛을 포함하며, 상기 플라즈마 발생 유닛은: RF 신호를 생성하는 RF 전원; 및 상기 RF 신호를 공급받아 플라즈마를 발생시키는 플라즈마 소스를 포함하며, 상기 RF 전원은: RF 신호를 발생시키는 다수의 발진기들; 상기 RF 신호의 파라미터를 조절하는 파라미터 조절부; 및 상기 발진기들 중 어느 하나를 상기 파라미터 조절부에 연결하되, 상기 파라미터 조절부에 연결되는 발진기를 반복적으로 변경하는 연결부를 포함할 수 있다.A substrate processing apparatus according to an embodiment of the present invention includes a chamber for providing a space in which a substrate is processed; A substrate support assembly for supporting the substrate within the chamber; A gas supply unit for supplying gas into the chamber; And a plasma generation unit that excites gas in the chamber into a plasma state, the plasma generation unit comprising: an RF power source for generating an RF signal; And a plasma source for generating a plasma by receiving the RF signal, wherein the RF power source comprises: a plurality of oscillators for generating an RF signal; A parameter adjuster for adjusting a parameter of the RF signal; And a connection unit connecting one of the oscillators to the parameter adjustment unit and repeatedly changing an oscillator connected to the parameter adjustment unit.

상기 플라즈마 소스는: 상기 챔버에 구비된 전극 및 코일 중 적어도 하나를 포함할 수 있다.The plasma source may include at least one of an electrode and a coil provided in the chamber.

상기 발진기들은: 서로 다른 주파수의 RF 신호를 발생시킬 수 있다.The oscillators can generate RF signals of different frequencies.

상기 파라미터 조절부는: 상기 RF 신호의 위상을 조절하는 위상 조절부; 및 상기 RF 신호의 파워를 조절하는 파워 조절부; 중 적어도 하나를 포함할 수 있다.The parameter adjusting unit may include: a phase adjusting unit adjusting a phase of the RF signal; And a power regulator for regulating the power of the RF signal; Or the like.

상기 파라미터 조절부는: 상기 위상 조절부가 상기 RF 신호의 위상을 조절한 뒤, 상기 파워 조절부가 상기 위상이 조절된 RF 신호의 파워를 조절하도록 구성될 수 있다.The parameter adjusting unit may be configured such that: after the phase adjusting unit adjusts the phase of the RF signal, the power adjusting unit adjusts the power of the phase-adjusted RF signal.

상기 파라미터 조절부는: 상기 위상 조절부 및 상기 파워 조절부가 종속 접속되도록 구성될 수 있다.The parameter adjuster may be configured such that: the phase adjuster and the power adjuster are cascade-connected.

상기 파워 조절부는: 상기 RF 신호의 진폭을 증폭시키는 증폭기를 포함할 수 있다.The power controller may include: an amplifier for amplifying the amplitude of the RF signal.

상기 연결부는: 상기 파라미터 조절부에 연결되는 발진기를 상기 RF 신호의 주기보다 짧거나 같은 주기로 변경할 수 있다.The connection unit may change an oscillator connected to the parameter adjusting unit to a period shorter than or equal to the period of the RF signal.

상기 연결부는: 상기 파라미터 조절부에 연결되는 발진기를 상기 발진기들이 발생시키는 RF 신호들 중 주파수가 가장 높은 RF 신호의 주기보다 짧거나 같은 주기로 변경할 수 있다.The connection unit may change an oscillator connected to the parameter adjusting unit to a period shorter than or equal to a cycle of the RF signal having the highest frequency among the RF signals generated by the oscillators.

상기 연결부는: 일단이 상기 파라미터 조절부의 입력단에 연결되고, 타단이 상기 발진기들의 출력단들 사이에서 스위칭되는 스위치를 포함할 수 있다.The connection unit may include: a switch having one end connected to the input terminal of the parameter control unit and the other end switched between the output terminals of the oscillators.

상기 발진기들은: 2 MHz의 주파수를 갖는 RF 신호를 발생시키는 제 1 발진기; 및 13.56 MHz의 주파수를 갖는 RF 신호를 발생시키는 제 2 발진기를 포함하며, 상기 스위치는 상기 타단이 1 ns의 시간 간격으로 스위칭될 수 있다.Said oscillators comprising: a first oscillator for generating an RF signal having a frequency of 2 MHz; And a second oscillator for generating an RF signal having a frequency of 13.56 MHz, the switch being switchable at the other end of the time interval of 1 ns.

본 발명의 실시예에 따르면, 장비에 구비되는 RF 전원의 수가 늘어남에 따라 장비의 사이즈 및 가격이 상승하는 것을 억제하고 복수의 RF 전원들을 단순하게 제어할 수 있다.According to the embodiment of the present invention, as the number of RF power sources provided in the equipment increases, the size and price of the equipment can be prevented from rising, and a plurality of RF power sources can be simply controlled.

도 1은 본 발명의 일 실시예에 따른 기판 처리 장치를 나타내는 예시적인 도면이다.
도 2는 본 발명의 일 실시예에 따른 RF 신호 생성기의 예시적인 블록도이다.
도 3은 본 발명의 일 실시예에 따른 RF 신호 생성기의 예시적인 회로도이다.
1 is an exemplary diagram showing a substrate processing apparatus according to an embodiment of the present invention.
2 is an exemplary block diagram of an RF signal generator in accordance with an embodiment of the present invention.
3 is an exemplary circuit diagram of an RF signal generator according to an embodiment of the present invention.

이하, 본 명세서에 첨부된 도면을 참조하여 본 발명의 실시예를 상세하게 설명한다.Hereinafter, embodiments of the present invention will be described in detail with reference to the drawings attached hereto.

도 1은 본 발명의 일 실시예에 따른 기판 처리 장치(10)를 나타내는 예시적인 도면이다.1 is an exemplary diagram showing a substrate processing apparatus 10 according to an embodiment of the present invention.

도 1을 참조하면, 기판 처리 장치(10)는 플라즈마를 이용하여 기판(W)을 처리한다. 예를 들어, 기판 처리 장치(10)는 기판(W)에 대하여 식각 공정을 수행할 수 있다. 기판 처리 장치(10)는 챔버(100), 기판 지지 어셈블리(200), 샤워 헤드(300), 가스 공급 유닛(400), 배플 유닛(500) 및 플라즈마 발생 유닛을 포함할 수 있다.Referring to Fig. 1, a substrate processing apparatus 10 processes a substrate W using a plasma. For example, the substrate processing apparatus 10 may perform an etching process on the substrate W. [ The substrate processing apparatus 10 may include a chamber 100, a substrate support assembly 200, a showerhead 300, a gas supply unit 400, a baffle unit 500, and a plasma generation unit.

챔버(100)는 내부에 기판 처리 공정이 수행되는 처리 공간을 제공할 수 있다. 챔버(100)는 내부에 처리 공간을 가지고, 밀폐된 형상으로 제공될 수 있다. 챔버(100)는 금속 재질로 제공될 수 있다. 챔버(100)는 알루미늄 재질로 제공될 수 있다. 챔버(100)는 접지될 수 있다. 챔버(100)의 바닥면에는 배기홀(102)이 형성될 수 있다. 배기홀(102)은 배기 라인(151)과 연결될 수 있다. 공정 과정에서 발생한 반응 부산물 및 챔버의 내부 공간에 머무르는 가스는 배기 라인(151)을 통해 외부로 배출될 수 있다. 배기 과정에 의해 챔버(100)의 내부는 소정 압력으로 감압될 수 있다.The chamber 100 may provide a processing space in which a substrate processing process is performed. The chamber 100 may have a processing space therein and may be provided in a closed configuration. The chamber 100 may be made of a metal material. The chamber 100 may be made of aluminum. The chamber 100 may be grounded. An exhaust hole 102 may be formed in the bottom surface of the chamber 100. The exhaust hole 102 may be connected to the exhaust line 151. The reaction byproducts generated in the process and the gas staying in the inner space of the chamber can be discharged to the outside through the exhaust line 151. The interior of the chamber 100 may be depressurized to a predetermined pressure by an evacuation process.

일 예에 의하면, 챔버(100) 내부에는 라이너(130)가 제공될 수 있다. 라이너(130)는 상면 및 하면이 개방된 원통 형상을 가질 수 있다. 라이너(130)는 챔버(100)의 내측면과 접촉하도록 제공될 수 있다. 라이너(130)는 챔버(100)의 내측벽을 보호하여 챔버(100)의 내측벽이 아크 방전으로 손상되는 것을 방지할 수 있다. 또한, 기판 처리 공정 중에 발생한 불순물이 챔버(100)의 내측벽에 증착되는 것을 방지할 수 있다. 선택적으로, 라이너(130)는 제공되지 않을 수도 있다.According to one example, a liner 130 may be provided within the chamber 100. The liner 130 may have a cylindrical shape with open top and bottom surfaces. The liner 130 may be provided to contact the inner surface of the chamber 100. The liner 130 protects the inner wall of the chamber 100 to prevent the inner wall of the chamber 100 from being damaged by the arc discharge. It is also possible to prevent impurities generated during the substrate processing step from being deposited on the inner wall of the chamber 100. Optionally, the liner 130 may not be provided.

챔버(100)의 내부에는 기판 지지 어셈블리(200)가 위치할 수 있다. 기판 지지 어셈블리(200)는 기판(W)을 지지할 수 있다. 기판 지지 어셈블리(200)는 정전기력을 이용하여 기판(W)을 흡착하는 정전 척(210)을 포함할 수 있다. 이와 달리, 기판 지지 어셈블리(200)는 기계적 클램핑과 같은 다양한 방식으로 기판(W)을 지지할 수도 있다. 이하에서는 정전 척(210)을 포함하는 기판 지지 어셈블리(200)에 대하여 설명한다.The substrate support assembly 200 may be located within the chamber 100. The substrate support assembly 200 can support the substrate W. [ The substrate support assembly 200 may include an electrostatic chuck 210 for attracting a substrate W using an electrostatic force. Alternatively, the substrate support assembly 200 may support the substrate W in a variety of ways, such as mechanical clamping. Hereinafter, the substrate support assembly 200 including the electrostatic chuck 210 will be described.

기판 지지 어셈블리(200)는 정전 척(210), 하부 커버(250) 그리고 플레이트(270)를 포함할 수 있다. 기판 지지 어셈블리(200)는 챔버(100) 내부에서 챔버(100)의 바닥면에서 상부로 이격되어 위치할 수 있다.The substrate support assembly 200 may include an electrostatic chuck 210, a bottom cover 250 and a plate 270. The substrate support assembly 200 may be spaced upwardly from the bottom surface of the chamber 100 within the chamber 100.

정전 척(210)은 유전판(220), 몸체(230) 그리고 포커스 링(240)을 포함할 수 있다. 정전 척(210)은 기판(W)을 지지할 수 있다.The electrostatic chuck 210 may include a dielectric plate 220, a body 230, and a focus ring 240. The electrostatic chuck 210 can support the substrate W. [

유전판(220)은 정전 척(210)의 상단에 위치할 수 있다. 유전판(220)은 원판 형상의 유전체(dielectric substance)로 제공될 수 있다. 유전판(220)의 상면에는 기판(W)이 놓일 수 있다. 유전판(220)의 상면은 기판(W)보다 작은 반경을 가질 수 있다. 때문에, 기판(W)의 가장자리 영역은 유전판(220)의 외측에 위치할 수 있다.The dielectric plate 220 may be positioned at the top of the electrostatic chuck 210. The dielectric plate 220 may be provided as a disk-shaped dielectric substance. The substrate W may be placed on the upper surface of the dielectric plate 220. The upper surface of the dielectric plate 220 may have a smaller radius than the substrate W. [ Therefore, the edge region of the substrate W may be located outside the dielectric plate 220.

유전판(220)은 내부에 제 1 전극(223), 히터(225) 그리고 제 1 공급 유로(221)를 포함할 수 있다. 제 1 공급 유로(221)는 유전판(210)의 상면으로부터 저면으로 제공될 수 있다. 제 1 공급 유로(221)는 서로 이격하여 복수 개 형성되며, 기판(W)의 저면으로 열전달 매체가 공급되는 통로로 제공될 수 있다.The dielectric plate 220 may include a first electrode 223, a heater 225, and a first supply path 221 therein. The first supply passage 221 may be provided from the upper surface to the lower surface of the dielectric plate 210. A plurality of first supply passages 221 may be provided spaced apart from each other and may be provided as a passage through which the heat transfer medium is supplied to the bottom surface of the substrate W.

제 1 전극(223)은 제 1 전원(223a)과 전기적으로 연결될 수 있다. 제 1 전원(223a)은 직류 전원을 포함할 수 있다. 제 1 전극(223)과 제 1 전원(223a) 사이에는 스위치(223b)가 설치될 수 있다. 제 1 전극(223)은 스위치(223b)의 온/오프(ON/OFF)에 의해 제 1 전원(223a)과 전기적으로 연결될 수 있다. 스위치(223b)가 온(ON)되면, 제 1 전극(223)에는 직류 전류가 인가될 수 있다. 제 1 전극(223)에 인가된 전류에 의해 제 1 전극(223)과 기판(W) 사이에는 정전기력이 작용하며, 정전기력에 의해 기판(W)은 유전판(220)에 흡착될 수 있다.The first electrode 223 may be electrically connected to the first power source 223a. The first power source 223a may include a DC power source. A switch 223b may be provided between the first electrode 223 and the first power source 223a. The first electrode 223 may be electrically connected to the first power source 223a by turning on / off the switch 223b. When the switch 223b is turned on, a direct current can be applied to the first electrode 223. An electrostatic force acts between the first electrode 223 and the substrate W by the current applied to the first electrode 223 and the substrate W can be attracted to the dielectric plate 220 by the electrostatic force.

히터(225)는 제 1 전극(223)의 하부에 위치할 수 있다. 히터(225)는 제 2 전원(225a)과 전기적으로 연결될 수 있다. 히터(225)는 제 2 전원(225a)에서 인가된 전류에 저항함으로써 열을 발생시킬 수 있다. 발생된 열은 유전판(220)을 통해 기판(W)으로 전달될 수 있다. 히터(225)에서 발생된 열에 의해 기판(W)은 소정 온도로 유지될 수 있다. 히터(225)는 나선 형상의 코일을 포함할 수 있다.The heater 225 may be positioned below the first electrode 223. The heater 225 may be electrically connected to the second power source 225a. The heater 225 can generate heat by resisting the current applied from the second power source 225a. The generated heat can be transferred to the substrate W through the dielectric plate 220. The substrate W can be maintained at a predetermined temperature by the heat generated in the heater 225. The heater 225 may include a helical coil.

유전판(220)의 하부에는 몸체(230)가 위치할 수 있다. 유전판(220)의 저면과 몸체(230)의 상면은 접착제(236)에 의해 접착될 수 있다. 몸체(230)는 알루미늄 재질로 제공될 수 있다. 몸체(230)의 상면은 중심 영역이 가장자리 영역보다 높게 위치되도록 단차질 수 있다. 몸체(230)의 상면 중심 영역은 유전판(220)의 저면에 상응하는 면적을 가지며, 유전판(220)의 저면과 접착될 수 있다. 몸체(230)는 내부에 제 1 순환 유로(231), 제 2 순환 유로(232) 그리고 제 2 공급 유로(233)가 형성될 수 있다.The body 230 may be positioned below the dielectric plate 220. The bottom surface of the dielectric plate 220 and the top surface of the body 230 may be adhered by an adhesive 236. The body 230 may be made of aluminum. The upper surface of the body 230 may be stepped so that the central region is located higher than the edge region. The top center region of the body 230 has an area corresponding to the bottom surface of the dielectric plate 220 and can be adhered to the bottom surface of the dielectric plate 220. The body 230 may have a first circulation channel 231, a second circulation channel 232, and a second supply channel 233 formed therein.

제 1 순환 유로(231)는 열전달 매체가 순환하는 통로로 제공될 수 있다. 제 1 순환 유로(231)는 몸체(230) 내부에 나선 형상으로 형성될 수 있다. 또는, 제 1 순환 유로(231)는 서로 상이한 반경을 갖는 링 형상의 유로들이 동일한 중심을 갖도록 배치될 수 있다. 각각의 제 1 순환 유로(231)들은 서로 연통될 수 있다. 제 1 순환 유로(231)들은 동일한 높이에 형성될 수 있다.The first circulation channel 231 may be provided as a passage through which the heat transfer medium circulates. The first circulation flow path 231 may be formed in a spiral shape inside the body 230. Alternatively, the first circulation flow path 231 may be arranged so that the ring-shaped flow paths having different radii have the same center. Each of the first circulation flow paths 231 can communicate with each other. The first circulation flow paths 231 may be formed at the same height.

제 2 순환 유로(232)는 냉각 유체가 순환하는 통로로 제공될 수 있다. 제 2 순환 유로(232)는 몸체(230) 내부에 나선 형상으로 형성될 수 있다. 또는, 제 2 순환 유로(232)는 서로 상이한 반경을 갖는 링 형상의 유로들이 동일한 중심을 갖도록 배치될 수 있다. 각각의 제 2 순환 유로(232)들은 서로 연통될 수 있다. 제 2 순환 유로(232)는 제 1 순환 유로(231)보다 큰 단면적을 가질 수 있다. 제 2 순환 유로(232)들은 동일한 높이에 형성될 수 있다. 제 2 순환 유로(232)는 제 1 순환 유로(231)의 하부에 위치될 수 있다.The second circulation flow passage 232 may be provided as a passage through which the cooling fluid circulates. The second circulation flow path 232 may be formed in a spiral shape inside the body 230. Alternatively, the second circulation flow path 232 may be arranged so that the ring-shaped flow paths having different radii have the same center. And each of the second circulation flow paths 232 can communicate with each other. The second circulation channel 232 may have a larger cross-sectional area than the first circulation channel 231. The second circulation flow paths 232 may be formed at the same height. The second circulation flow passage 232 may be positioned below the first circulation flow passage 231.

제 2 공급 유로(233)는 제 1 순환 유로(231)부터 상부로 연장되며, 몸체(230)의 상면으로 제공될 수 있다. 제 2 공급 유로(243)는 제 1 공급 유로(221)에 대응하는 개수로 제공되며, 제 1 순환 유로(231)와 제 1 공급 유로(221)를 연결할 수 있다.The second supply passage 233 extends upward from the first circulation passage 231 and may be provided on the upper surface of the body 230. The second supply passage 243 is provided in a number corresponding to the first supply passage 221 and can connect the first circulation passage 231 and the first supply passage 221.

제 1 순환 유로(231)는 열전달 매체 공급라인(231b)을 통해 열전달 매체 저장부(231a)와 연결될 수 있다. 열전달 매체 저장부(231a)에는 열전달 매체가 저장될 수 있다. 열전달 매체는 불활성 가스를 포함할 수 있다. 실시예에 의하면, 열전달 매체는 헬륨(He) 가스를 포함할 수 있다. 헬륨 가스는 공급 라인(231b)을 통해 제 1 순환 유로(231)에 공급되며, 제 2 공급 유로(233)와 제 1 공급 유로(221)를 순차적으로 거쳐 기판(W) 저면으로 공급될 수 있다. 헬륨 가스는 플라즈마에서 기판(W)으로 전달된 열이 정전 척(210)으로 전달되는 매개체 역할을 할 수 있다.The first circulation channel 231 may be connected to the heat transfer medium storage unit 231a through the heat transfer medium supply line 231b. The heat transfer medium storage unit 231a may store the heat transfer medium. The heat transfer medium may include an inert gas. According to an embodiment, the heat transfer medium may comprise helium (He) gas. The helium gas may be supplied to the first circulation channel 231 through the supply line 231b and may be supplied to the bottom surface of the substrate W sequentially through the second supply channel 233 and the first supply channel 221 . The helium gas may act as a medium through which heat transferred from the plasma to the substrate W is transferred to the electrostatic chuck 210.

제 2 순환 유로(232)는 냉각 유체 공급 라인(232c)을 통해 냉각 유체 저장부(232a)와 연결될 수 있다. 냉각 유체 저장부(232a)에는 냉각 유체가 저장될 수 있다. 냉각 유체 저장부(232a) 내에는 냉각기(232b)가 제공될 수 있다. 냉각기(232b)는 냉각 유체를 소정 온도로 냉각시킬 수 있다. 이와 달리, 냉각기(232b)는 냉각 유체 공급 라인(232c) 상에 설치될 수 있다. 냉각 유체 공급 라인(232c)을 통해 제 2 순환 유로(232)에 공급된 냉각 유체는 제 2 순환 유로(232)를 따라 순환하며 몸체(230)를 냉각할 수 있다. 몸체(230)는 냉각되면서 유전판(220)과 기판(W)을 함께 냉각시켜 기판(W)을 소정 온도로 유지시킬 수 있다.The second circulation channel 232 may be connected to the cooling fluid storage 232a through the cooling fluid supply line 232c. The cooling fluid may be stored in the cooling fluid storage portion 232a. A cooler 232b may be provided in the cooling fluid storage portion 232a. The cooler 232b may cool the cooling fluid to a predetermined temperature. Alternatively, the cooler 232b may be installed on the cooling fluid supply line 232c. The cooling fluid supplied to the second circulation channel 232 through the cooling fluid supply line 232c circulates along the second circulation channel 232 and can cool the body 230. [ The body 230 is cooled and the dielectric plate 220 and the substrate W are cooled together to maintain the substrate W at a predetermined temperature.

몸체(230)는 금속판을 포함할 수 있다. 일 예에 의하면, 몸체(230) 전체가 금속판으로 제공될 수 있다. 몸체(230)는 제 3 전원(235a)과 전기적으로 연결될 수 있다. 제 3 전원(235a)은 고주파 전력을 발생시키는 고주파 전원으로 제공될 수 있다. 고주파 전원은 RF 전원을 포함할 수 있다. 몸체(230)는 제 3 전원(235a)으로부터 고주파 전력을 인가받을 수 있다. 이로 인하여 몸체(230)는 전극으로서 기능할 수 있다.The body 230 may include a metal plate. According to one example, the entire body 230 may be provided as a metal plate. The body 230 may be electrically connected to the third power source 235a. The third power source 235a may be provided as a high frequency power source for generating high frequency power. The high frequency power source may include an RF power source. The body 230 can receive high frequency power from the third power source 235a. This allows the body 230 to function as an electrode.

포커스 링(240)은 정전 척(210)의 가장자리 영역에 배치될 수 있다. 포커스 링(240)은 링 형상을 가지며, 유전판(220)의 둘레를 따라 배치될 수 있다. 포커스 링(240)의 상면은 외측부(240a)가 내측부(240b)보다 높도록 단차질 수 있다. 포커스 링(240)의 상면 내측부(240b)는 유전판(220)의 상면과 동일 높이에 위치될 수 있다. 포커스 링(240)의 상면 내측부(240b)는 유전판(220)의 외측에 위치된 기판(W)의 가장자리 영역을 지지할 수 있다. 포커스 링(240)의 외측부(240a)는 기판(W)의 가장자리 영역을 둘러싸도록 제공될 수 있다. 포커스 링(240)은 기판(W)의 전체 영역에서 플라즈마의 밀도가 균일하게 분포하도록 전자기장을 제어할 수 있다. 이에 의해, 기판(W)의 전체 영역에 걸쳐 플라즈마가 균일하게 형성되어 기판(W)의 각 영역이 균일하게 식각될 수 있다.The focus ring 240 may be disposed at the edge region of the electrostatic chuck 210. The focus ring 240 has a ring shape and may be disposed along the periphery of the dielectric plate 220. The upper surface of the focus ring 240 may be stepped so that the outer portion 240a is higher than the inner portion 240b. The upper surface inner side portion 240b of the focus ring 240 may be positioned at the same height as the upper surface of the dielectric plate 220. [ The upper surface inner side portion 240b of the focus ring 240 can support the edge region of the substrate W positioned outside the dielectric plate 220. [ The outer side portion 240a of the focus ring 240 may be provided so as to surround the edge region of the substrate W. [ The focus ring 240 can control the electromagnetic field so that the density of the plasma is evenly distributed over the entire area of the substrate W. [ Thereby, plasma is uniformly formed over the entire region of the substrate W, so that each region of the substrate W can be uniformly etched.

하부 커버(250)는 기판 지지 어셈블리(200)의 하단부에 위치할 수 있다. 하부 커버(250)는 챔버(100)의 바닥면에서 상부로 이격되어 위치할 수 있다. 하부 커버(250)는 상면이 개방된 공간(255)이 내부에 형성될 수 있다. 하부 커버(250)의 외부 반경은 몸체(230)의 외부 반경과 동일한 길이로 제공될 수 있다. 하부 커버(250)의 내부 공간(255)에는 반송되는 기판(W)을 외부의 반송 부재로부터 정전 척(210)으로 이동시키는 리프트 핀 모듈(미도시) 등이 위치할 수 있다. 리프트 핀 모듈(미도시)은 하부 커버(250)로부터 일정 간격 이격되어 위치할 수 있다. 하부 커버(250)의 저면은 금속 재질로 제공될 수 있다. 하부 커버(250)의 내부 공간(255)은 공기가 제공될 수 있다. 공기는 절연체보다 유전율이 낮으므로 기판 지지 어셈블리(200) 내부의 전자기장을 감소시키는 역할을 할 수 있다.The lower cover 250 may be located at the lower end of the substrate support assembly 200. The lower cover 250 may be spaced upwardly from the bottom surface of the chamber 100. The lower cover 250 may have a space 255 in which the upper surface thereof is opened. The outer radius of the lower cover 250 may be provided with a length equal to the outer radius of the body 230. A lift pin module (not shown) for moving the substrate W to be transferred from an external carrying member to the electrostatic chuck 210 may be positioned in the inner space 255 of the lower cover 250. The lift pin module (not shown) may be spaced apart from the lower cover 250 by a predetermined distance. The bottom surface of the lower cover 250 may be made of a metal material. The inner space 255 of the lower cover 250 may be provided with air. Air may have a lower dielectric constant than the insulator and may serve to reduce the electromagnetic field inside the substrate support assembly 200.

하부 커버(250)는 연결 부재(253)를 가질 수 있다. 연결 부재(253)는 하부 커버(250)의 외측면과 챔버(100)의 내측벽을 연결할 수 있다. 연결 부재(253)는 하부 커버(250)의 외측면에 일정한 간격으로 복수 개 제공될 수 있다. 연결 부재(253)는 기판 지지 어셈블리(200)를 챔버(100) 내부에서 지지할 수 있다. 또한, 연결 부재(253)는 챔버(100)의 내측벽과 연결됨으로써 하부 커버(250)가 전기적으로 접지되도록 할 수 있다. 제 1 전원(223a)과 연결되는 제 1 전원라인(223c), 제 2 전원(225a)과 연결되는 제 2 전원라인(225c), 제 3 전원(235a)과 연결되는 제 3 전원라인(235c), 열전달 매체 저장부(231a)와 연결된 열전달 매체 공급라인(231b) 그리고 냉각 유체 저장부(232a)와 연결된 냉각 유체 공급 라인(232c) 등은 연결 부재(253)의 내부 공간(255)을 통해 하부 커버(250) 내부로 연장될 수 있다.The lower cover 250 may have a connecting member 253. The connecting member 253 can connect the outer surface of the lower cover 250 and the inner wall of the chamber 100. A plurality of connecting members 253 may be provided on the outer surface of the lower cover 250 at regular intervals. The connection member 253 can support the substrate support assembly 200 inside the chamber 100. The connection member 253 may be connected to the inner wall of the chamber 100 so that the lower cover 250 is electrically grounded. A first power supply line 223c connected to the first power supply 223a, a second power supply line 225c connected to the second power supply 225a, a third power supply line 235c connected to the third power supply 235a, A heat transfer medium supply line 231b connected to the heat transfer medium storage part 231a and a cooling fluid supply line 232c connected to the cooling fluid storage part 232a are connected to each other through the internal space 255 of the connection member 253, And may extend into the cover 250.

정전 척(210)과 하부 커버(250)의 사이에는 플레이트(270)가 위치할 수 있다. 플레이트(270)는 하부 커버(250)의 상면을 덮을 수 있다. 플레이트(270)는 몸체(230)에 상응하는 단면적으로 제공될 수 있다. 플레이트(270)는 절연체를 포함할 수 있다. 일 예에 의하면, 플레이트(270)는 하나 또는 복수 개가 제공될 수 있다. 플레이트(270)는 몸체(230)와 하부 커버(250)의 전기적 거리를 증가시키는 역할을 할 수 있다.A plate 270 may be positioned between the electrostatic chuck 210 and the lower cover 250. The plate 270 may cover the upper surface of the lower cover 250. The plate 270 may be provided with a cross-sectional area corresponding to the body 230. The plate 270 may comprise an insulator. According to one example, one or a plurality of plates 270 may be provided. The plate 270 may serve to increase the electrical distance between the body 230 and the lower cover 250.

샤워 헤드(300)는 챔버(100) 내부에서 기판 지지 어셈블리(200)의 상부에 위치할 수 있다. 샤워 헤드(300)는 기판 지지 어셈블리(200)와 대향하도록 위치할 수 있다.The showerhead 300 may be located above the substrate support assembly 200 within the chamber 100. The showerhead 300 may be positioned to face the substrate support assembly 200.

샤워 헤드(300)는 가스 분산판(310)과 지지부(330)를 포함할 수 있다. 가스 분산판(310)은 챔버(100)의 상면에서 하부로 일정거리 이격되어 위치할 수 있다. 가스 분산판(310)과 챔버(100)의 상면은 그 사이에 일정한 공간이 형성될 수 있다. 가스 분산판(310)은 두께가 일정한 판 형상으로 제공될 수 있다. 가스 분산판(310)의 저면은 플라즈마에 의한 아크 발생을 방지하기 위하여 그 표면이 양극화 처리될 수 있다. 가스 분산판(310)의 단면은 기판 지지 어셈블리(200)와 동일한 형상과 단면적을 가지도록 제공될 수 있다. 가스 분산판(310)은 복수 개의 분사홀(311)을 포함할 수 있다. 분사홀(311)은 가스 분산판(310)의 상면과 하면을 수직 방향으로 관통할 수 있다. 가스 분산판(310)은 금속 재질을 포함할 수 있다. 가스 분산판(310)은 제 4 전원(351)과 전기적으로 연결될 수 있다. 제 4 전원(351)은 고주파 전원으로 제공될 수 있다. 이와 달리, 가스 분산판(310)은 전기적으로 접지될 수도 있다. 가스 분산판(310)은 제 4 전원(351)과 전기적으로 연결되거나, 접지되어 전극으로서 기능할 수 있다.The showerhead 300 may include a gas distributor 310 and a support 330. The gas distribution plate 310 may be spaced apart from the upper surface of the chamber 100 by a predetermined distance. A predetermined space may be formed between the upper surface of the gas distribution plate 310 and the chamber 100. The gas distribution plate 310 may be provided in a plate shape having a constant thickness. The bottom surface of the gas distribution plate 310 may be polarized on its surface to prevent arcing by plasma. The cross-section of the gas distribution plate 310 may be provided to have the same shape and cross-sectional area as the substrate support assembly 200. The gas distribution plate 310 may include a plurality of ejection holes 311. The injection hole 311 can penetrate the upper and lower surfaces of the gas distribution plate 310 in the vertical direction. The gas distribution plate 310 may include a metal material. The gas distributor 310 may be electrically connected to the fourth power source 351. The fourth power source 351 may be provided as a high frequency power source. Alternatively, the gas distribution plate 310 may be electrically grounded. The gas distributor plate 310 may be electrically connected to the fourth power source 351 or may be grounded to function as an electrode.

지지부(330)는 가스 분산판(310)의 측부를 지지할 수 있다. 지지부(330)는 상단이 챔버(100)의 상면과 연결되고, 하단이 가스 분산판(310)의 측부와 연결될 수 있다. 지지부(330)는 비금속 재질을 포함할 수 있다.The support portion 330 can support the side of the gas distributor plate 310. The support portion 330 may have an upper end connected to the upper surface of the chamber 100 and a lower end connected to the side of the gas distribution plate 310. The support portion 330 may include a non-metallic material.

가스 공급 유닛(400)은 챔버(100) 내부에 공정 가스를 공급할 수 있다. 가스 공급 유닛(400)은 가스 공급 노즐(410), 가스 공급 라인(420), 그리고 가스 저장부(430)를 포함할 수 있다. 가스 공급 노즐(410)은 챔버(100)의 상면 중앙부에 설치될 수 있다. 가스 공급 노즐(410)의 저면에는 분사구가 형성될 수 있다. 분사구는 챔버(100) 내부로 공정 가스를 공급할 수 있다. 가스 공급 라인(420)은 가스 공급 노즐(410)과 가스 저장부(430)를 연결할 수 있다. 가스 공급 라인(420)은 가스 저장부(430)에 저장된 공정 가스를 가스 공급 노즐(410)에 공급할 수 있다. 가스 공급 라인(420)에는 밸브(421)가 설치될 수 있다. 밸브(421)는 가스 공급 라인(420)을 개폐하며, 가스 공급 라인(420)을 통해 공급되는 공정 가스의 유량을 조절할 수 있다.The gas supply unit 400 can supply the process gas into the chamber 100. The gas supply unit 400 may include a gas supply nozzle 410, a gas supply line 420, and a gas storage unit 430. The gas supply nozzle 410 may be installed at the center of the upper surface of the chamber 100. A jetting port may be formed on the bottom surface of the gas supply nozzle 410. The injection port can supply the process gas into the chamber 100. The gas supply line 420 may connect the gas supply nozzle 410 and the gas storage unit 430. The gas supply line 420 may supply the process gas stored in the gas storage unit 430 to the gas supply nozzle 410. A valve 421 may be installed in the gas supply line 420. The valve 421 opens and closes the gas supply line 420 and can control the flow rate of the process gas supplied through the gas supply line 420.

배플 유닛(500)은 챔버(100)의 내측벽과 기판 지지 어셈블리(200)의 사이에 위치될 수 있다. 배플(510)은 환형의 링 형상으로 제공될 수 있다. 배플(510)에는 복수의 관통홀(511)들이 형성될 수 있다. 챔버(100) 내에 제공된 공정 가스는 배플(510)의 관통홀(511)들을 통과하여 배기홀(102)로 배기될 수 있다. 배플(510)의 형상 및 관통홀(511)들의 형상에 따라 공정 가스의 흐름이 제어될 수 있다.The baffle unit 500 may be positioned between the inner wall of the chamber 100 and the substrate support assembly 200. The baffle 510 may be provided in an annular ring shape. A plurality of through holes 511 may be formed in the baffle 510. The process gas provided in the chamber 100 may be exhausted to the exhaust hole 102 through the through holes 511 of the baffle 510. [ The flow of the process gas can be controlled according to the shape of the baffle 510 and the shape of the through holes 511. [

플라즈마 발생 유닛은 챔버(100) 내 공정 가스를 플라즈마 상태로 여기시킬 수 있다. 상기 플라즈마 발생 유닛은 용량 결합형 플라즈마(CCP: capacitively coupled plasma) 타입의 플라즈마 소스를 사용할 수 있다. CCP 타입의 플라즈마 소스가 사용되는 경우, 챔버(100)의 내부에 상부 전극 및 하부 전극이 포함될 수 있다. 상부 전극 및 하부 전극은 챔버(100)의 내부에서 서로 평행하게 상하로 배치될 수 있다. 양 전극 중 어느 하나의 전극은 고주파 전력을 인가하고, 다른 전극은 접지될 수 있다. 양 전극 간의 공간에는 전자기장이 형성되고, 이 공간에 공급되는 공정 가스는 플라즈마 상태로 여기될 수 있다. 이 플라즈마를 이용하여 기판 처리 공정이 수행될 수 있다. 일 예에 의하면, 상부 전극은 샤워 헤드(300)로 제공되고, 하부 전극은 몸체(230)로 제공될 수 있다. 하부 전극에는 고주파 전력이 인가되고, 상부 전극은 접지될 수 있다. 이와 달리, 상부 전극과 하부 전극에 모두 고주파 전력이 인가될 수도 있다. 이로 인하여 상부 전극과 하부 전극 사이에 전자기장이 발생될 수 있다. 발생된 전자기장은 챔버(100) 내부로 제공된 공정 가스를 플라즈마 상태로 여기시킬 수 있다.The plasma generating unit may excite the process gas in the chamber 100 into a plasma state. The plasma generating unit may use a capacitively coupled plasma (CCP) type plasma source. When a plasma source of the CCP type is used, the upper electrode and the lower electrode may be included in the chamber 100. The upper electrode and the lower electrode may be arranged vertically in parallel with each other in the chamber 100. Either one of the electrodes can apply high-frequency power and the other electrode can be grounded. An electromagnetic field is formed in a space between both electrodes, and a process gas supplied to this space can be excited into a plasma state. The substrate processing process can be performed using this plasma. According to an example, the upper electrode may be provided to the showerhead 300 and the lower electrode may be provided to the body 230. High-frequency power may be applied to the lower electrode, and the upper electrode may be grounded. Alternatively, high-frequency power may be applied to both the upper electrode and the lower electrode. Thus, an electromagnetic field may be generated between the upper electrode and the lower electrode. The generated electromagnetic field can excite the process gas provided inside the chamber 100 into a plasma state.

이하, 상술한 기판 처리 장치를 이용하여 기판을 처리하는 과정을 설명하도록 한다.Hereinafter, a process of processing a substrate using the above-described substrate processing apparatus will be described.

기판 지지 어셈블리(200)에 기판(W)이 놓이면, 제 1 전원(223a)으로부터 제 1 전극(223)에 직류 전류가 인가될 수 있다. 제 1 전극(223)에 인가된 직류 전류에 의해 제 1 전극(223)과 기판(W) 사이에는 정전기력이 작용하며, 정전기력에 의해 기판(W)은 정전 척(210)에 흡착될 수 있다.When the substrate W is placed on the substrate support assembly 200, a direct current may be applied from the first power source 223a to the first electrode 223. An electrostatic force is applied between the first electrode 223 and the substrate W by the DC current applied to the first electrode 223 and the substrate W can be attracted to the electrostatic chuck 210 by the electrostatic force.

기판(W)이 정전 척(210)에 흡착되면, 가스 공급 노즐(410)을 통하여 챔버(100) 내부에 공정 가스가 공급될 수 있다. 공정 가스는 샤워 헤드(300)의 분사홀(311)을 통하여 챔버(100)의 내부 영역으로 균일하게 분사될 수 있다. 제 3 전원(235a)에서 생성된 고주파 전력은 하부 전극으로 제공되는 몸체(230)에 인가될 수 있다. 상부 전극으로 제공되는 샤워 헤드의 분사판(310)은 접지될 수 있다. 상부 전극과 하부 전극 사이에는 전자기력이 발생할 수 있다. 전자기력은 기판 지지 어셈블리(200)와 샤워 헤드(300) 사이의 공정 가스를 플라즈마로 여기시킬 수 있다. 플라즈마는 기판(W)으로 제공되어 기판(W)을 처리할 수 있다. 플라즈마는 식각 공정을 수행할 수 있다.When the substrate W is adsorbed to the electrostatic chuck 210, the process gas can be supplied into the chamber 100 through the gas supply nozzle 410. The process gas can be uniformly injected into the inner region of the chamber 100 through the injection hole 311 of the shower head 300. [ The high frequency power generated by the third power source 235a may be applied to the body 230 provided as a lower electrode. The spray plate 310 of the showerhead provided as the upper electrode can be grounded. An electromagnetic force may be generated between the upper electrode and the lower electrode. The electromagnetic force may excite the plasma of the process gas between the substrate support assembly 200 and the showerhead 300. The plasma may be provided to the substrate W to process the substrate W. [ The plasma may be subjected to an etching process.

도 1에 도시된 기판 처리 장치(10)는 CCP(Capacitively Coupled Plasma) 타입의 플라즈마 소스(예컨대, 챔버 내에 설치된 전극)를 이용하여 챔버(100) 내에 전기장을 생성함으로써 플라즈마를 생성하였다. 하지만, 기판 처리 장치(10)는 이에 제한되지 않고 실시예에 따라 ICP(Inductively Coupled Plasma) 타입의 플라즈마 소스(예컨대, 챔버의 외부 또는 내부에 설치되는 코일)를 이용하여 전자기장을 유도함으로써 플라즈마를 생성할 수도 있다.The substrate processing apparatus 10 shown in FIG. 1 generates a plasma by generating an electric field in the chamber 100 using a plasma source of a capacitively coupled plasma (CCP) type (for example, an electrode installed in the chamber). However, the substrate processing apparatus 10 is not limited to this, and may generate plasma by inducing an electromagnetic field using a plasma source of ICP (Inductively Coupled Plasma) type (for example, a coil installed outside or inside the chamber) You may.

도 2는 본 발명의 일 실시예에 따른 RF 신호 생성기(600)의 예시적인 블록도이다.2 is an exemplary block diagram of an RF signal generator 600 in accordance with an embodiment of the present invention.

후술하는 본 발명의 실시예에 따른 RF 신호 생성기(600)는 기판 처리 장치(10)에서 챔버(100) 내에 플라즈마를 발생시키기 위해 전극과 같은 플라즈마 소스에 RF 신호를 공급할 수 있다. 이 경우, 상기 RF 신호 생성기(600)는 기판 처리 장치(10)에서 하부 전극으로 기능하는 몸체(230)에 RF 신호를 공급하는 제 3 전원(235a)으로 사용될 수 있으며, 상부 전극으로 기능하는 가스 분산판(310)에 RF 신호를 공급하는 제 4 전원(351)으로 사용될 수 있다.The RF signal generator 600 according to an embodiment of the present invention described below may supply an RF signal to a plasma source such as an electrode to generate plasma in the chamber 100 in the substrate processing apparatus 10. [ In this case, the RF signal generator 600 can be used as a third power source 235a for supplying an RF signal to the body 230 functioning as a lower electrode in the substrate processing apparatus 10, and a gas And may be used as a fourth power source 351 for supplying an RF signal to the dispersion plate 310.

도 2를 참조하면, 상기 RF 신호 생성기(600)는 다수의 발진기들(610), 파라미터 조절부(620) 및 연결부(630)를 포함할 수 있다. 상기 발진기들(610)은 RF 신호를 발생시킬 수 있다. 상기 파라미터 조절부(620)는 RF 신호의 파라미터를 조절할 수 있다. 상기 연결부(630)는 상기 발진기들(610) 중 어느 하나를 상기 파라미터 조절부(620)에 연결하되, 상기 파라미터 조절부(620)에 연결되는 발진기를 반복적으로 변경할 수 있다.Referring to FIG. 2, the RF signal generator 600 may include a plurality of oscillators 610, a parameter adjuster 620, and a connection unit 630. The oscillators 610 may generate an RF signal. The parameter adjuster 620 may adjust the parameters of the RF signal. The connection unit 630 can repeatedly change the oscillator connected to the parameter adjusting unit 620 while connecting any one of the oscillators 610 to the parameter adjusting unit 620.

일 실시예에 따르면, 상기 발진기들(610)은 제 1 주파수의 RF 신호를 발생시키는 제 1 발진기(611), 및 제 2 주파수의 RF 신호를 발생시키는 제 2 발진기(612)를 포함할 수 있다.According to one embodiment, the oscillators 610 may include a first oscillator 611 for generating an RF signal at a first frequency and a second oscillator 612 for generating an RF signal at a second frequency .

일 실시예에 따르면, 상기 발진기들(610)은 서로 다른 주파수의 RF 신호를 발생시킬 수 있다. 예를 들어, 상기 제 1 발진기(611)는 2 MHz의 주파수를 갖는 RF 신호를 발생시키고, 상기 제 2 발진기(612)는 13.56 MHz의 주파수를 갖는 RF 신호를 발생시킬 수 있으나, 각각의 발진기가 발생시키는 RF 신호의 주파수는 이에 제한되지 않는다. 실시예에 따라, 상기 발진기들(610)은 동일한 주파수의 RF 신호를 발생시킬 수도 있다.According to one embodiment, the oscillators 610 may generate RF signals of different frequencies. For example, the first oscillator 611 may generate an RF signal having a frequency of 2 MHz and the second oscillator 612 may generate an RF signal having a frequency of 13.56 MHz, The frequency of the generated RF signal is not limited to this. According to an embodiment, the oscillators 610 may generate an RF signal of the same frequency.

상기 발진기(611, 612)는 소정의 주파수로 진동하는 교류 신호를 발생시키기 위해 전압 제어 발진기(Voltage Controlled Oscillator, VCO)를 사용할 수 있으나, 발진기의 종류는 이에 제한되지 않는다.The oscillators 611 and 612 may use a voltage controlled oscillator (VCO) to generate an AC signal that oscillates at a predetermined frequency, but the type of the oscillator is not limited thereto.

상기 파라미터 조절부(620)는 상기 발진기(611, 612)가 발생시킨 RF 신호를 입력받아 RF 신호의 파라미터를 조절할 수 있다. 상기 파라미터 조절부(620)에 의해 조절되는 RF 신호의 파라미터는 RF 신호가 갖는 각종 특성으로서, 예컨대 위상, 진폭, 파워 등을 포함할 수 있다.The parameter adjusting unit 620 may receive the RF signals generated by the oscillators 611 and 612 and adjust parameters of the RF signals. The parameters of the RF signal controlled by the parameter adjuster 620 may include various characteristics of the RF signal, such as phase, amplitude, power, and the like.

본 발명의 일 실시예에 따르면, 상기 파라미터 조절부(620)는 RF 신호의 위상을 조절하는 위상 조절부, 및 RF 신호의 파워를 조절하는 파워 조절부 중 적어도 하나를 포함할 수 있다.According to an embodiment of the present invention, the parameter adjusting unit 620 may include at least one of a phase adjusting unit for adjusting the phase of the RF signal and a power adjusting unit for adjusting the power of the RF signal.

상기 파라미터 조절부(620)는 상기 발진기(611, 612)가 발생시킨 RF 신호의 파라미터를 조절하여 부하에 공급한다.The parameter adjusting unit 620 adjusts the parameters of the RF signal generated by the oscillators 611 and 612 and supplies the parameters to the load.

상기 연결부(630)는 상기 발진기들(610) 중 어느 하나를 상기 파라미터 조절부(620)에 연결시킨다. 그리고, 본 발명의 실시예에 따르면, 상기 연결부(630)는 상기 발진기들(610) 중에서 상기 파라미터 조절부(620)에 연결되는 발진기를 반복적으로 변경할 수 있다.The connection unit 630 connects any one of the oscillators 610 to the parameter adjuster 620. According to the embodiment of the present invention, the connection unit 630 can repeatedly change the oscillator connected to the parameter adjusting unit 620 among the oscillators 610.

도 3은 본 발명의 일 실시예에 따른 RF 신호 생성기(600)의 예시적인 회로도이다.3 is an exemplary circuit diagram of an RF signal generator 600 according to an embodiment of the present invention.

도 3에서 상기 발진기들(610)은 제 1 발진기(611) 및 제 2 발진기(612)를 포함하며, 상기 제 1 발진기(611)는 2 MHz의 주파수를 갖는 RF 신호를 발생시키고, 상기 제 2 발진기(612)는 13.56 MHz의 주파수를 갖는 RF 신호를 발생시킬 수 있다.3, the oscillators 610 include a first oscillator 611 and a second oscillator 612. The first oscillator 611 generates an RF signal having a frequency of 2 MHz, The oscillator 612 may generate an RF signal having a frequency of 13.56 MHz.

본 발명의 일 실시예에 따르면, 상기 발진기들(610)은 제 1 및 제 2 발진기(611, 612) 외에도 노치 필터(notch filter)(613)를 더 포함할 수 있다.According to an embodiment of the present invention, the oscillators 610 may further include a notch filter 613 in addition to the first and second oscillators 611 and 612.

상기 노치 필터(613)는 상기 발진기들(610) 중 제 1 발진기(611)가 상기 연결부(630)에 의해 상기 파라미터 조절부(620)에 연결되어 제 1 주파수의 RF 신호가 상기 파라미터 조절부(620)에 출력되는 경우 출력되는 RF 신호로부터 제 2 주파수에 해당하는 성분을 제거하고, 상기 발진기들(610) 중 제 2 발진기(612)가 상기 연결부(630)에 의해 상기 파라미터 조절부(620)에 연결되어 제 2 주파수의 RF 신호가 상기 파라미터 조절부(620)에 출력되는 경우 출력되는 RF 신호로부터 제 1 주파수에 해당하는 성분을 제거할 수 있다.The first oscillator 611 of the oscillators 610 is connected to the parameter adjusting unit 620 by the connection unit 630 so that the RF signal of the first frequency is supplied to the parameter adjusting unit 620, the second oscillator 612 of the oscillators 610 removes a component corresponding to the second frequency from the output RF signal, and the connection unit 630 removes the component corresponding to the second frequency from the parameter adjusting unit 620, When the RF signal of the second frequency is output to the parameter adjusting unit 620, the RF signal corresponding to the first frequency can be removed from the output RF signal.

도 3에 도시된 바와 같이, 상기 노치 필터(613)는 인덕터(L1, L2)와 커패시터(C1, C2)를 포함할 수 있으며, 트랜지스터(614)를 통해 상기 제 1 및 제 2 발진기(611, 612)에 연결될 수 있다.3, the notch filter 613 may include inductors L1 and L2 and capacitors C1 and C2 and may be coupled to the first and second oscillators 611 and 612 via a transistor 614, 612. < / RTI >

또한, 상기 발진기들(610)은 대역 통과 필터들(BPF1, BPF2)을 포함할 수 있으며, 각각의 대역 통과 필터는 각각의 발진기가 발생시키는 RF 신호의 주파수 성분을 통과시키도록 구성된다.In addition, the oscillators 610 may include bandpass filters BPF1 and BPF2, and each bandpass filter is configured to pass a frequency component of an RF signal generated by each oscillator.

예를 들어, 도 3에서 제 1 대역 통과 필터(BPF1)는 제 1 발진기(611)가 발생시키는 제 1 주파수(예컨대, 2 MHz)의 성분을 통과시키도록 구성되고, 제 2 대역 통과 필터(BPF2)는 제 2 발진기(612)가 발생시키는 제 2 주파수(예컨대, 13.56 MHz)의 성분을 통과시키도록 구성될 수 있다.For example, in FIG. 3, the first bandpass filter BPF1 is configured to pass a component of a first frequency (e.g., 2 MHz) generated by the first oscillator 611, May be configured to pass a component of a second frequency (e.g., 13.56 MHz) that the second oscillator 612 generates.

추가적으로, 상기 발진기들(610)은 출력단(out1, out2)에 RF 신호의 전력을 조절하는 전력 조절기(615)를 더 포함할 수 있다. 상기 전력 조절기(615)는 상기 발진기들(610)로부터 출력되는 제 1 주파수의 RF 신호와 제 2 주파수의 RF 신호 간 전력 비율을 조절할 수 있다.In addition, the oscillators 610 may further include a power adjuster 615 for adjusting the power of the RF signal at the outsides outl and out2. The power adjuster 615 can adjust the power ratio between the RF signal of the first frequency and the RF signal of the second frequency outputted from the oscillators 610.

이 실시예에 따르면, 상기 전력 조절기(615)는 가변 리액턴스 소자를 포함할 수 있으며, 상기 가변 리액턴스 소자는 상기 RF 신호 생성기(600)의 동작을 제어하는 제어기(미도시)로부터 수신되는 제어 신호에 따라 리액턴스가 변경될 수 있다.According to this embodiment, the power regulator 615 may include a variable reactance element, and the variable reactance element may be connected to a control signal received from a controller (not shown) for controlling the operation of the RF signal generator 600 The reactance can be changed accordingly.

그 결과, 제 1 출력단(out1)으로 출력되는 제 1 주파수의 RF 신호가 갖는 전력과 제 2 출력단(out2)으로 출력되는 제 2 주파수의 RF 신호가 갖는 전력의 비율이 상기 제어 신호에 따라 조절될 수 있다.As a result, the ratio of the power of the RF signal of the first frequency outputted to the first output terminal out1 and the RF signal of the second frequency outputted to the second output terminal out2 is adjusted according to the control signal .

상기 연결부(630)는 상기 발진기들(610) 중 어느 하나를 상기 파라미터 조절부(620)에 연결하며, 상기 파라미터 조절부(620)에 연결되는 발진기를 반복적으로 변경할 수 있다.The connection unit 630 may connect any one of the oscillators 610 to the parameter adjusting unit 620 and may repeatedly change the oscillator connected to the parameter adjusting unit 620.

본 발명의 일 실시예에 따르면, 상기 연결부(630)는 스위치를 포함할 수 있다.According to an embodiment of the present invention, the connection unit 630 may include a switch.

예를 들어, 도 3을 참조하면, 상기 연결부(630)는 일단이 상기 파라미터 조절부(620)의 입력단에 연결되고, 타단이 상기 발진기들(610)의 출력단들(out1, out2) 사이에서 스위칭되는 스위치를 포함할 수 있다. 상기 스위치의 타단이 상기 출력단들(out1, out2) 사이에서 주기적 또는 비주기적으로 반복하여 스위칭됨으로써, 상기 파라미터 조절부(620)에 연결되는 발진기(611, 612)가 지속적으로 변경된다.3, one end of the connection unit 630 is connected to the input terminal of the parameter control unit 620, and the other end of the connection unit 630 is switched between the output terminals out1 and out2 of the oscillators 610. [ Lt; / RTI > The other end of the switch is cyclically or non-periodically repeatedly switched between the output terminals out1 and out2 so that the oscillators 611 and 612 connected to the parameter adjuster 620 are continuously changed.

다시 말해, 상기 연결부(630)는 상기 발진기들(610)에서 발생되는 제 1 주파수의 RF 신호와 제 2 주파수의 RF 신호 중 어느 하나를 상기 파라미터 조절부(620)에 인가하되, 상기 파라미터 조절부(620)에 인가되는 RF 신호를 반복적으로 변경할 수 있다.In other words, the connection unit 630 applies the RF signal of the first frequency and the RF signal of the second frequency generated by the oscillators 610 to the parameter adjusting unit 620, The RF signal applied to the antenna 620 can be repeatedly changed.

본 발명의 실시예에 따르면, 상기 연결부(630)는 상기 파라미터 조절부(620)에 연결되는 발진기(또는 상기 파라미터 조절부(620)에 인가되는 RF 신호)를 RF 신호의 주기보다 짧거나 같은 주기로 변경할 수 있다.According to an embodiment of the present invention, the connection unit 630 may connect the oscillator (or the RF signal applied to the parameter adjusting unit 620) connected to the parameter adjusting unit 620 with a period shorter than or equal to the period of the RF signal Can be changed.

상기 발진기들(610)이 서로 다른 주파수의 RF 신호들을 발생시키는 경우, 상기 연결부(630)는 상기 파라미터 조절부(620)에 연결되는 발진기(또는 상기 파라미터 조절부(620)에 인가되는 RF 신호)를 상기 RF 신호들 중 주파수가 가장 높은 RF 신호의 주기보다 짧거나 같은 주기로 변경할 수 있다.When the oscillators 610 generate RF signals of different frequencies, the connection unit 630 outputs an RF signal to the oscillator (or the parameter adjusting unit 620) connected to the parameter adjusting unit 620, May be changed to a period shorter than or equal to the period of the RF signal having the highest frequency among the RF signals.

예를 들어, 도 3에서 상기 스위치는 상기 타단이 제 1 주파수의 RF 신호와 제 2 주파수의 RF 신호 중 주파수가 더 높은 제 2 주파수의 RF 신호의 주기보다 짧거나 같은 시간 간격으로 스위칭될 수 있다.For example, in FIG. 3, the switch may be switched at a time interval shorter than or equal to the period of the RF signal of the second frequency, the RF signal of the first frequency and the RF signal of the second frequency, .

본 발명의 실시예에 따르면, 상기 스위치는 나노초 단위로 스위칭되는 NEM(Nano Electro Mechanical) 스위치일 수 있으며, 일 예로 상기 스위치는 타단이 1 ns의 시간 간격으로 스위칭될 수 있으나, 스위칭 시간 간격은 이에 제한되지는 않는다.According to an embodiment of the present invention, the switch may be a Nano Electro Mechanical (NEM) switch that switches in nanoseconds. For example, the switch may be switched at a time interval of 1 ns, But is not limited to.

상기 파라미터 조절부(620)는 상기 발진기들(610)로부터 출력된 RF 신호의 파라미터를 조절할 수 있다.The parameter adjuster 620 may adjust the parameters of the RF signal output from the oscillators 610.

본 발명의 일 실시예에 따르면, 상기 파라미터 조절부(620)는 RF 신호의 위상을 조절하는 위상 조절부(621), 및 RF 신호의 파워를 조절하는 파워 조절부(622) 중 적어도 하나를 포함할 수 있다.According to an embodiment of the present invention, the parameter adjusting unit 620 includes at least one of a phase adjusting unit 621 for adjusting the phase of the RF signal and a power adjusting unit 622 for adjusting the power of the RF signal can do.

만약 상기 파라미터 조절부(620)가 상기 위상 조절부(621) 및 상기 파워 조절부(622)를 모두 포함하는 경우, 상기 위상 조절부(621)와 상기 파워 조절부(622)는 서로 종속 접속될 수 있다.If the parameter adjusting unit 620 includes both the phase adjusting unit 621 and the power adjusting unit 622, the phase adjusting unit 621 and the power adjusting unit 622 are cascade-connected to each other .

예를 들어, 도 3에 도시된 바와 같이, 상기 파라미터 조절부(620)는 상기 위상 조절부(621)의 출력단에 상기 파워 조절부(622)의 입력단이 종속 접속되도록 구성될 수 있다. 이 경우, 상기 위상 조절부(621)가 RF 신호의 위상을 조절한 뒤, 상기 파워 조절부(622)가 상기 위상이 조절된 RF 신호의 파워를 조절할 수 있다.For example, as shown in FIG. 3, the parameter adjusting unit 620 may be configured such that an input end of the power adjusting unit 622 is cascade-connected to an output end of the phase adjusting unit 621. In this case, after the phase adjusting unit 621 adjusts the phase of the RF signal, the power adjusting unit 622 can adjust the power of the phase-adjusted RF signal.

일 실시예에 따르면, 상기 위상 조절부(621)는 RF 신호의 전압 위상과 전류 위상을 일치시키고, 상기 위상 조절부(621)로 입력되는 RF 신호의 위상과 상기 위상 조절부(621)로부터 출력되는 RF 신호의 위상을 일치시킬 수 있다.The phase adjusting unit 621 adjusts the phase of the RF signal and the current phase of the RF signal so that the phase of the RF signal input to the phase adjusting unit 621 and the phase of the RF signal input from the phase adjusting unit 621 The phase of the RF signal can be matched.

이와 같이 RF 신호의 위상을 조절하기 위해, 도 3에 도시된 바와 같이 상기 위상 조절부(621)는 위상 검출기(6211), 루프 필터(6212), 위상 조절기(6213) 및 주파수 분할기(6214)를 포함할 수 있다.3, the phase adjusting unit 621 includes a phase detector 6211, a loop filter 6212, a phase adjuster 6213, and a frequency divider 6214, .

일 실시예에 따르면, 상기 파워 조절부(622)는 RF 신호의 진폭을 증폭시키는 증폭기를 포함할 수 있다.According to one embodiment, the power adjuster 622 may include an amplifier that amplifies the amplitude of the RF signal.

예를 들어, 도 3에 도시된 바와 같이, 상기 파워 조절부(622)는 연산 증폭기를 포함할 수 있으나, 증폭기의 종류 및 구성은 이에 제한되지 않는다.For example, as shown in FIG. 3, the power regulator 622 may include an operational amplifier, but the type and configuration of the amplifier are not limited thereto.

전술한 본 발명의 실시예에 따르면, 상기 연결부(630)가 상기 발진기들(610)에 의해 발생된 RF 신호들 중 어느 하나를 상기 파라미터 조절부(620)에 인가하되, 상기 RF 신호들 중에서 상기 파라미터 조절부(620)에 인가되는 RF 신호를 반복적으로 변경함으로써, 상기 RF 신호 생성기(600)는 복수의 발진기들(610)로부터 발생된 복수의 RF 신호들을 하나의 파라미터 조절부(620)를 통해 RF 신호의 파라미터를 조절하여 부하에 공급할 수 있다.According to the embodiment of the present invention, the connection unit 630 applies one of the RF signals generated by the oscillators 610 to the parameter adjusting unit 620, The RF signal generator 600 repeatedly changes the RF signal applied to the parameter adjusting unit 620 so that a plurality of RF signals generated from the plurality of oscillators 610 are transmitted through one parameter adjusting unit 620 The parameters of the RF signal can be adjusted and supplied to the load.

그 결과, 장비에 요구되는 RF 신호의 수가 늘어나도 그에 따라 RF 신호 생성기의 사이즈 및 가격이 상승하는 것을 억제하면서 RF 신호들을 보다 단순하게 제어할 수 있다.As a result, even if the number of RF signals required for the equipment increases, the RF signals can be more simply controlled while suppressing the increase in size and price of the RF signal generator.

이상에서 실시예를 통해 본 발명을 설명하였으나, 위 실시예는 단지 본 발명의 사상을 설명하기 위한 것으로 이에 한정되지 않는다. 통상의 기술자는 전술한 실시예에 다양한 변형이 가해질 수 있음을 이해할 것이다. 본 발명의 범위는 첨부된 특허청구범위의 해석을 통해서만 정해진다.While the present invention has been described with reference to the exemplary embodiments, it is to be understood that the invention is not limited to the disclosed exemplary embodiments. Those skilled in the art will appreciate that various modifications may be made to the embodiments described above. The scope of the present invention is defined only by the interpretation of the appended claims.

10: 기판 처리 장치
100: 챔버
200: 기판 지지 어셈블리
300: 샤워 헤드
400: 가스 공급 유닛
500: 배플 유닛
600: RF 신호 생성기
610: 발진기들
611: 제 1 발진기
612: 제 2 발진기
620: 파라미터 조절부
621: 위상 조절부
622: 파워 조절부
630: 연결부
10: substrate processing apparatus
100: chamber
200: substrate support assembly
300: Shower head
400: gas supply unit
500: Baffle unit
600: RF signal generator
610: Oscillators
611: first oscillator
612: Second oscillator
620:
621:
622: Power regulator
630:

Claims (23)

RF 신호를 발생시키는 다수의 발진기들;
상기 RF 신호의 파라미터를 조절하는 파라미터 조절부; 및
상기 발진기들 중 어느 하나를 상기 파라미터 조절부에 연결하되, 상기 파라미터 조절부에 연결되는 발진기를 반복적으로 변경하는 연결부;
를 포함하는 RF 신호 생성기.
A plurality of oscillators for generating RF signals;
A parameter adjuster for adjusting a parameter of the RF signal; And
A connection unit connecting one of the oscillators to the parameter adjustment unit and repeatedly changing an oscillator connected to the parameter adjustment unit;
The RF signal generator comprising:
제 1 항에 있어서,
상기 발진기들은:
서로 다른 주파수의 RF 신호를 발생시키는 RF 신호 생성기.
The method according to claim 1,
The oscillators include:
An RF signal generator for generating RF signals of different frequencies.
제 1 항에 있어서,
상기 파라미터 조절부는:
상기 RF 신호의 위상을 조절하는 위상 조절부; 및
상기 RF 신호의 파워를 조절하는 파워 조절부;
중 적어도 하나를 포함하는 RF 신호 생성기.
The method according to claim 1,
Wherein the parameter adjuster comprises:
A phase adjusting unit for adjusting a phase of the RF signal; And
A power regulator for regulating the power of the RF signal;
The RF signal generator comprising:
제 3 항에 있어서,
상기 파라미터 조절부는:
상기 위상 조절부가 상기 RF 신호의 위상을 조절한 뒤, 상기 파워 조절부가 상기 위상이 조절된 RF 신호의 파워를 조절하도록 구성된 RF 신호 생성기.
The method of claim 3,
Wherein the parameter adjuster comprises:
And the power adjuster adjusts the power of the phase-adjusted RF signal after the phase adjuster adjusts the phase of the RF signal.
제 3 항에 있어서,
상기 파라미터 조절부는:
상기 위상 조절부 및 상기 파워 조절부가 종속 접속되도록 구성된 RF 신호 생성기.
The method of claim 3,
Wherein the parameter adjuster comprises:
Wherein the phase adjusting unit and the power adjusting unit are configured to be cascade-connected.
제 3 항에 있어서,
상기 파워 조절부는:
상기 RF 신호의 진폭을 증폭시키는 증폭기를 포함하는 RF 신호 생성기.
The method of claim 3,
The power control unit includes:
And an amplifier for amplifying the amplitude of the RF signal.
제 1 항에 있어서,
상기 연결부는:
상기 파라미터 조절부에 연결되는 발진기를 상기 RF 신호의 주기보다 짧거나 같은 주기로 변경하는 RF 신호 생성기.
The method according to claim 1,
The connecting portion comprises:
And the oscillator connected to the parameter adjuster is changed to a period shorter than or equal to the period of the RF signal.
제 2 항에 있어서,
상기 연결부는:
상기 파라미터 조절부에 연결되는 발진기를 상기 발진기들이 발생시키는 RF 신호들 중 주파수가 가장 높은 RF 신호의 주기보다 짧거나 같은 주기로 변경하는 RF 신호 생성기.
3. The method of claim 2,
The connecting portion comprises:
Wherein the oscillator connected to the parameter adjuster changes a period shorter than or equal to a period of the RF signal having the highest frequency among the RF signals generated by the oscillators.
제 1 항에 있어서,
상기 연결부는:
일단이 상기 파라미터 조절부의 입력단에 연결되고, 타단이 상기 발진기들의 출력단들 사이에서 스위칭되는 스위치를 포함하는 RF 신호 생성기.
The method according to claim 1,
The connecting portion comprises:
And a switch whose one end is connected to the input terminal of the parameter control unit and the other end is switched between output terminals of the oscillators.
제 1 주파수의 RF 신호를 발생시키는 제 1 발진기;
상기 제 1 주파수보다 높은 제 2 주파수의 RF 신호를 발생시키는 제 2 발진기;
상기 제 1 또는 제 2 발진기로부터 출력된 RF 신호의 위상을 조절하는 위상 조절부;
상기 위상 조절부로부터 출력된 RF 신호를 증폭시키는 증폭기; 및
일단이 상기 위상 조절부의 입력단에 연결되고, 타단이 상기 제 1 발진기의 출력단과 상기 제 2 발진기의 출력단 사이에서 스위칭되는 스위치;
를 포함하는 RF 신호 생성기.
A first oscillator for generating an RF signal of a first frequency;
A second oscillator for generating an RF signal of a second frequency higher than the first frequency;
A phase adjusting unit for adjusting a phase of an RF signal output from the first or second oscillator;
An amplifier for amplifying the RF signal output from the phase adjusting unit; And
A switch having one end connected to the input terminal of the phase adjusting section and the other end switched between the output terminal of the first oscillator and the output terminal of the second oscillator;
The RF signal generator comprising:
제 10 항에 있어서,
상기 스위치는:
상기 타단이 상기 제 2 주파수의 RF 신호의 주기보다 짧거나 같은 시간 간격으로 스위칭되는 RF 신호 생성기.
11. The method of claim 10,
The switch comprising:
And the other end is switched at a time interval shorter than or equal to the period of the RF signal of the second frequency.
제 11 항에 있어서,
상기 제 1 발진기는 2 MHz의 주파수를 갖는 RF 신호를 발생시키고,
상기 제 2 발진기는 13.56 MHz의 주파수를 갖는 RF 신호를 발생시키고,
상기 스위치는 상기 타단이 1 ns의 시간 간격으로 스위칭되는 RF 신호 생성기.
12. The method of claim 11,
The first oscillator generates an RF signal having a frequency of 2 MHz,
The second oscillator generates an RF signal having a frequency of 13.56 MHz,
And the switch switches the other terminal at a time interval of 1 ns.
기판이 처리되는 공간을 제공하는 챔버;
상기 챔버 내에서 상기 기판을 지지하는 기판 지지 어셈블리;
상기 챔버 내부로 가스를 공급하는 가스 공급 유닛; 및
상기 챔버 내의 가스를 플라즈마 상태로 여기시키는 플라즈마 발생 유닛을 포함하며, 상기 플라즈마 발생 유닛은:
RF 신호를 생성하는 RF 전원; 및
상기 RF 신호를 공급받아 플라즈마를 발생시키는 플라즈마 소스를 포함하며,
상기 RF 전원은:
RF 신호를 발생시키는 다수의 발진기들;
상기 RF 신호의 파라미터를 조절하는 파라미터 조절부; 및
상기 발진기들 중 어느 하나를 상기 파라미터 조절부에 연결하되, 상기 파라미터 조절부에 연결되는 발진기를 반복적으로 변경하는 연결부를 포함하는 기판 처리 장치.
A chamber for providing a space in which the substrate is processed;
A substrate support assembly for supporting the substrate within the chamber;
A gas supply unit for supplying gas into the chamber; And
And a plasma generating unit that excites gas in the chamber into a plasma state, the plasma generating unit comprising:
An RF power source for generating an RF signal; And
And a plasma source for generating plasma by receiving the RF signal,
The RF power source comprises:
A plurality of oscillators for generating RF signals;
A parameter adjuster for adjusting a parameter of the RF signal; And
And a connection unit connecting one of the oscillators to the parameter adjustment unit and repeatedly changing an oscillator connected to the parameter adjustment unit.
제 13 항에 있어서,
상기 플라즈마 소스는:
상기 챔버에 구비된 전극 및 코일 중 적어도 하나를 포함하는 기판 처리 장치.
14. The method of claim 13,
Wherein the plasma source comprises:
And at least one of an electrode and a coil provided in the chamber.
제 13 항에 있어서,
상기 발진기들은:
서로 다른 주파수의 RF 신호를 발생시키는 기판 처리 장치.
14. The method of claim 13,
The oscillators include:
A substrate processing apparatus for generating RF signals of different frequencies.
제 13 항에 있어서,
상기 파라미터 조절부는:
상기 RF 신호의 위상을 조절하는 위상 조절부; 및
상기 RF 신호의 파워를 조절하는 파워 조절부;
중 적어도 하나를 포함하는 기판 처리 장치.
14. The method of claim 13,
Wherein the parameter adjuster comprises:
A phase adjusting unit for adjusting a phase of the RF signal; And
A power regulator for regulating the power of the RF signal;
The substrate processing apparatus comprising:
제 16 항에 있어서,
상기 파라미터 조절부는:
상기 위상 조절부가 상기 RF 신호의 위상을 조절한 뒤, 상기 파워 조절부가 상기 위상이 조절된 RF 신호의 파워를 조절하도록 구성된 기판 처리 장치.
17. The method of claim 16,
Wherein the parameter adjuster comprises:
And the power adjuster adjusts the power of the phase-adjusted RF signal after the phase adjuster adjusts the phase of the RF signal.
제 16 항에 있어서,
상기 파라미터 조절부는:
상기 위상 조절부 및 상기 파워 조절부가 종속 접속되도록 구성된 기판 처리 장치.
17. The method of claim 16,
Wherein the parameter adjuster comprises:
And the phase regulator and the power regulator are configured to be cascade-connected.
제 16 항에 있어서,
상기 파워 조절부는:
상기 RF 신호의 진폭을 증폭시키는 증폭기를 포함하는 기판 처리 장치.
17. The method of claim 16,
The power control unit includes:
And an amplifier for amplifying the amplitude of the RF signal.
제 13 항에 있어서,
상기 연결부는:
상기 파라미터 조절부에 연결되는 발진기를 상기 RF 신호의 주기보다 짧거나 같은 주기로 변경하는 기판 처리 장치.
14. The method of claim 13,
The connecting portion comprises:
Wherein the oscillator connected to the parameter adjuster is shorter than or equal to the period of the RF signal.
제 15 항에 있어서,
상기 연결부는:
상기 파라미터 조절부에 연결되는 발진기를 상기 발진기들이 발생시키는 RF 신호들 중 주파수가 가장 높은 RF 신호의 주기보다 짧거나 같은 주기로 변경하는 기판 처리 장치.
16. The method of claim 15,
The connecting portion comprises:
Wherein the oscillator connected to the parameter adjuster changes a period shorter than or equal to a cycle of the RF signal having the highest frequency among the RF signals generated by the oscillators.
제 13 항에 있어서,
상기 연결부는:
일단이 상기 파라미터 조절부의 입력단에 연결되고, 타단이 상기 발진기들의 출력단들 사이에서 스위칭되는 스위치를 포함하는 기판 처리 장치.
14. The method of claim 13,
The connecting portion comprises:
And a switch whose one end is connected to the input terminal of the parameter adjusting section and the other end is switched between the output terminals of the oscillators.
제 22 항에 있어서,
상기 발진기들은:
2 MHz의 주파수를 갖는 RF 신호를 발생시키는 제 1 발진기; 및
13.56 MHz의 주파수를 갖는 RF 신호를 발생시키는 제 2 발진기를 포함하며,
상기 스위치는 상기 타단이 1 ns의 시간 간격으로 스위칭되는 기판 처리 장치.
23. The method of claim 22,
The oscillators include:
A first oscillator for generating an RF signal having a frequency of 2 MHz; And
And a second oscillator for generating an RF signal having a frequency of 13.56 MHz,
And said switch is switched at the other end at a time interval of 1 ns.
KR1020150114829A 2015-08-13 2015-08-13 Rf signal generator and apparatus for treating substrate comprising the same KR102201881B1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020150114829A KR102201881B1 (en) 2015-08-13 2015-08-13 Rf signal generator and apparatus for treating substrate comprising the same

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020150114829A KR102201881B1 (en) 2015-08-13 2015-08-13 Rf signal generator and apparatus for treating substrate comprising the same

Publications (2)

Publication Number Publication Date
KR20170020099A true KR20170020099A (en) 2017-02-22
KR102201881B1 KR102201881B1 (en) 2021-01-13

Family

ID=58315198

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020150114829A KR102201881B1 (en) 2015-08-13 2015-08-13 Rf signal generator and apparatus for treating substrate comprising the same

Country Status (1)

Country Link
KR (1) KR102201881B1 (en)

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100302167B1 (en) * 1993-11-05 2001-11-22 히가시 데쓰로 Plasma Treatment Equipment and Plasma Treatment Methods
KR20050011349A (en) * 2003-07-23 2005-01-29 주성엔지니어링(주) Bias control device
KR20110027454A (en) * 2009-09-10 2011-03-16 한국표준과학연구원 Plasma monitoring apparatus, plasma monitoring method, and plasma apparatus
KR20120033239A (en) * 2010-09-29 2012-04-06 어드밴스드 마이크로 패브리케이션 이큅먼트 인코퍼레이티드 아시아 Single matching network for matching multi-frequency and radio frequency power source system using the same

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100302167B1 (en) * 1993-11-05 2001-11-22 히가시 데쓰로 Plasma Treatment Equipment and Plasma Treatment Methods
KR20050011349A (en) * 2003-07-23 2005-01-29 주성엔지니어링(주) Bias control device
KR20110027454A (en) * 2009-09-10 2011-03-16 한국표준과학연구원 Plasma monitoring apparatus, plasma monitoring method, and plasma apparatus
KR20120033239A (en) * 2010-09-29 2012-04-06 어드밴스드 마이크로 패브리케이션 이큅먼트 인코퍼레이티드 아시아 Single matching network for matching multi-frequency and radio frequency power source system using the same

Also Published As

Publication number Publication date
KR102201881B1 (en) 2021-01-13

Similar Documents

Publication Publication Date Title
KR101909479B1 (en) Substrate support unit, substrate treating apparauts including the same, and method for controlling the same
KR101980203B1 (en) Support unit and substrate treating apparatus including the same
KR101570171B1 (en) Plasma generating device and apparatus for treating substrate comprising the same
KR101842127B1 (en) Apparatus and method for treating a substrate
KR101817210B1 (en) Apparatus for generating plasma, apparatus for treating substrate comprising the same, and method for controlling the same
KR101522891B1 (en) Plasma generating device and apparatus for treating substrate comprising the same
KR101778972B1 (en) Apparatus for supplying power, and apparatus for treating substrate employing the same
KR101471549B1 (en) Apparatus for generating plasma and apparatus for treating substrate comprising the same
US11587770B2 (en) Apparatus and method for treating substrate
KR101522892B1 (en) Plasma generating device and apparatus for treating substrate comprising the same
US10319566B2 (en) Apparatus for supplying power and apparatus for treating substrate including the same
KR102201881B1 (en) Rf signal generator and apparatus for treating substrate comprising the same
KR101939661B1 (en) Apparatus and method for treating substrate
KR102281888B1 (en) Apparatus and method for treating substrate
KR101791873B1 (en) Apparatus for generating plasma and apparatus for treating substrate comprising the same
KR101754565B1 (en) Apparatus and method for supplying power, and apparatus for treating substrate employing the same
KR102156894B1 (en) Apparatus for treating substrate adn method for controlling plasma
KR20180125062A (en) Apparatus and method for treating substrate
KR20150077534A (en) Plasma generating device and apparatus for treating substrate comprising the same
KR102175081B1 (en) Plasma generating device and apparatus for treating substrate comprising the same
KR20150077532A (en) Plasma generating device and apparatus for treating substrate comprising the same
KR101966793B1 (en) Substrate supporting unit and substrate processing apparatus comprising the same
KR101464205B1 (en) Substrate supporting assembly and substrate treating apparatus
KR101885564B1 (en) Plasma source, apparatus for processing substrate including the same, and method for controlling the same
KR20140131187A (en) Apparatus and method for treating substrate

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant