KR20160126835A - 패턴 형성 방법 - Google Patents

패턴 형성 방법 Download PDF

Info

Publication number
KR20160126835A
KR20160126835A KR1020150120954A KR20150120954A KR20160126835A KR 20160126835 A KR20160126835 A KR 20160126835A KR 1020150120954 A KR1020150120954 A KR 1020150120954A KR 20150120954 A KR20150120954 A KR 20150120954A KR 20160126835 A KR20160126835 A KR 20160126835A
Authority
KR
South Korea
Prior art keywords
pattern
film
resist
plasma etching
mask
Prior art date
Application number
KR1020150120954A
Other languages
English (en)
Other versions
KR101699620B1 (ko
Inventor
도모야 오오리
다케히로 곤도
나오야 가네다
에이이치 소다
Original Assignee
가부시끼가이샤 도시바
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 가부시끼가이샤 도시바 filed Critical 가부시끼가이샤 도시바
Publication of KR20160126835A publication Critical patent/KR20160126835A/ko
Application granted granted Critical
Publication of KR101699620B1 publication Critical patent/KR101699620B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • G03F7/32Liquid compositions therefor, e.g. developers
    • G03F7/325Non-aqueous compositions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0035Multiple processes, e.g. applying a further resist layer on an already in a previously step, processed pattern or textured surface
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0042Photosensitive materials with inorganic or organometallic light-sensitive compounds not otherwise provided for, e.g. inorganic resists
    • G03F7/0043Chalcogenides; Silicon, germanium, arsenic or derivatives thereof; Metals, oxides or alloys thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/075Silicon-containing compounds
    • G03F7/0757Macromolecular compounds containing Si-O, Si-C or Si-N bonds
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/091Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers characterised by antireflection means or light filtering or absorbing means, e.g. anti-halation, contrast enhancement
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/094Multilayer resist systems, e.g. planarising layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Architecture (AREA)
  • Structural Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Drying Of Semiconductors (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Toxicology (AREA)
  • Health & Medical Sciences (AREA)
  • Materials For Photolithography (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

일 실시 형태에 따르면, 먼저, 피가공막 상에 제1 감방사선성 조성물로부터 이루어지는 제1 레지스트막을 형성한다. 계속해서, 제1 레지스트막에 노광 및 현상을 행하여 제1 레지스트 패턴(24)을 형성한다. 그 후, 제1 레지스트 패턴을 제2 감방사선성 조성물의 용제에 대하여 불용화하는 불용화 처리를 실시한다. 계속해서, 제1 레지스트 패턴 상에 제2 감방사선성 조성물로부터 이루어지는 제2 레지스트막을 형성한다. 그리고, 제2 레지스트막에 노광 및 현상을 행하여 제2 레지스트 패턴을 형성한다. 제1 감방사선성 조성물 및 제2 감방사선성 조성물 중 하나 이상은 플라즈마 에칭 시에 산소에 대한 내성을 갖는 고분자 화합물로 이루어진다.

Description

패턴 형성 방법{PATTERN FORMATION METHOD}
관련 출원에 대한 상호 참조
본 출원은 2015년 4월 23일 출원된 일본 특허 출원 제2015-088519호에 기초하고, 그 우선권의 이익을 주장하며, 그 전체 내용은 본 명세서에서 참조로 인용된다.
본 명세서에 개시된 실시 형태는 전반적으로 패턴 형성 방법에 관한 것이다.
듀얼 다마신법은, 피가공막으로 다루어지는 층간 절연막에 콘택트 홀과 트렌치 패턴을 포함하는 듀얼 다마신 패턴을 형성하고, 이 듀얼 다마신 패턴에 Cu 등의 배선 물질을 한번에 매립하는 방법이다. 통상적으로, 1회째의 리소그래피 공정과 건식 에칭 공정에 의해 피가공막에 콘택트 홀을 형성하고, 2회째의 리소그래피 공정과 건식 에칭 공정에 의해 피가공막에 트렌치 패턴을 형성한다. 또한, 최근에는, 공정 단축과 비용 삭감을 위해, 2회의 리소그래피 공정에 의해 레지스트 패턴에 단차 구조를 형성하고, 1회의 건식 에칭에 의해 듀얼 마사신 패턴을 형성하는 방법도 알려져 있다
그러나, 미세화가 진행함에 따라, 패턴 도괴 등의 결함 방지를 위해서 레지스트 막 두께가 더욱 박막화하고 있다. 그로 인해, 피가공막에의 전사를 위한 레지스트 막 두께가 부족하게 될 수 있다. 레지스트 막의 두께가 부족하면, 피가공막을 전부 가공할 수 없게 될 경우가 발생한다. 특히, 트렌치 패턴의 형성을 완료할 수 없어, 배선 오픈 결함이 발생하는 경우가 있다.
통상적으로, 실시 형태에서는 피가공막에의 패턴 전사 성능을 개선시키는 패턴 형성 방법을 제공한다. 일 실시 형태에 따르면, 먼저, 피가공막 상에 제1 감방사선성 조성물(radiation sensitive composition)로부터 이루어지는 제1 레지스트막을 형성한다. 계속하여, 상기 제1 레지스트막을 노광 및 현상하여, 제1 레지스트 패턴을 형성한다. 그 후, 상기 제1 레지스트 패턴을 제2 감방사선성 조성물의 용제에 대하여 불용화하는 불용화 처리를 실시한다. 계속해서, 상기 제1 레지스트 패턴 상에 상기 제2 감방사선성 조성물로부터 이루어지는 제2 레지스트막을 형성한다. 그리고, 상기 제2 레지스트막을 노광 및 현상하여, 제2 레지스트 패턴을 형성한다. 상기 제1 감방사선성 조성물 및 상기 제2 감방사선성 조성물 중 하나 이상은 플라즈마 에칭 시에 존재하는 산소에 대한 내성을 갖는 고분자 화합물로 이루어진다. 그 결과, 홀 패턴과, 그 상기 홀 패턴에 접속되는 트렌치 패턴을 피처리물 내에 높은 수율로 형성하는 것이 가능하다. 또한, 상기 방법은 피처리물의 에칭에 충분한 두께를 가지는 레지스트 패턴을 포함한다. 그 결과, 배선 개방 결함의 발생을 방지하면서 층간 절연막 내에 트렌치 패턴을 형성하는 것도 가능하다.
도 1a 내지 1j는 제1 실시 형태에 의한 패턴 형성 방법의 수순의 일례를 모식적으로 도시하는 단면도.
도 2a 내지 2g는 제2 실시 형태에 의한 패턴 형성 방법의 수순 일례를 모식적으로 도시하는 단면도.
이하에 첨부 도면을 참조하여, 패턴 형성 방법의 예시적인 실시 형태를 상세하게 설명한다. 이들 실시 형태에 본 발명이 한정되는 것은 아니다. 이하의 실시 형태에서 사용되는 반도체 장치의 단면도는 모식적인 것이어서, 각 층의 두께와 폭의 관계 및/또는 각 층의 두께 비율은 실제 상태와는 상이할 경우가 있다. 또한, 이하에서 나타내는 막 두께는 단지 예시일 뿐이며, 이것에 한정되는 것은 아니다.
(제1 실시 형태)
도 1a 내지 도 1j는 제1 실시 형태에 의한 패턴 형성 방법의 수순의 일례를 모식적으로 도시하는 단면도이다. 이 패턴 형성 방법은, 반도체 장치 내에 콘택트와, 이 콘택트에 접속되는 배선을 듀얼 다마신법을 사용하여 형성하는 방법에 대해 설명한다.
먼저, 도 1a에 도시된 바와 같이, 배선층(10) 상에 층간 절연막(21), 제1 마스크 막(22) 및 제2 마스크 막(23)을 형성한다. 배선층(10)은, 예를 들어 층간 절연막(11)과, 내부에 형성된 배선 패턴(12)으로 이루어지며, (도시하지 않은) 기판 상에 형성된다.
층간 절연막(21)은, 피가공막으로 다루어지며, 배선 패턴(12)에 접속되는 콘택트와, 이 콘택트에 접속되는 배선 패턴이 매립된다. 층간 절연막(21)으로서, 예를 들어 테트라에톡시실란(TEOS)막 또는 SiO2막을 사용할 수 있다. 이 막의 두께는, 예를 들어 200nm로 할 수 있다.
제1 마스크 막(22)은 층간 절연막(21)을 에칭에 의해 가공할 때에 마스크로서 사용된다. 제1 마스크 막(22)으로서, 예를 들어 SoC(Spin on Carbon)막 등의 유기계 막을 사용할 수 있다. 이 막의 두께는, 예를 들어 200nm로 할 수 있다.
제2 마스크 막(23)은 제1 마스크 막(22)과 층간 절연막(21)을 에칭에 의해 가공할 때에 마스크로서 사용된다. 제2 마스크 막(23)으로서, 예를 들어 SoG(Spin on Glass)막 등의 무기계 막을 사용할 수 있다. 이 막의 두께는, 예를 들어 50nm으로 할 수 있다.
계속해서, 도 1b에 도시된 바와 같이, 제2 마스크 막(23) 상에 제1 레지스트막을 형성한다. 제1 레지스트막은, 제1 감방사선성 조성물을, 예를 들어 도포법 등을 이용하여 도포함으로써 형성될 수 있다. 이 막은 두께, 예를 들어 200nm로 할 수 있다. 제1 감방사선성 조성물은, 통상의 리소그래피 공정에서 사용되는 네가티브형의 레지스트로 이루어질 수 있다. 또한, 제1 감방사선성 조성물은, 현상 시에 현상액으로서 유기 용매가 사용되는 것이다. 또한, 제1 감방사선성 조성물은, 경화시켰을 때에, 후술하는 제2 감방사선성 조성물의 용제에 대하여 불용화하는 조성인 것이 바람직하다.
그 후, 노광 기술과 현상 기술을 이용하여 제1 레지스트막을 패터닝해서 제1 레지스트 패턴(24)을 형성한다. 본 예에서는, 콘택트 홀의 패턴(이하, 홀 패턴이라고 한다)(24a)이 형성된다. 구체적으로는, 노광 기술을 이용하여 제1 레지스트막에 잠상을 형성한다. 이러한 노광은, 예를 들어 가시광 영역 내에 파장을 가지는 전자파 등의 방사선을 사용할 수 있다. 계속해서, 유기 용매를 사용한 현상 처리를 행하여, 방사선이 조사된 남겨진 영역으로 이루어지는 패턴이 형성된다. 이를 위한 현상액은, 예를 들어 디에틸 에테르, 테트라히드로푸란 또는 아니솔 등의 에테르류, 아세톤, 메틸이소부틸케톤, 2-헵타논 또는 시클로헥사논 등의 케톤류, 또는, 아세트산부틸 또는 아세트산이소아밀 등의 에스테르류 등으로 이루어질 수 있다. 또한, 현상액은 전술한 유기 용매 중 복수의 상이한 것들을 혼합한 것으로 이루어져도 되며, 사용하는 레지스트에 대하여 최적의 것을 선택함으로써 준비된다. 현상은, 미리 정해진 시간 동안 제1 레지스트막을 현상액에 담그는 것에 의해 행하여진다. 이에 의해, 미리 정해진 직경을 가지는 홀 패턴(24a)을 포함하는 제1 레지스트 패턴(24)이 형성된다.
계속해서, 도 1c에 도시된 바와 같이, 제1 레지스트 패턴(24)을 제2 감방사선성 조성물의 용제에 대하여 불용화시켜서, 제1 레지스트 패턴(241)을 형성한다. 이러한 불용화 처리는, 열처리 또는 에너지 선의 조사 처리에 의해 예시될 수 있다. 열 처리는, 200℃에서 제1 레지스트 패턴(24)을 포함하는 기판을 미리 정해진 시간 동안 가열하는 처리에 의해 예시될 수 있다. 또한, 에너지 선의 조사 처리는, 전자선 또는 자외선 등의 에너지 선을 조사하는 처리에 의해 예시될 수 있다. 이에 의해, 경화된 상태의 제1 레지스트 패턴(241)이 얻어진다. 경화된 제1 레지스트 패턴(241)은, 후술하는 제2 감방사선성 조성물의 용제에 대하여 불용성을 나타낸다.
그 후, 도 1d에 도시된 바와 같이, 불용화된 제1 레지스트 패턴(241) 상에 제2 레지스트막을 형성한다. 제2 레지스트막은, 제2 감방사선성 조성물을 도포법 등을 사용하여 도포함으로써 형성될 수 있다. 제2 감방사선성 조성물은, 예를 들어 시클로헥사논, PGMEA(PropyleneGlycol Monomethyl Ether Acetate) 및 PGME(PropyleneGlycol Monomethyl Ether)를 포함하는 군으로부터 선택되는 하나 이상의 용제에, 플라즈마 에칭 시에 존재하는 산소에 대하여 내성을 갖는 감방사선성의 고분자 화합물을 용질로서 용해시킨 네가티브형의 레지스트이다. 플라즈마 에칭 시에 존재하는 산소에 대하여 내성을 갖는 감방사선성의 고분자 화합물은, Si 또는 금속을 중합체 주쇄에 함유한다. 금속은, 반도체 장치 내에 확산되는 경우에도 반도체 장치의 동작에 영향을 주지 않거나, 영향을 거의 주지 않는 원소인 것이 바람직하다. 이러한 금속은, Ti, W, Al, Ta, Hf, Zr 또는 Mo에 의해 예시될 수 있다. 제2 감방사선성 조성물은, 현상 시에 현상액으로서 유기 용매가 사용되는 것인 것이 바람직하다. 제2 레지스트막의 두께는, 예를 들어 200nm로 할 수 있다. 여기서, 제1 레지스트 패턴(241)은, 제2 감방사선성 조성물의 용제에 대하여 불용화되고 있으므로, 제1 레지스트 패턴(241)은 제2 레지스트막의 형성 시에 제2 감방사선성 조성물의 용제에 의해 용해되지 않는다.
계속해서, 노광 기술과 현상 기술을 사용하여 제2 레지스트막을 패터닝하여, 제2 레지스트 패턴(25)을 형성한다. 본 예에서는, 배선 패턴을 매립하기 위한 트렌치 패턴(25a)이 형성된다. 트렌치 패턴(25a)은, 제1 레지스트 패턴(241)에 형성된 홀 패턴(24a)과 접속하도록 형성된다. 트렌치 패턴(25a)은 고립 패턴일 수도 있고, 라인 앤 스페이스 패턴의 일부일 수도 있다. 트렌치 패턴(25a)이 라인 앤 스페이스 패턴의 일부로서 형성되는 경우에는, 트렌치 패턴(25a)이 미리 정해진 방향으로 연장되고, 연장 방향에 교차하는 방향으로 미리 정해진 간격으로 배치된다. 여기서, 트렌치 패턴(25a)이 라인 앤 스페이스 형상으로 형성되는 경우, 이들은 직선 패턴에 한정되지 않는다. 인출 배선, 라우팅 배선 또는 U자 형상의 배선 등의 비직선 배선이 그들의 연장 방향에 교차하는 방향으로 복수 배치되어 있는 유형도 라인 앤 스페이스 패턴으로 간주될 수 있는 형태이다. 또한, 평행하게 연장하는 라인 패턴이 패턴을 접속함으로써 서로 접속되는 경우에도, 접속하는 패턴을 제외하는 부분을 라인 패턴으로 간주할 수 있다.
구체적으로는, 노광 기술을 사용헤 의해 제2 레지스트막에 잠상을 형성한다. 이 노광은, 예를 들어 가시광 영역 내의 파장을 가지는 전자파 등의 방사선을 사용할 수 있다. 계속하여, 유기 용매를 사용한 현상 처리를 행하여, 방사선이 남겨진 부분으로 이루어지는 패턴이 형성된다. 이를 위한 현상액은, 예를 들어 디에틸 에테르, 테트라히드로푸란 또는 아니솔 등의 에테르류, 아세톤, 메틸이소부틸케톤, 2-헵타논 또는 시클로헥사논 등의 케톤류, 또는, 아세트산부틸 또는 아세트산이소아밀 등의 에스테르류로 이루어질 수 있다. 또한, 현상액은 전술한 유기 용매 중 복수의 상이한 것을 혼합한 것이어도 되고, 사용하는 레지스트에 대하여 최적의 것을 선택함으로서 준비된다. 현상은, 미리 정해진 시간동안 제2 레지스트막을 현상액에 담그는 것에 의해 행하여진다. 이에 의해, 트렌치 패턴(25a)을 포함하는 제2 레지스트 패턴(25)이 형성된다.
전술한 처리의 결과로서, 제2 마스크 막(23) 상에는, 레지스트 패턴이, 홀 패턴(24a)이 형성된 제1 레지스트 패턴(241)과, 홀 패턴(24a) 상에 배치된 트렌치 패턴(25a)을 포함하는 제2 레지스트 패턴(25)으로 이루어지는 단차 구조를 갖도록 레지스트 패턴이 형성된다. 그 후, 이 단차 구조를 갖는 레지스트 패턴을 마스크로 하여, 건식 에칭을 이용하여 피가공막이 가공된다. 이하에, 이어지는 공정에 대하여 상세하게 설명한다.
도 1e에 도시된 바와 같이, 제1 레지스트 패턴(241)을 마스크로 하여, 플루오로카본계 가스를 주성분으로 포함하는 가스를 사용한 플라즈마 에칭을 행하여, 제2 마스크 막(23)을 가공한다. 플라즈마 에칭은 RIE(Reactive Ion Etching)법 등에 의해 예시될 수 있다. 이에 의해, 제1 레지스트 패턴(241)의 홀 패턴(24a)이 제2 마스크 막(23)에 전사된다. 여기서, 홀 패턴(23a)이 제2 마스크 막(23) 상으로의 전사에 의해서 형성되는 동안에, 제1 레지스트 패턴(241)상에 제2 레지스트 패턴(25)의 트렌치 패턴(25a)이 거의 전사되지 않는다. 이것은, 제1 레지스트 패턴(241)과 제2 레지스트 패턴(25) 사이의 조성 차이에 의한 것이며, 플루오로카본계 가스를 사용한 에칭 동안에, 제2 레지스트 패턴(25)보다 제1 레지스트 패턴(241)이 에칭되기 어렵다.
계속하여, 도 1f에 도시된 바와 같이, 제2 마스크 막(23)을 마스크로 하여 산소를 주성분으로 포함하는 가스를 사용한 플라즈마 에칭을 행하여, 제1 마스크 막(22) 상으로의 전사에 의해 홀 패턴(22a)이 형성된다. 이때, 제2 레지스트 패턴(25)은 중합체 주쇄 내에 Si 또는 금속을 함유하기 때문에, 산소를 주성분으로 포함하는 가스에 대한 에칭 내성이 더 높다. 따라서, 제2 레지스트 패턴(25)의 트렌치의 바닥에 노출된 영역의 제1 레지스트 패턴(241)의 일부가 제2 레지스트 패턴(25)보다 빠르게 가공되어, 제거된다. 즉, 제2 레지스트 패턴(25)을 마스크로 해서 플라즈마 에칭이 행하여져, 제1 레지스트 패턴(241) 상으로의 전사에 의해 트렌치 패턴(24b)이 형성된다. 그 결과, 홀 패턴(22a)을 포함하는 제1 마스크 막(22) 상에, 홀 패턴(23a)을 포함하는 제2 마스크 막(23), 트렌치 패턴(24b, 25a)을 각각 포함하는 제1 레지스트 패턴(241) 및 제2 레지스트 패턴(25)이 배치되는 구조가 얻어진다.
그 후, 도 1g에 도시된 바와 같이, 트렌치 패턴(24b, 25a)을 각각 포함하는 제1 레지스트 패턴(241) 및 제2 레지스트 패턴(25)을 마스크로 하여, 플루오로카본계 가스를 주성분으로 포함하는 가스를 사용한 플라즈마 에칭을 행하여, 제2 마스크 막(23) 상에의 전사에 의해 트렌치 패턴(23b)을 형성한다. 이에 의해, 홀 패턴(22a)을 포함하는 제1 마스크 막(22) 상에, 트렌치 패턴(23b)이 형성된 제2 마스크 막(23)과, 트렌치 패턴(24b)을 포함하는 제1 레지스트 패턴(241)이 배치되는 구조가 얻어진다. 또한, 제2 마스크 막(23) 상에의 전사에 의해 트렌치 패턴(23b)이 형성되는 때에, 제1 마스크 막(22)을 마스크로 해서 피가공막으로 다루어지는 층간 절연막(21)이 에칭된다. 즉, 층간 절연막(21) 상에의 전사에 의해 홀 패턴(21a) 또한 형성된다. 단, 이 전사는, 제2 마스크 막(23)이 가공되는 동안의 기간에만 행하여지므로, 층간 절연막(21)을 두께의 중간까지만 에칭하는 하프 에칭이 된다.
계속해서, 도 1h에 도시된 바와 같이, 트렌치 패턴(23b)을 포함하는 제2 마스크 막(23)을 마스크로 하여, 산소를 주성분으로 포함하는 가스를 사용한 플라즈마 에칭을 행하여, 제1 마스크 막(22) 상에의 전사에 의해 트렌치 패턴(22b)이 형성된다. 이때, 제1 레지스트 패턴(241) 및 제2 레지스트 패턴(25)은 제1 마스크 막(22)의 가공과 함께 제거된다. 그 결과, 하프 에칭에 의해 형성된 홀 패턴(21a)을 포함하는 층간 절연막(21) 상에, 트렌치 패턴(22b, 23b)이 각각 형성된 제1 마스크 막(22) 및 제2 마스크 막(23)이 배치되는 구조가 얻어진다.
그 후, 도 1i에 도시된 바와 같이, 트렌치 패턴(22b, 23b)을 각각 포함하는 제1 마스크 막(22) 및 제2 마스크 막(23)을 마스크로 하여, 플루오로카본계 가스를 주성분으로 포함하는 가스를 사용한 플라즈마 에칭을 행하여, 층간 절연막(21) 상에의 전사에 의해 트렌치 패턴(21b)이 형성된다. 이때, 미리 형성되어 있는 홀 패턴(21a)은, 트렌치 패턴(21b)의 형성과 동시에 가공되어, 트렌치 패턴(21b)보다 먼저 층간 절연막(21)의 하면에 도달한다. 홀 패턴(21a)의 기판 도달과 동시에 플라즈마 에칭을 종료함으로써, 홀 패턴(21a)은 콘택트 홀이 되고, 트렌치 패턴(21b)은 트렌치가 된다.
계속하여, 도 1j에 도시된 바와 같이, PVD(Physical Vapor Deposition)법 또는 CVD(Chemical Vapor Deposition)법을 사용하여, 층간 절연막(21) 상에 Cu 등의 도전성 재료로 이루어지는 (도시하지 않은) 시드막을 콘포멀한 상태(conformal state)로 형성한다. 그 후, 도금법을 사용하여, 시드막 상에 Cu 등의 도전성 재료를 형성한다. 그리고, CMP(Chemical Mechanical Polishing)법을 사용하여 층간 절연막(21)의 상면 위에 존재하는 도전성 재료막의 일부를 제거한다. 이에 의해, 콘택트 홀(21a) 내에 매립된 도전성 재료로부터 콘택트(31)가 형성되고, 트렌치(21b) 내에 매립된 도전성 재료로부터 배선 패턴(32)이 형성된다.
본 예에서는, 도 1g에 도시된 에칭이 제2 마스크 막(23)을 가공하는 기간에만 행해지기 때문에, 층간 절연막(21)을 그 두께의 중간까지만 에칭하는 하프 에칭에 의해 홀 패턴(21a)이 형성된다. 그러나, 이 에칭은 층간 절연막(21)을 두께 방향으로 완전히 관통할 때까지 행해도 된다.
제1 실시 형태에서는, 피가공막 상에 유기계의 제1 마스크 막(22)과 무기계의 제2 마스크 막(23)을 형성하고, 제2 마스크 막(23) 위에 홀 패턴(24a)을 포함하는 제1 레지스트 패턴(24)을 형성한다. 제1 레지스트 패턴(24)을 불용화한 후, 불용화한 제1 레지스트 패턴(241) 상에 트렌치 패턴(25a)을 포함하는 제2 레지스트 패턴(25)을 형성한다. 제2 레지스트 패턴(25)은 중합체 주쇄 내에 Si 또는 금속을 함유하는 고분자 화합물에 의해 구성된다. 그리고, 플루오로카본계 가스를 주성분으로 포함하는 가스를 사용한 플라즈마 에칭과, 산소를 주성분으로 포함하는 가스를 사용한 플라즈마 에칭을 교대로 행하였다. 이에 의해, 피가공막에 홀 패턴(21a)과, 이 홀 패턴(21a)에 접속되는 트렌치 패턴(21b)을 높은 수율로 형성할 수 있다. 또한, 제1 실시 형태에 의한 방법은 피가공막을 에칭하기에 충분한 두께의 제2 레지스트 패턴(25)을 포함한다. 그로 인해, 배선 개방 결함의 발생을 억제하면서 트렌치 패턴을 층간 절연막(21)에 형성할 수 있다.
(제2 실시 형태)
제1 실시 형태에서는, 마스크 막 상에 제1 레지스트 패턴과 제2 레지스트 패턴을 적층시켜서 패턴 형성을 행하고 있다. 그리고, 제1 레지스트 패턴은 Si 및 금속을 중합체 주쇄 내에 포함하지 않는 제1 감방사선성 조성물로 이루어지고, 제2 레지스트 패턴은 Si 또는 금속을 중합체 주쇄 내에 포함하는 제2 감방사선성 조성물로 이루어진다. 제2 실시 형태에서는, 제1 레지스트 패턴이 Si 또는 금속을 중합체 주쇄 내에 포함하는 제2 감방사선성 조성물로 이루어지고, 제2 레지스트 패턴이 Si 및 금속을 중합체 주쇄 내에 포함하지 않는 제1 감방사선성 조성물로 이루어지는 경우에 대해서 설명한다.
도 2a 내지 도 2g는 제2 실시 형태에 의한 패턴 형성 방법의 수순의 일례를 모식적으로 도시하는 단면도이다. 이 패턴 형성 방법은, 반도체 장치의 콘택트와, 이 콘택트에 접속되는 배선을 듀얼 다마신법을 사용하여 형성하는 방법에 대해서 설명한다.
먼저, 도 2a에 도시된 바와 같이, 배선층(10) 상에 층간 절연막(21) 및 반사 방지막(51)을 형성한다. 배선층(10)과 층간 절연막(21)은 제1 실시 형태에서 설명한 것과 마찬가지이다. 층간 절연막(21)의 두께는, 예를 들어 200nm으로 할 수 있다. 반사 방지막(51)은 흡광성 물질과 감방사선성의 고분자 화합물을 포함하는 재료에 의해 구성되고, 층간 절연막(21)을 가공에 대한 마스크로도 기능한다. 반사 방지막(51)의 두께는, 예를 들어 90nm으로 할 수 있다.
계속하여, 반사 방지막(51) 상에 제1 레지스트막을 형성한다. 제1 레지스트막은 제1 실시 형태에서 설명한 제2 감방사선성 조성물을 도포법 등을 사용하여 도포함으로써 형성될 수 있다. 제2 감방사선성 조성물은 플라즈마 에칭 시에 존재하는 산소에 대하여 내성을 갖는 감방사선성의 고분자 화합물을 포함하는 네가티브형의 레지스트이다. 플라즈마 에칭 시에 존재하는 산소에 대하여 내성을 갖는 감방사선성의 고분자 화합물은, Si 또는 금속을 중합체 주쇄 내에 함유한다. 금속은, Ti, W, Al, Ta, Hf, Zr 또는 Mo에 의해 예시된다. 제2 감방사선성 조성물은 현상 시에 유기 용매가 이용되는 것이 바람직하다. 제1 레지스트막의 두께는 200nm로 할 수 있다.
그 후, 노광 기술과 현상 기술을 사용하여 제1 레지스트막을 패터닝하여, 제1 레지스트 패턴(52)을 형성한다. 본 예에서는, 홀 패턴(52a)이 형성된다. 구체적으로는, 노광 기술을 사용하여 제1 레지스트막에 잠상을 형성한다. 이 노광은, 예를 들어 가시광 영역 내의 파장을 가지는 전자파 등의 방사선을 사용할 수 있다. 계속하여, 유기 용매를 사용한 현상을 행하여, 방사선이 조사된 남은 부분으로 이루어지는 패턴이 형성된다. 이를 위한 현상액은, 예를 들어 디에틸 에테르, 테트라히드로푸란, 아니솔 등의 에테르류, 아세톤, 메틸이소부틸케톤, 2-헵타논, 시클로헥사논 등의 케톤류, 또는, 아세트산부틸, 아세트산이소아밀 등의 에스테르류로 이루어질 수 있다. 또한, 현상액은, 전술한 유기 용매 중에 복수의 상이한 것을 혼합한 것으로 이루어져도 되고, 사용하는 레지스트에 대하여 최적의 것을 선택하여 준비된다. 현상은 미지 정해진 시간 동안에 제1 레지스트막을 현상액에 담그는 것에 의해 행하여진다. 이에 의해, 미리 정해진 직경의 홀 패턴(52a)을 포함하는 제1 레지스트 패턴(52)이 형성된다.
계속하여, 도 2b에 도시된 바와 같이, 제1 레지스트 패턴(52)을 제1 감방사선성 조성물의 용제에 대하여 불용화시켜, 제1 레지스트 패턴(521)을 형성한다. 이러한 불용화 처리는 제1 실시 형태와 마찬가지로 열처리 또는 에너지 선의 조사 처리로 예시될 수 있다.
그 후, 도 2c에 도시된 바와 같이, 불용화된 제1 레지스트 패턴(521) 상에 제2 레지스트막을 형성한다. 제2 레지스트막은, 제1 실시 형태에서 설명한 제1 감방사선성 조성물을 도포법 등을 사용하여 도포함으로써 형성될 수 있다. 제1 감방사선성 조성물은, 예를 들어 시클로헥사논, PGMEA 및 PGME로 이루어지는 군으로부터 선택되는 하나 이상의 용제에 감방사선성의 고분자 화합물을 용질로서 용해시킨 네가티브형의 레지스트이다. 제1 감방사선성 조성물도 현상 시에 유기 용매가 사용되는 것인 것이 바람직하다. 제2 레지스트막의 두께는, 200nm로 할 수 있다.
계속하여, 노광 기술과 현상 기술을 사용하여, 제2 레지스트막을 패터닝하여, 제2 레지스트 패턴(53)을 형성한다. 본 예에서는, 배선 패턴을 매립하기 위한 트렌치 패턴(53a)이 형성된다. 트렌치 패턴(53a)은, 제1 레지스트 패턴(521)에 형성된 홀 패턴(52a)과 접속하도록 형성된다. 트렌치 패턴(53a)은 고립된 패턴이어도 되고, 라인 앤 스페이스 패턴의 일부이어도 된다.
전술한 처리의 결과로서, 반사 방지막(51) 상에는, 홀 패턴(52a)이 형성된 제1 레지스트 패턴(521)과, 홀 패턴(52a) 위에 배치된 트렌치 패턴(53a)을 포함하는 제2 레지스트 패턴(53)으로 이루어지는 단차 구조를 갖는 레지스트 패턴이 형성된다. 그 후, 이 단차 구조를 갖는 레지스트 패턴을 마스크로 하여, 건식 에칭을 사용하여 피가공막이 가공된다. 이하에, 후속 단계를 상세하게 설명한다.
그 후, 도 2d에 도시된 바와 같이, 제1 레지스트 패턴(521)을 마스크로 하고, 산소를 주성분으로 포함하는 가스를 사용한 플라즈마 에칭을 행하여, 반사 방지막(51)에의 전사에 의해 홀 패턴(51a)을 형성한다. 여기에서는, 제2 레지스트 패턴(53)의 트렌치의 바닥에 노출된 제1 레지스트 패턴(521)의 일부가 제거된다.
계속하여, 도 2e에 도시된 바와 같이, 홀 패턴(51a)이 형성된 반사 방지막(51)을 마스크로 하여, 플루오로카본계 가스를 주성분으로 포함하는 가스를 사용한 플라즈마 에칭을 행하여, 피가공막으로 다루어지는 층간 절연막(21)에 전사에 의해 홀 패턴(21a)을 형성한다. 이때, 제1 레지스트 패턴(521)은 중합체 주쇄 내에 Si 또는 금속을 함유하기 때문에, 플루오로카본계 가스를 주성분으로 포함하는 가스에 대한 내성이, 제2 레지스트 패턴(53)에 비해서 낮다. 그로 인해, 제2 레지스트 패턴(53)의 트렌치의 바닥에 노출된 제1 레지스트 패턴(521)의 일부가, 제2 레지스트 패턴(53)보다도 빠르게 가공되어 제거된다. 즉, 제1 레지스트 패턴(521)에 전사에 의해 트렌치 패턴(52b)이 형성된다. 또한, 제2 레지스트 패턴(53)의 트렌치의 바닥에 노출된 제1 레지스트 패턴(521)이 제거되는 타이밍에 에칭을 멈춤으로써, 층간 절연막(21)은 하프 에칭 상태에서 남는다. 그 결과, 전사에 의해 형성된 홀 패턴(21a)을 포함하는 층간 절연막(21) 상에, 홀 패턴(51a)을 포함하는 반사 방지막(51)과, 트렌치 패턴(52b, 53b)을 각각 포함하는 제1 레지스트 패턴(521) 및 제2 레지스트 패턴(53)이 배치된 구조가 얻어진다.
그 후, 도 2f에 도시된 바와 같이, 트렌치 패턴(52b, 53b)을 각각 포함하는 제1 레지스트 패턴(521)과 제2 레지스트 패턴(53)을 마스크로 하여, 산소를 주성분으로 포함하는 가스를 사용한 플라즈마 에칭을 행하여, 트렌치 패턴(51b)을 반사 방지막(51)에 전사에 의해 형성한다. 그 결과, 하프 에칭에 의해 형성된 홀 패턴(21a)을 포함하는 층간 절연막(21) 상에, 트렌치 패턴(51b)이 형성된 반사 방지막(51) 및 트렌치 패턴(52b)이 형성된 제1 레지스트 패턴(521)이 배치된 구조가 얻어진다. 이때, 제2 레지스트 패턴(53)은, 반사 방지막(51) 내에 홀 패턴(51a) 및 트렌치 패턴(51b)을 형성하기 위한 전사 공정때문에 이미 소비되어 소멸되었다.
계속해서, 도 2g에 도시된 바와 같이, 트렌치 패턴(52b)을 포함하는 제1 레지스트 패턴(521) 및 트렌치 패턴(51b)을 포함하는 반사 방지막(51)을 마스크로 하여, 플루오로카본계 가스를 주성분으로 포함하는 가스를 사용한 플라즈마 에칭을 행하여, 트렌치 패턴(21b)을 층간 절연막(21) 상에 전사에 의해 형성한다. 이때, 미리 형성되어 있는 홀 패턴(21a)은 트렌치 패턴(21b)의 형성과 동시에 가공이 진행하여, 트렌치 패턴(21b)보다 먼저 층간 절연막(21)의 하면에 도달한다. 홀 패턴(21a)이 기판에 도달하는 시점에 플라즈마 에칭을 종료함으로써, 홀 패턴(21a)은 콘택트 홀이 되고, 트렌치 패턴(21b)은 트렌치가 된다. 여기서, 제1 레지스트 패턴(521)은 중합체 주쇄 내에 Si 또는 금속을 함유하기 때문에, 플루오로카본계 가스에 대한 에칭 내성이 낮다. 그로 인해, 이러한 패턴은, 층간 절연막(21)의 가공 중에 제거된다.
그 후, 산소를 주성분으로 포함하는 가스를 사용한 플라즈마에 반사 방지막(51)을 노출시키는 것에 의해, 반사 방지막(51)을 제거한다. 그리고, 제1 실시 형태의 도 1j에 나타낸 처리가 행하여져, 콘택트 홀(21a) 내에 매립된 도전성 재료로부터 콘택트(31)이 형성되고, 트렌치(21b) 내에 매립된 도전성 재료로부터 배선 패턴(32)이 형성된다.
제2 실시 형태에서도, 제1 실시 형태와 마찬가지의 효과를 제공한다.
상기의 실시 형태에서는, 제1 레지스트 패턴(24, 52)과 제2 레지스트 패턴(25, 53) 중 어느 한 쪽이 Si 및 금속을 중합체 주쇄 내에 포함하지 않는 제1 감방사선성 조성물로 이루어지고, 다른 쪽이 Si 또는 금속을 중합체 주쇄 내에 포함하는 제2 감방사선성 조성물로 이루어지는 경우에 대하여 설명했다. 그러나, 제1 레지스트 패턴(24, 52)과 제2 레지스트 패턴(25, 53)의 양쪽 모두가 Si 또는 금속을 중합체 주쇄 내에 포함하는 감방사선성 조성물로 이루어져도 된다. 이 경우에는, 제1 레지스트 패턴(24, 52)과 제2 레지스트 패턴(25, 53)이 Si 또는 금속의 농도(함유량)가 서로 상이하도록 설정하면 된다. Si 또는 금속의 농도를, 제2 레지스트 패턴(25, 53)이 제1 레지스트 패턴(24, 52)보다 크게 한 경우에는, 제1 실시 형태와 마찬가지의 패턴 형성 방법을 적용할 수 있다. 또한, Si 또는 금속의 농도를, 제1 레지스트 패턴(24, 52)이 제2 레지스트 패턴(25, 53)보다 크게 한 경우에는, 제2 실시 형태와 마찬가지의 패턴 형성 방법을 적용할 수 있다.
또한, 상기의 패턴 형성 방법은, NAND형 플래시 메모리 등의 불휘발성 반도체 기억 장치, 또는 ReRAM 등의 불휘발성 기억 장치에서, 콘택트 또는 비아와 배선을 형성할 때에 사용할 수 있다.
몇 가지의 실시 형태를 설명했지만, 이들 실시 형태는 단지 예로서 제시한 것이며, 본 발명의 범위를 한정하는 것은 의도하지 않고 있다. 이들 신규의 실시 형태는, 기타의 다양한 형태로 실시되는 것이 가능하고, 또한, 본 발명의 요지를 일탈하지 않는 범위에서, 본 명세세에서 설명한 실시 형태의 형태에 대한 다양한 생략, 치환, 변경을 행할 수 있다. 이하의 청구범위 및 그 균등물은 본 발명의 범주 및 사상에 속하는 형태 또는 변경을 포함하는 것으로 의도된다.

Claims (20)

  1. 패턴 형성 방법으로서,
    피가공막 상에 제1 감방사선성 조성물(radiation sensitive composition)로부터 이루어지는 제1 레지스트막을 형성하는 단계와,
    상기 제1 레지스트막에 대하여 노광 및 현상을 행하여 제1 레지스트 패턴을 형성하는 단계와,
    상기 제1 레지스트 패턴을 제2 감방사선성 조성물의 용제에 대하여 불용화하는 불용화 처리를 행하는 단계와,
    상기 제1 레지스트 패턴 상에 상기 제2 감방사선성 조성물로부터 이루어지는 제2 레지스트막을 형성하는 단계와,
    상기 제2 레지스트막에 대하여 노광 및 현상을 행하여 제2 레지스트 패턴을 형성하는 단계를 포함하고,
    상기 제1 감방사선성 조성물 및 상기 제2 감방사선성 조성물 중 하나 이상은 플라즈마 에칭 시에 존재하는 산소에 대한 내성을 갖는 고분자 화합물로 이루어지는 패턴 형성 방법.
  2. 제1항에 있어서,
    플라즈마 에칭 시에 존재하는 산소에 대한 내성을 갖는 상기 고분자 화합물은, 중합체 주쇄 내에 Si 또는 금속을 포함하는 패턴 형성 방법.
  3. 제2항에 있어서,
    상기 금속은, Ti, W, Al, Ta, Hf, Zr 및 Mo로 이루어지는 군으로부터 선택되는 하나 이상의 원소인 패턴 형성 방법.
  4. 제1항에 있어서,
    상기 제1 레지스트막 및 상기 제2 레지스트막의 현상에서, 상기 제1 레지스트막의 현상과 상기 제2 레지스트막의 현상은 유기 용매를 사용해서 행해지는 패턴 형성 방법.
  5. 제4항에 있어서,
    상기 유기 용매는, 디에틸 에테르, 테트라히드로푸란, 아니솔, 아세톤, 메틸이소부틸케톤, 2-헵타논, 시클로헥사논, 아세트산부틸 및 아세트산이소아밀 중 하나 이상을 포함하는 패턴 형성 방법.
  6. 제1항에 있어서,
    상기 불용화 처리는, 상기 제1 레지스트 패턴을 가열하는 처리 또는 상기 제1 레지스트 패턴에 에너지 선을 조사하는 처리인 패턴 형성 방법.
  7. 제6항에 있어서,
    상기 에너지 선은, 전자선 또는 UV 광인 패턴 형성 방법.
  8. 제1항에 있어서,
    상기 제2 감방사선성 조성물의 용제는, 시클로헥산, PGMEA 및 PGME로 이루어지는 군으로부터 선택되는 하나 이상의 용제인 패턴 형성 방법.
  9. 제1항에 있어서,
    상기 제1 레지스트막 및 상기 제2 레지스트막은, 네가티브형 레지스트로 이루어지는 패턴 형성 방법.
  10. 제1항에 있어서,
    상기 제1 레지스트 패턴은, 홀 패턴이 구비된 상기 제1 레지스트막으로 형성되며,
    상기 제2 레지스트 패턴은, 상기 홀 패턴에 접속되는 트렌치 패턴이 구비된 제2 레지스트막으로 형성되는 패턴 형성 방법.
  11. 제1항에 있어서,
    상기 제1 레지스트막의 형성 전에, 상기 피가공막 상에 유기계의 제1 마스크 막 및 무기계의 제2 마스크 막을 형성하는 단계와,
    상기 제2 레지스트 패턴의 형성 후에, 플라즈마 에칭에 의해 홀 패턴과, 상기 홀 패턴에 접속되는 트렌치 패턴을 상기 피가공막에 형성하는 단계를 더 포함하고,
    상기 홀 패턴과 상기 트렌치 패턴의 형성에서는, 플루오로카본계 가스를 주성분으로 포함하는 가스를 사용한 플라즈마 에칭과, 산소를 주성분으로 포함하는 가스를 사용한 플라즈마 에칭이 교대로 행해지는 패턴 형성 방법.
  12. 제11항에 있어서,
    상기 제2 감방사선성 조성물이 플라즈마 에칭 시에 존재하는 산소에 대한 내성을 갖는 고분자 화합물로 이루어지거나, 혹은 상기 제2 감방사선성 조성물이 플라즈마 에칭 시에 존재하는 산소에 대한 내성이 상기 제1 감방사선성 조성물에 비해서 높은 패턴 형성 방법.
  13. 제11항에 있어서,
    상기 홀 패턴과 상기 트렌치 패턴을 형성하는 단계는,
    상기 플루오로카본계 가스를 주성분으로 포함하는 가스를 사용한 플라즈마 에칭을 행함으로써, 상기 제1 레지스트 패턴을 마스크로 하여 상기 제2 마스크 막에 상기 홀 패턴을 전사하는 단계와,
    상기 산소를 주성분으로 포함하는 가스를 사용한 플라즈마 에칭을 행함으로써, 상기 제2 마스크 막을 마스크로 하여 상기 제1 마스크 막에 상기 홀 패턴을 전사하고, 상기 제2 레지스트 패턴을 마스크로 하여 상기 제1 레지스트 패턴에 상기 트렌치 패턴을 전사하는 단계와,
    상기 플루오로카본계 가스를 주성분으로 포함하는 가스를 사용한 플라즈마 에칭을 행함으로써, 상기 제1 레지스트 패턴을 마스크로 하여 상기 제2 마스크 막에 상기 트렌치 패턴을 전사하고, 상기 제1 마스크 막을 마스크로 하여 상기 피가공막에 상기 홀 패턴을 전사하는 단계와,
    상기 산소를 주성분으로 포함하는 가스를 사용한 플라즈마 에칭을 행함으로써, 상기 제2 마스크 막과 상기 제1 레지스트 패턴 양자 모두를 마스크로 하여 상기 제1 마스크 막에 상기 트렌치 패턴을 전사하는 단계와,
    상기 플루오로카본계 가스를 주성분으로 포함하는 가스를 사용한 플라즈마 에칭을 행함으로써, 상기 제1 마스크 막을 마스크로 하여 상기 피가공막에 상기 트렌치 패턴을 전사하는 단계를 포함하는 패턴 형성 방법.
  14. 제13항에 있어서,
    상기 피가공막에 상기 홀 패턴을 전사하는 단계에서는, 상기 제2 마스크 막에의 상기 트렌치 패턴의 전사가 종료한 시점에 상기 플루오로카본계 가스를 주성분으로 포함하는 가스를 사용한 플라즈마 에칭이 종료되는 패턴 형성 방법.
  15. 제13항에 있어서,
    상기 피가공막에 상기 홀 패턴을 전사하는 단계에서는, 상기 피가공막의 하면에 상기 홀 패턴이 도달한 시점에 상기 플루오로카본계 가스를 주성분으로 포함하는 가스를 사용한 플라즈마 에칭이 종료되는 패턴 형성 방법.
  16. 제14항에 있어서,
    상기 피가공막에 상기 트렌치 패턴을 전사하는 단계에서는, 상기 피가공막의 하면에 상기 홀 패턴이 도달한 시점에 상기 플루오로카본계 가스를 주성분으로 포함하는 가스를 사용한 플라즈마 에칭이 종료되는 패턴 형성 방법.
  17. 제1항에 있어서,
    상기 제1 레지스트막의 형성 전에, 상기 피가공막 상에 유기계의 마스크 막을 형성하는 단계와,
    상기 제2 레지스트 패턴의 형성 후에, 플라즈마 에칭에 의해 상기 피가공막에 홀 패턴과, 상기 홀 패턴에 접속되는 트렌치 패턴을 형성하는 단계를 더 포함하고,
    상기 홀 패턴과 상기 트렌치 패턴의 형성에서는, 플루오로카본계 가스를 주성분으로 포함하는 가스를 사용한 플라즈마 에칭과 산소를 주성분으로 포함하는 가스를 사용한 플라즈마 에칭이 교대로 행해지는 패턴 형성 방법.
  18. 제17항에 있어서,
    상기 제1 감방사선성 조성물이 플라즈마 에칭 시에 존재하는 산소에 대한 내성을 갖는 고분자 화합물로 이루어지거나, 혹은 상기 제1 감방사선성 조성물이, 플라즈마 에칭 시에 존재하는 산소에 대한 내성이 상기 제2 감방사선성 조성물에 비해서 높은 패턴 형성 방법.
  19. 제18항에 있어서,
    상기 홀 패턴과 상기 트렌치 패턴을 형성하는 단계는,
    상기 산소를 주성분으로 포함하는 가스를 사용한 플라즈마 에칭을 행함으로써, 상기 제1 레지스트 패턴을 마스크로 하여 상기 마스크 막에 상기 홀 패턴을 전사하는 단계와,
    상기 플루오로카본계 가스를 주성분으로 포함하는 가스를 사용한 플라즈마 에칭을 행함으로써, 상기 제2 레지스트 패턴을 마스크로 하여 상기 제1 레지스트 패턴에 상기 트렌치 패턴을 전사하고, 상기 마스크 막을 마스크로 하여 상기 피가공막에 상기 홀 패턴을 전사하는 단계와,
    상기 산소를 주성분으로 포함하는 가스를 사용한 플라즈마 에칭을 행함으로써, 상기 제2 레지스트 패턴 및 상기 제1 레지스트 패턴 양자 모두를 마스크로 하여 상기 마스크 막에 상기 트렌치 패턴을 전사하는 단계와,
    상기 플루오로카본계 가스를 주성분으로 포함하는 가스를 사용한 플라즈마 에칭을 행함으로써, 상기 제1 레지스트 패턴과 상기 마스크 막 양자 모두를 마스크로 하여 상기 피가공막에 상기 트렌치 패턴을 전사하는 단계를 포함하는 패턴 형성 방법.
  20. 제11항에 있어서,
    상기 홀 패턴 및 상기 트렌치 패턴의 형성 후에, 상기 홀 패턴 및 상기 트렌치 패턴에 도전성 재료를 매립하는 단계를 더 포함하는 패턴 형성 방법.
KR1020150120954A 2015-04-23 2015-08-27 패턴 형성 방법 KR101699620B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2015088519A JP2016206449A (ja) 2015-04-23 2015-04-23 パターン形成方法
JPJP-P-2015-088519 2015-04-23

Publications (2)

Publication Number Publication Date
KR20160126835A true KR20160126835A (ko) 2016-11-02
KR101699620B1 KR101699620B1 (ko) 2017-01-24

Family

ID=57147654

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020150120954A KR101699620B1 (ko) 2015-04-23 2015-08-27 패턴 형성 방법

Country Status (5)

Country Link
US (1) US20160313644A1 (ko)
JP (1) JP2016206449A (ko)
KR (1) KR101699620B1 (ko)
CN (1) CN106066574B (ko)
TW (1) TWI581329B (ko)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI682533B (zh) * 2019-06-21 2020-01-11 華邦電子股份有限公司 記憶體裝置及其製造方法
KR102328590B1 (ko) * 2019-09-16 2021-11-17 아주대학교산학협력단 플라즈마 식각 방법
US11164845B2 (en) * 2020-01-30 2021-11-02 International Business Machines Corporation Resist structure for forming bumps

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20080004792A (ko) * 2006-07-06 2008-01-10 주식회사 하이닉스반도체 2층 포토레지스트용 중합체, 상기 중합체를 함유한포토레지스트 조성물 및 이를 이용한 포토레지스트 패턴의형성 방법
KR20100014445A (ko) * 2007-03-28 2010-02-10 제이에스알 가부시끼가이샤 포지티브형 감방사선성 조성물 및 그것을 이용한 레지스트 패턴 형성 방법
KR20110022602A (ko) * 2008-07-15 2011-03-07 제이에스알 가부시끼가이샤 포지티브형 감방사선성 조성물 및 레지스트 패턴 형성 방법
JP5444668B2 (ja) * 2008-09-10 2014-03-19 Jsr株式会社 レジストパターン形成方法

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6303270B1 (en) * 1999-03-01 2001-10-16 The Curators Of The University Of Missouri Highly plasma etch-resistant photoresist composition containing a photosensitive polymeric titania precursor
JP2003303808A (ja) * 2002-04-08 2003-10-24 Nec Electronics Corp 半導体装置の製造方法
CN1316564C (zh) * 2002-04-11 2007-05-16 联华电子股份有限公司 复合光致抗蚀剂层结构
CN1215378C (zh) * 2002-06-21 2005-08-17 旺宏电子股份有限公司 避免定位误差的双镶嵌结构制作方法
US7935477B2 (en) * 2007-11-30 2011-05-03 Taiwan Semiconductor Manufacturing Company, Ltd. Double patterning strategy for contact hole and trench
JP5158370B2 (ja) * 2008-02-14 2013-03-06 信越化学工業株式会社 ダブルパターン形成方法
JP2010188668A (ja) * 2009-02-19 2010-09-02 Jsr Corp スタンパの製造方法及びスタンパ
JP5516195B2 (ja) * 2009-08-04 2014-06-11 信越化学工業株式会社 パターン形成方法及びレジスト材料
US8647817B2 (en) * 2012-01-03 2014-02-11 Tokyo Electron Limited Vapor treatment process for pattern smoothing and inline critical dimension slimming
US8791024B1 (en) * 2013-05-14 2014-07-29 Taiwan Semiconductor Manufacturing Company, Ltd. Method to define multiple layer patterns using a single exposure
WO2016163174A1 (ja) * 2015-04-07 2016-10-13 富士フイルム株式会社 パターン形成方法、エッチング方法、及び、電子デバイスの製造方法

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20080004792A (ko) * 2006-07-06 2008-01-10 주식회사 하이닉스반도체 2층 포토레지스트용 중합체, 상기 중합체를 함유한포토레지스트 조성물 및 이를 이용한 포토레지스트 패턴의형성 방법
KR20100014445A (ko) * 2007-03-28 2010-02-10 제이에스알 가부시끼가이샤 포지티브형 감방사선성 조성물 및 그것을 이용한 레지스트 패턴 형성 방법
KR20110022602A (ko) * 2008-07-15 2011-03-07 제이에스알 가부시끼가이샤 포지티브형 감방사선성 조성물 및 레지스트 패턴 형성 방법
JP5444668B2 (ja) * 2008-09-10 2014-03-19 Jsr株式会社 レジストパターン形成方法

Also Published As

Publication number Publication date
US20160313644A1 (en) 2016-10-27
KR101699620B1 (ko) 2017-01-24
CN106066574A (zh) 2016-11-02
TW201639026A (zh) 2016-11-01
CN106066574B (zh) 2019-11-05
TWI581329B (zh) 2017-05-01
JP2016206449A (ja) 2016-12-08

Similar Documents

Publication Publication Date Title
US9159579B2 (en) Lithography using multilayer spacer for reduced spacer footing
US9368348B2 (en) Self-aligned patterning process
US8288271B2 (en) Method for reworking antireflective coating over semiconductor substrate
US8053368B2 (en) Method for removing residues from a patterned substrate
US9646845B2 (en) Method of forming a mask for substrate patterning
US9406526B2 (en) Method for patterning contact openings on a substrate
US10317801B2 (en) Method for forming photolithographic pattern
JP6370139B2 (ja) Finfet構造のドーパント注入方法
KR20070122049A (ko) 이중 노광 공정을 이용한 미세 패턴 형성방법
KR101699620B1 (ko) 패턴 형성 방법
TW201723669A (zh) 使用極紫外光微影技術之基板圖案化方法
US9543160B2 (en) Reducing defects in patterning processes
JP2012256726A (ja) レジスト膜のリワーク方法および半導体装置の製造方法ならびに基板処理システム
US9841674B2 (en) Patterning method, and template for nanoimprint and producing method thereof
US9857688B2 (en) Method of forming fine patterns
JP2009016789A (ja) 半導体素子の微細パターン形成方法
TWI623020B (zh) 具有不對齊錯誤保護之圖案化方法
JP2010027978A (ja) パターン形成方法
US7579283B2 (en) Insulating layer patterning method, insulating layer formed by the insulating layer patterning method, display device having the insulating layer
TWI817274B (zh) 多個光阻圖案的界定方法
US8119334B2 (en) Method of making a semiconductor device using negative photoresist
US20130040245A1 (en) Methods Of Processing Substrates
CN105261558A (zh) 一种半导体器件的制作方法
KR20080061445A (ko) 반도체 소자의 금속배선 형성방법

Legal Events

Date Code Title Description
A201 Request for examination
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20200107

Year of fee payment: 4