KR20160086267A - Wafer processing method - Google Patents

Wafer processing method Download PDF

Info

Publication number
KR20160086267A
KR20160086267A KR1020150183853A KR20150183853A KR20160086267A KR 20160086267 A KR20160086267 A KR 20160086267A KR 1020150183853 A KR1020150183853 A KR 1020150183853A KR 20150183853 A KR20150183853 A KR 20150183853A KR 20160086267 A KR20160086267 A KR 20160086267A
Authority
KR
South Korea
Prior art keywords
wafer
laser beam
modified layer
pulse
reforming layer
Prior art date
Application number
KR1020150183853A
Other languages
Korean (ko)
Inventor
아츠시 우에키
Original Assignee
가부시기가이샤 디스코
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 가부시기가이샤 디스코 filed Critical 가부시기가이샤 디스코
Publication of KR20160086267A publication Critical patent/KR20160086267A/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02656Special treatments
    • H01L21/02664Aftertreatments
    • H01L21/02667Crystallisation or recrystallisation of non-monocrystalline semiconductor materials, e.g. regrowth
    • H01L21/02675Crystallisation or recrystallisation of non-monocrystalline semiconductor materials, e.g. regrowth using laser beams
    • H01L21/02686Pulsed laser beam
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/268Bombardment with radiation with high-energy radiation using electromagnetic radiation, e.g. laser radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Optics & Photonics (AREA)
  • Electromagnetism (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Dicing (AREA)
  • Laser Beam Processing (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)

Abstract

The present invention provides a wafer processing method capable of suppressing damage, caused by transmitted light, to a device on the surface of a wafer when forming a reforming layer in the wafer by emitting a pulse laser beam of which wavelength is set in a range of 1300-1400 nm for a silicon wafer. The wafer processing method, processing a silicon wafer formed as multiple devices are divided on the surface by multiple division-expected lines, includes: a wavelength setting step of setting the wavelength of a pulse laser beam, having transmission to the wafer, in a ranges of 1300 nm-1400 nm; a reforming layer forming step of emitting the pulse laser beam to an area from the rear surface of the wafer to the division-expected lines by placing a light concentration point of the pulse laser beam in the wafer after the wavelength setting step, and relatively transferring a maintaining unit and a laser beam emitting unit to form a reforming layer in the wafer; and a division step of dividing the wafer along the division-expected lines from the reforming layer, used as a division starting point, by applying external force to the wafer after the reforming layer forming step. The reforming layer forming step includes: a step of forming a first reforming layer by emitting a first pulse laser beam of which energy per pulse is relatively small; and a step of forming a second reforming layer, overlapped with the first reforming layer, by emitting a second pulse laser beam of which energy per pulse is relatively large.

Description

웨이퍼의 가공 방법{WAFER PROCESSING METHOD}[0001] WAFER PROCESSING METHOD [0002]

본 발명은, 웨이퍼에 대하여 투과성을 갖는 파장의 펄스 레이저빔을 조사하여 웨이퍼 내부에 개질층을 형성한 후, 웨이퍼에 외력을 부여하여 개질층을 기점으로 웨이퍼를 복수의 디바이스 칩으로 분할하는 웨이퍼의 가공 방법에 관한 것이다.The present invention relates to a process for forming a modified layer on a wafer by irradiating a pulsed laser beam having a wavelength of transmittance to the wafer to form a modified layer on the wafer and then applying an external force to the wafer to divide the wafer into a plurality of device chips And a processing method.

IC, LSI 등의 복수의 디바이스가 분할 예정 라인에 의해 구획되어 표면에 형성된 실리콘 웨이퍼(이하, 단순히 웨이퍼라고 부르는 경우가 있음)는, 가공 장치에 의해 개개의 디바이스 칩으로 분할되고, 분할된 디바이스 칩은 휴대전화, 퍼스널 컴퓨터 등의 각종 전기기기에 널리 이용되고 있다.A silicon wafer (hereinafter, simply referred to as a wafer) formed by dividing a plurality of devices such as ICs and LSIs by a line to be divided on the surface is divided into individual device chips by a processing device, Are widely used in various electric devices such as mobile phones and personal computers.

웨이퍼의 분할에는, 다이싱 소우(dicing saw)라고 불리는 절삭 장치를 이용한 다이싱 방법이 널리 채용되고 있다. 다이싱 방법에서는, 다이아몬드 등의 지립을 금속이나 수지로 굳혀 두께 30 ㎛ 정도로 한 절삭 블레이드를, 30000 rpm 정도의 고속으로 회전시키면서 웨이퍼로 절입시킴으로써 웨이퍼를 절삭하여, 개개의 디바이스 칩으로 분할한다.A dicing method using a cutting apparatus called a dicing saw is widely used for wafer division. In the dicing method, a cutting blade, which is made of a metal or a resin and hardened with diamond or the like and has a thickness of about 30 占 퐉, is cut into a wafer while rotating at a high speed of about 30,000 rpm to cut the wafer into individual device chips.

한편, 최근에는, 웨이퍼에 대하여 투과성을 갖는 파장의 펄스 레이저빔의 집광점을 분할 예정 라인에 대응하는 웨이퍼의 내부에 위치시켜, 펄스 레이저빔을 분할 예정 라인을 따라 조사하여 웨이퍼 내부에 개질층을 형성하고, 그 후 외력을 부여하여 웨이퍼를 개개의 디바이스 칩으로 분할하는 방법이 제안되어 있다(예컨대, 일본 특허 제4402708호 공보 참조).On the other hand, recently, a light-converging point of a pulsed laser beam having a transmittance to a wafer is placed inside a wafer corresponding to a line to be divided, and a pulse laser beam is irradiated along a line to be divided, (See Japanese Patent No. 4,402,708, for example) has been proposed in which a wafer is divided into individual device chips by applying an external force thereto.

개질층이란 밀도, 굴절률, 기계적 강도나 그 밖의 물리적 특성이 주위와는 상이한 상태가 된 영역이며, 용융 재경화 영역, 굴절률 변화 영역, 절연 파괴 영역 외에, 크랙 영역이나 이들이 혼재된 영역도 포함된다.The modified layer is a region in which the density, refractive index, mechanical strength, and other physical properties are different from the surroundings, and includes a crack region or a mixed region thereof in addition to the melt hardening region, the refractive index change region, and the dielectric breakdown region.

실리콘의 광학 흡수단은, 실리콘의 밴드갭(1.1 eV)에 상당하는 광의 파장 1050 ㎚ 부근에 있고, 벌크의 실리콘에서는, 이것보다 짧은 파장의 광은 흡수되어 버린다.The optical absorption edge of silicon is near the wavelength 1050 nm of the light corresponding to the bandgap of silicon (1.1 eV), and in the case of bulk silicon, light with a shorter wavelength is absorbed.

종래의 개질층 형성 방법에서는, 광학 흡수단에 가까운 파장 1064 ㎚의 레이저를 발진하는 네오디뮴(Nd)을 도핑한 Nd:YAG 펄스 레이저가 일반적으로 사용된다(예컨대, 일본 특허 공개 제2005-95952호 공보 참조).In the conventional reforming layer forming method, an Nd: YAG pulse laser doped with neodymium (Nd) for oscillating a laser with a wavelength of 1064 nm close to the optical absorption stage is generally used (for example, Japanese Patent Application Laid-Open No. 2005-95952 Reference).

그러나, Nd:YAG 펄스 레이저의 파장 1064 ㎚가 실리콘의 광학 흡수단에 가깝기 때문에, 집광점을 사이에 둔 영역에 있어서 레이저빔의 일부가 흡수되어 충분한 개질층이 형성되지 않아, 웨이퍼를 개개의 디바이스 칩으로 분할할 수 없는 경우가 있다.However, since the wavelength of 1064 nm of the Nd: YAG pulse laser is close to the optical absorption edge of silicon, a part of the laser beam is absorbed in the region sandwiching the light-condensing point and a sufficient modified layer is not formed, It may not be possible to divide it into chips.

그래서, 본 출원인은, 파장 1300∼1400 ㎚의 범위로 설정된 예컨대 파장 1342 ㎚의 YAG 펄스 레이저를 이용하여 웨이퍼의 내부에 개질층을 형성하면, 집광점을 사이에 둔 영역에 있어서 레이저빔의 흡수가 저감되어 양호한 개질층을 형성할 수 있고, 원활하게 웨이퍼를 개개의 디바이스 칩으로 분할할 수 있는 것을 발견하였다(일본 특허 공개 제2006-108459호 공보 참조).Therefore, when the modified layer is formed inside the wafer by using, for example, a YAG pulse laser having a wavelength of 1342 nm set at a wavelength in the range of 1300 to 1400 nm, the present applicant has found that the absorption of the laser beam in the region sandwiching the light- It is possible to form a good modified layer and to divide the wafer into individual device chips smoothly (see Japanese Patent Application Laid-Open No. 2006-108459).

[특허문헌 1] 일본 특허 제4402708호 공보[Patent Document 1] Japanese Patent No. 4402708 [특허문헌 2] 일본 특허 공개 제2005-95952호 공보[Patent Document 2] JP-A-2005-95952 [특허문헌 3] 일본 특허 공개 제2006-108459호 공보[Patent Document 3] Japanese Unexamined Patent Application Publication No. 2006-108459

그런데, 분할 예정 라인을 따라 직전에 형성된 개질층에 인접하여 펄스 레이저빔의 집광점을 웨이퍼의 내부에 위치시켜 조사하고, 웨이퍼 내부에 개질층을 형성하면, 펄스 레이저빔을 조사한 면과 반대쪽 면, 즉 웨이퍼의 표면에 레이저빔이 산란되어 표면에 형성된 디바이스를 어택하여 손상시킨다고 하는 새로운 문제를 일으키는 것이 판명되었다.When the light-converging point of the pulsed laser beam is irradiated with the light-condensing point of the pulse laser beam located adjacent to the modified layer immediately before along the line to be divided, and the modified layer is formed inside the wafer, the surface opposite to the surface irradiated with the pulsed laser beam, That is, it has been found that a new problem arises that a laser beam is scattered on the surface of a wafer to attack and damage a device formed on the surface.

이 문제를 검증한 결과, 직전에 형성된 개질층으로부터 미세한 크랙이 웨이퍼의 표면측으로 전파되고, 그 크랙이 다음에 조사되는 펄스 레이저빔의 투과광을 굴절 또는 반사시켜 디바이스를 어택하는 것이라고 추찰된다.As a result of verifying this problem, it is presumed that fine cracks are propagated from the immediately formed modified layer to the surface side of the wafer, and the cracks are caused to refract or reflect the transmitted light of the pulsed laser beam to be irradiated next to attack the device.

본 발명은 이러한 점을 감안하여 이루어진 것으로, 그 목적으로 하는 바는, 실리콘 웨이퍼에 대하여 1300∼1400 ㎚의 범위로 설정된 파장의 펄스 레이저빔을 조사하여 웨이퍼 내부에 개질층을 형성할 때에, 투과광이 웨이퍼 표면의 디바이스를 손상시키는 것을 억제할 수 있는 웨이퍼의 가공 방법을 제공하는 것이다.SUMMARY OF THE INVENTION The present invention has been made in view of these points and has an object of the present invention in that when a modified layer is formed in a wafer by irradiating a pulsed laser beam having a wavelength set in a range of 1300 to 1400 nm to a silicon wafer, And it is an object of the present invention to provide a method of processing a wafer capable of suppressing damage to devices on the wafer surface.

본 발명에 따르면, 피가공물을 유지하는 유지 수단과, 상기 유지 수단에 유지된 피가공물에 대하여 투과성을 갖는 파장의 펄스 레이저빔을 조사하여 피가공물의 내부에 개질층을 형성하는 레이저빔 조사 수단과, 상기 유지 수단과 상기 레이저빔 조사 수단을 상대적으로 가공 이송하는 가공 이송 수단을 구비한 레이저 가공 장치에 의해 표면에 복수의 디바이스가 복수의 분할 예정 라인에 의해 구획되어 형성된 실리콘으로 이루어진 웨이퍼를 가공하는 웨이퍼의 가공 방법으로서, 웨이퍼에 대하여 투과성을 갖는 펄스 레이저빔의 파장을 1300 ㎚∼1400 ㎚의 범위로 설정하는 파장 설정 단계와, 상기 파장 설정 단계를 실시한 후, 웨이퍼의 내부에 펄스 레이저빔의 집광점을 위치시켜 웨이퍼의 이면으로부터 상기 분할 예정 라인에 대응하는 영역에 펄스 레이저빔을 조사하고, 상기 유지 수단과 상기 레이저빔 조사 수단을 상대적으로 가공 이송하여 웨이퍼의 내부에 개질층을 형성하는 개질층 형성 단계와, 상기 개질층 형성 단계를 실시한 후, 웨이퍼에 외력을 부여하여 상기 개질층을 분할 기점으로 웨이퍼를 상기 분할 예정 라인을 따라 분할하는 분할 단계를 포함하며, 상기 개질층 형성 단계에 있어서, 1 펄스 당의 에너지가 크랙의 형성이 억제되는 제1 값의 제1 펄스 레이저빔을 조사하여 제1 개질층을 형성하고, 상기 제1 개질층에 추종하여 1 펄스 당의 에너지가 상기 제1 값보다 큰 제2 값의 제2 펄스 레이저빔을 조사하여 상기 제1 개질층에 겹쳐 제2 개질층을 형성하는 것을 특징으로 하는 웨이퍼의 가공 방법이 제공된다.According to the present invention, there are provided a holding means for holding a workpiece, laser beam irradiating means for irradiating the workpiece held by the holding means with a pulsed laser beam having a transmittance to form a modified layer inside the workpiece, , A wafer made of silicon formed by dividing a plurality of devices on a surface by a plurality of lines to be divided by a laser processing apparatus having processing means for relatively transferring the holding means and the laser beam irradiating means A method of processing a wafer, comprising: a wavelength setting step of setting a wavelength of a pulsed laser beam having a transmittance to a wafer in a range of 1300 nm to 1400 nm; and a step of setting a wavelength of the pulse laser beam A point is set so that a pulse train is provided from the rear surface of the wafer to an area corresponding to the scheduled line to be divided A modified layer forming step of irradiating a low beam, relatively holding and transferring the holding means and the laser beam irradiating means to form a modified layer inside the wafer, and applying an external force to the wafer after performing the modified layer forming step And a dividing step of dividing the wafer along the line to be divided along the dividing line using the modified layer as a dividing point, wherein in the modifying layer forming step, the first pulse laser of the first value Irradiating the first modified layer with a beam to irradiate a second pulsed laser beam having a second value larger than the first value in energy per pulse following the first modified layer, And forming a second modified layer on the surface of the wafer.

바람직하게는, 제1 펄스 레이저빔의 1 펄스 당의 에너지(제1 값)는 1.5∼4.0 μJ이고, 제2 펄스 레이저빔의 1 펄스 당의 에너지(제2 값)는 6.5∼10 μJ이다.Preferably, the energy (first value) per pulse of the first pulse laser beam is 1.5 to 4.0 μJ, and the energy (second value) per pulse of the second pulsed laser beam is 6.5 to 10 μJ.

본 발명의 웨이퍼의 가공 방법에 따르면, 개질층 형성 단계에 있어서, 1 펄스 당의 에너지가 크랙의 형성이 억제되는 제1 값의 제1 펄스 레이저빔을 조사하여 제1 개질층을 형성하고, 상기 제1 개질층에 추종하여 1 펄스 당의 에너지가 상기 제1 값보다 큰 제2 값의 제2 펄스 레이저빔을 조사하여 상기 제1 개질층에 겹쳐 제2 개질층을 형성하기 때문에, 제1 개질층에 집광점을 겹쳐 1 펄스 당의 에너지가 비교적 큰 제2 값의 제2 펄스 레이저빔을 조사하면, 제1 개질층에 비교적 에너지가 큰 제2 펄스 레이저빔이 유도되어 미세한 크랙의 형성이 억제되고, 그 상태에서 제2 개질층이 형성된다. 따라서, 다음에 조사되는 펄스 레이저빔은 크랙의 영향을 받지 않아, 웨이퍼의 표면에 형성된 디바이스를 손상시키는 문제를 해소할 수 있다.According to the method for processing a wafer of the present invention, in the reforming layer forming step, the first pulse laser beam of the first value, in which the energy per one pulse is suppressed from forming a crack, is formed to form the first modified layer, The first modified layer is formed so as to overlap the first modified layer by irradiating a second pulsed laser beam having a second value larger in energy per pulse than the first modified value and following the first modified layer, When the second pulsed laser beam having the second value overlapped with the light-converging point and having a relatively large energy per pulse is irradiated, the second pulsed laser beam having a relatively large energy is induced in the first modified layer to suppress the formation of fine cracks, The second modified layer is formed. Therefore, the pulse laser beam to be irradiated next is not affected by the crack, and the problem of damaging the device formed on the wafer surface can be solved.

도 1은 본 발명의 웨이퍼의 가공 방법을 실시하는 데 알맞은 레이저 가공 장치의 사시도이다.
도 2는 레이저빔 발생 유닛의 블록도이다.
도 3은 실리콘 웨이퍼의 표면측 사시도이다.
도 4는 실리콘 웨이퍼의 표면측을 외주부가 환형 프레임에 접착된 다이싱 테이프에 접착하는 모습을 도시한 사시도이다.
도 5는 다이싱 테이프를 통해 환형 프레임에 지지된 실리콘 웨이퍼의 이면측 사시도이다.
도 6은 레이저빔의 광로를 도시한 도면이다.
도 7은 개질층 형성 단계를 설명한 사시도이다.
도 8은 개질층 형성 단계를 설명한 모식적 단면도이다.
도 9는 분할 장치의 사시도이다.
도 10은 분할 단계를 도시한 단면도이다.
1 is a perspective view of a laser processing apparatus suitable for carrying out the method of processing a wafer of the present invention.
2 is a block diagram of the laser beam generating unit.
3 is a front side perspective view of a silicon wafer.
4 is a perspective view showing a state in which the outer surface of the silicon wafer is bonded to the dicing tape bonded to the annular frame.
5 is a rear side perspective view of a silicon wafer supported on an annular frame through a dicing tape.
6 is a view showing an optical path of the laser beam.
7 is a perspective view illustrating the modified layer forming step.
8 is a schematic cross-sectional view illustrating the modified layer forming step.
9 is a perspective view of the dividing device.
10 is a sectional view showing the dividing step.

이하, 본 발명의 실시형태를 도면을 참조하여 상세히 설명한다. 도 1을 참조하면, 본 발명의 웨이퍼의 가공 방법을 실시하는 데 알맞은 레이저 가공 장치(2)의 개략 사시도가 도시되어 있다.BEST MODE FOR CARRYING OUT THE INVENTION Hereinafter, embodiments of the present invention will be described in detail with reference to the drawings. Referring to Fig. 1, there is shown a schematic perspective view of a laser machining apparatus 2 suitable for carrying out the method of processing a wafer of the present invention.

레이저 가공 장치(2)는, 정지 베이스(4) 상에 X축 방향으로 이동 가능하게 탑재된 제1 슬라이드 블록(6)을 포함하고 있다. 제1 슬라이드 블록(6)은, 볼나사(8) 및 펄스 모터(10)로 구성되는 가공 이송 수단(12)에 의해 한 쌍의 가이드 레일(14)을 따라 가공 이송 방향, 즉 X축 방향으로 이동된다.The laser machining apparatus 2 includes a first slide block 6 mounted on the stationary base 4 movably in the X-axis direction. The first slide block 6 is moved along the pair of guide rails 14 in the machining feed direction, that is, in the X-axis direction by the machining and conveying means 12 composed of the ball screw 8 and the pulse motor 10 .

제1 슬라이드 블록(6) 상에는 제2 슬라이드 블록(16)이 Y축 방향으로 이동 가능하게 탑재되어 있다. 즉, 제2 슬라이드 블록(16)은 볼나사(18) 및 펄스 모터(20)로 구성되는 인덱싱 이송 수단(22)에 의해 한 쌍의 가이드 레일(24)을 따라 인덱싱 이송 방향, 즉 Y축 방향으로 이동된다.On the first slide block 6, a second slide block 16 is mounted movably in the Y-axis direction. That is, the second slide block 16 is moved along the pair of guide rails 24 by the indexing conveying means 22 constituted by the ball screw 18 and the pulse motor 20 in the indexing conveying direction, .

제2 슬라이드 블록(16) 상에는 원통 지지 부재(26)를 통해 척 테이블(28)이 탑재되어 있고, 척 테이블(28)은 회전 가능함과 동시에 가공 이송 수단(12) 및 인덱싱 이송 수단(22)에 의해 X축 방향 및 Y축 방향으로 이동 가능하다. 척 테이블(28)에는, 척 테이블(28)에 흡인 유지된 웨이퍼를 지지하는 환형 프레임을 클램프하는 클램프(30)가 설치되어 있다. A chuck table 28 is mounted on the second slide block 16 via a cylindrical support member 26 so that the chuck table 28 is rotatable and rotatably supported by the processing transfer means 12 and the indexing transfer means 22 Axis direction and the Y-axis direction. The chuck table 28 is provided with a clamp 30 for clamping the annular frame for supporting the wafer held in the chuck table 28 by suction.

정지 베이스(4)에는 칼럼(32)이 세워져 있고, 이 칼럼(32)에는 레이저빔 조사 유닛(34)이 부착되어 있다. 레이저빔 조사 유닛(34)은, 케이싱(33) 내에 수용된 도 2에 도시된 레이저빔 발생 유닛(35)과, 케이싱(33)의 선단에 부착된 집광기(37)로 구성된다.A column 32 is placed on the stop base 4, and a laser beam irradiating unit 34 is attached to the column 32. The laser beam irradiating unit 34 is constituted by the laser beam generating unit 35 shown in Fig. 2 and housed in the casing 33 and a concentrator 37 attached to the tip of the casing 33. Fig.

레이저빔 발생 유닛(35)은, 도 2에 도시된 바와 같이, YAG 펄스 레이저를 발진하는 레이저 발진기(62)와, 반복 주파수 설정 수단(64)과, 펄스폭 조정 수단(66)과, 파워 조정 수단(68)을 포함하고 있다. 본 실시형태에서는, 레이저 발진기(62)로서, 파장 1342 ㎚의 펄스 레이저를 발진하는 YAG 펄스 레이저 발진기를 채용하였다.2, the laser beam generating unit 35 includes a laser oscillator 62 for oscillating a YAG pulse laser, a repetition frequency setting means 64, a pulse width adjusting means 66, Means 68 are included. In this embodiment, as the laser oscillator 62, a YAG pulse laser oscillator that oscillates a pulse laser with a wavelength of 1342 nm is employed.

케이싱(35)의 선단부에는, 집광기(37)와 X축 방향으로 정렬하여 레이저 가공해야 할 가공 영역을 검출하는 촬상 유닛(39)이 배치되어 있다. 촬상 유닛(39)은, 가시광에 의해 반도체 웨이퍼(11)의 가공 영역을 촬상하는 통상의 CCD 등의 촬상 소자를 포함하고 있다.An imaging unit 39 for detecting a machining area to be laser-machined in alignment with the condenser 37 in the X-axis direction is disposed at the tip of the casing 35. [ The image pickup unit 39 includes an image pickup device such as a normal CCD for picking up an image of the machining area of the semiconductor wafer 11 by visible light.

촬상 유닛(39)은 피가공물에 적외선을 조사하는 적외선 조사 수단과, 적외선 조사 수단에 의해 조사된 적외선을 포착하는 광학계와, 이 광학계에 의해 포착된 적외선에 대응한 전기 신호를 출력하는 적외선 CCD 등의 적외선 촬상 소자로 구성되는 적외선 촬상 수단을 더 포함하고 있고, 촬상된 화상 신호는 컨트롤러(제어 수단)(40)로 송신된다.The image pickup unit 39 includes an infrared ray irradiating means for irradiating infrared rays to the workpiece, an optical system for capturing infrared rays irradiated by the infrared ray irradiating means, an infrared CCD for outputting an electric signal corresponding to the infrared ray captured by the optical system And the infrared image pickup means is constituted by an infrared image pickup element of the infrared image pickup device, and the picked up image signal is transmitted to the controller (control means)

컨트롤러(40)는 컴퓨터에 의해 구성되어 있고, 제어 프로그램에 따라 연산 처리하는 중앙 처리 장치(CPU)(42)와, 제어 프로그램 등을 저장하는 리드 온리 메모리(ROM)(44)와, 연산 결과 등을 저장하는 기록 및 판독 가능한 랜덤 액세스 메모리(RAM)(46)와, 카운터(48)와, 입력 인터페이스(50)와, 출력 인터페이스(52)를 구비하고 있다.The controller 40 is constituted by a computer and includes a central processing unit (CPU) 42 for performing arithmetic processing according to a control program, a read only memory (ROM) 44 for storing a control program and the like, A random access memory (RAM) 46, a counter 48, an input interface 50, and an output interface 52. The random access memory (RAM)

도면 부호 56은 안내 레일(14)을 따라 배치된 리니어 스케일(54)과, 제1 슬라이드 블록(6)에 배치된 도시하지 않은 판독 헤드로 구성되는 가공 이송량 검출 유닛이며, 가공 이송량 검출 유닛(56)의 검출 신호는 컨트롤러(40)의 입력 인터페이스(50)에 입력된다.Reference numeral 56 denotes a machining feed amount detecting unit composed of a linear scale 54 disposed along the guide rail 14 and a read head (not shown) disposed in the first slide block 6, and the machined feed amount detecting unit 56 Is input to the input interface 50 of the controller 40. [

도면 부호 60은 가이드 레일(24)을 따라 배치된 리니어 스케일(58)과 제2 슬라이드 블록(16)에 배치된 도시하지 않은 판독 헤드로 구성되는 인덱싱 이송량 검출 유닛이며, 인덱싱 이송량 검출 유닛(60)의 검출 신호는 컨트롤러(40)의 입력 인터페이스(50)에 입력된다.Reference numeral 60 denotes an indexing feed amount detecting unit consisting of a linear scale 58 arranged along the guide rail 24 and a read head (not shown) disposed in the second slide block 16, Is input to the input interface (50) of the controller (40).

촬상 유닛(39)으로 촬상된 화상 신호도 컨트롤러(40)의 입력 인터페이스(50)에 입력된다. 한편, 컨트롤러(40)의 출력 인터페이스(52)로부터는 펄스 모터(10), 펄스 모터(20), 레이저빔 발생 유닛(35) 등에 제어 신호가 출력된다.The image signal picked up by the image pickup unit 39 is also inputted to the input interface 50 of the controller 40. [ On the other hand, a control signal is outputted from the output interface 52 of the controller 40 to the pulse motor 10, the pulse motor 20, the laser beam generating unit 35, and the like.

도 3을 참조하면, 본 발명의 가공 방법의 가공 대상이 되는 반도체 웨이퍼(11)의 표면측 사시도가 도시되어 있다. 도 3에 도시된 반도체 웨이퍼(11)는, 예컨대 두께가 100 ㎛인 실리콘 웨이퍼로 구성되어 있다.3, there is shown a front side perspective view of a semiconductor wafer 11 to be processed in the processing method of the present invention. The semiconductor wafer 11 shown in Fig. 3 is made of, for example, a silicon wafer having a thickness of 100 mu m.

반도체 웨이퍼(11)는, 표면(11a)에 제1 방향으로 연장되는 복수의 제1 분할 예정 라인(스트리트)(13a)과, 제1 방향과 직교하는 제2 방향으로 연장되는 복수의 제2 분할 예정 라인(13b)이 형성되어 있고, 제1 분할 예정 라인(13a)과 제2 분할 예정 라인(13b)을 따라 구획된 각 영역에 IC, LSI 등의 디바이스(15)가 형성되어 있다.The semiconductor wafer 11 has a plurality of first dividing lines (streets) 13a extending in the first direction on the surface 11a and a plurality of second dividing lines 13b extending in the second direction orthogonal to the first direction. And a device 15 such as IC or LSI is formed in each of the areas divided along the first dividing line 13a and the second dividing line 13b.

본 발명 실시형태의 웨이퍼의 가공 방법에서는, 반도체 웨이퍼(이하 웨이퍼라 약칭함)(11)는, 도 4에 도시된 바와 같이, 외주가 환형 프레임(F)에 접착된 다이싱 테이프(T)에 그 표면(11a) 측이 접착되고, 도 5에 도시된 바와 같이, 웨이퍼(11)의 이면(11b)이 노출된 형태로 가공이 수행된다.4, a semiconductor wafer (hereinafter, referred to as a wafer) 11 is formed on a dicing tape T whose outer circumference is adhered to the annular frame F in the method of processing a wafer in the embodiment of the present invention The side of the surface 11a is adhered, and the processing is performed in such a manner that the back surface 11b of the wafer 11 is exposed, as shown in Fig.

본 발명의 웨이퍼의 가공 방법에서는, 우선, 실리콘 웨이퍼(11)에 대하여 투과성을 갖는 펄스 레이저빔의 파장을 1300 ㎚∼1400 ㎚의 범위로 설정한다(파장 설정 단계). 본 실시형태에서는, 도 2에 도시된 레이저빔 발생 유닛(35)의 레이저 발진기(62)로서, 파장 1342 ㎚의 펄스 레이저를 발진하는 YAG 레이저 발진기를 채용하였다.In the method of processing a wafer of the present invention, first, the wavelength of a pulsed laser beam having transmittance with respect to the silicon wafer 11 is set in the range of 1300 nm to 1400 nm (wavelength setting step). In this embodiment, as the laser oscillator 62 of the laser beam generating unit 35 shown in Fig. 2, a YAG laser oscillator for oscillating a pulse laser with a wavelength of 1342 nm is employed.

계속해서, 레이저 가공 장치(2)의 척 테이블(28)에서 웨이퍼(11)를 다이싱 테이프(T)를 통해 흡인 유지하고, 웨이퍼(11)의 이면(11b)을 노출시킨다. 그리고, 촬상 유닛(39)의 적외선 촬상 소자로 웨이퍼(11)를 그 이면(11b)측으로부터 촬상하고, 제1 분할 예정 라인(13a)에 대응하는 영역을 집광기(37)와 X축 방향으로 정렬시키는 얼라이먼트를 실시한다. 이 얼라이먼트에는, 잘 알려진 패턴 매칭 등의 화상 처리를 이용한다.Subsequently, the chuck table 28 of the laser machining apparatus 2 sucks and holds the wafer 11 through the dicing tape T to expose the back surface 11b of the wafer 11. Then, The wafer 11 is picked up from the back surface 11b side by the infrared image pickup device of the image pickup unit 39 and the area corresponding to the first division planned line 13a is aligned with the condenser 37 in the X- . For this alignment, image processing such as well-known pattern matching is used.

제1 분할 예정 라인(13a)의 얼라이먼트를 실시한 후, 척 테이블(28)을 90° 회전하고 나서, 제1 분할 예정 라인(13a)과 직교하는 방향으로 연장되는 제2 분할 예정 라인(13b)에 대해서도 동일한 얼라이먼트를 실시한다.After the alignment of the first dividing line 13a is performed, the chuck table 28 is rotated 90 degrees and then the second dividing line 13b extending in the direction orthogonal to the first dividing line 13a The same alignment is performed.

얼라이먼트 단계를 실시한 후, 도 7에 도시된 바와 같이 집광기(37)로 파장 1342 ㎚의 펄스 레이저빔의 집광점을 제1 분할 예정 라인(13a)에 대응하는 웨이퍼 내부에 위치시켜, 펄스 레이저빔을 웨이퍼(11)의 이면(11b)측으로부터 조사하고, 척 테이블(28)을 화살표 X1 방향으로 가공 이송함으로써, 웨이퍼(11)의 내부에 개질층(19)을 형성하는 개질층 형성 단계를 실시한다.7, the light-converging point of the pulse laser beam having a wavelength of 1342 nm is positioned in the wafer corresponding to the first line to be divided 13a and the pulse laser beam is irradiated to the condenser 37 as shown in Fig. A modified layer forming step of forming the modified layer 19 inside the wafer 11 is performed by irradiating the chuck table 28 from the backside 11b side of the wafer 11 and transferring the chuck table 28 in the direction of the arrow X1 .

도 6에 도시된 바와 같이, 레이저빔 발생 유닛(35)으로부터 출사된 펄스 레이저빔(LB)은 통상 P 편광의 펄스 레이저빔이다. 이 펄스 레이저빔(LB)은 광학계(41)의 어테뉴에이터(43)로 그 파워를 정해진 량 감쇠한 후, 1/2 파장판(45)으로 그 편광면이 정해진 각도 회전되어 제1 편광 빔 스플리터(47)에 입력된다.As shown in Fig. 6, the pulsed laser beam LB emitted from the laser beam generating unit 35 is usually a P-polarized pulsed laser beam. The pulsed laser beam LB attenuates its power to the attenuator 43 of the optical system 41 by a predetermined amount and then the polarization plane of the pulsed laser beam LB is rotated at a predetermined angle by the 1/2 wave plate 45, (47).

제1 편광 빔 스플리터(47)에 입력된 펄스 레이저빔(LB)은, 그 P 편광 성분이 제1 펄스 레이저빔(LB1)으로서 제1 편광 빔 스플리터(47)를 투과하여 제1 광로(49)로 출사된다.The pulsed laser beam LB input to the first polarizing beam splitter 47 is transmitted through the first polarizing beam splitter 47 as the first pulsed laser beam LB1 to the first optical path 49, .

한편, 제1 편광 빔 스플리터(47)에 입사된 펄스 레이저빔(LB)의 S 편광 성분은 제1 편광 빔 스플리터(47)로 반사되어 제2 펄스 레이저빔(LB2)으로서 제2 광로(51)로 출사된다.On the other hand, the S-polarized light component of the pulsed laser beam LB incident on the first polarizing beam splitter 47 is reflected by the first polarizing beam splitter 47 and transmitted through the second optical path 51 as the second pulsed laser beam LB2. .

여기서, 어테뉴에이터(43)에서는 펄스 레이저빔(LB)의 평균 출력이 0.8∼1.4 W가 되도록 감쇠되고, 1/2 파장판(45)을 정해진 각도 회전함으로써, 후술한 가공 조건으로 제1 편광 빔 스플리터(47) 투과 후의 제1 펄스 레이저빔(LB1)의 1 펄스 당의 에너지가 1.5∼4.0 μJ이 되고, 제1 편광 빔 스플리터(47)로 반사된 제2 펄스 레이저빔(LB2)의 1 펄스 당의 에너지가 6.5∼10 μJ이 되도록 조정된다.Here, in the attenuator 43, the average output of the pulsed laser beam LB is attenuated to 0.8 to 1.4 W, and the 1/2 wave plate 45 is rotated at a predetermined angle, The energy per pulse of the first pulsed laser beam LB1 after passing through the splitter 47 becomes 1.5 to 4.0 μJ and the energy per pulse of the second pulsed laser beam LB2 reflected by the first polarized beam splitter 47 The energy is adjusted to be 6.5 to 10 μJ.

제1 광로(49)로 출사된 제1 펄스 레이저빔(LB1)은 미러(53)에 의해 직각으로 반사된 후, 1/2 파장판(55)에 의해 그 편광면이 90° 회전되어 S 편광의 제1 펄스 레이저빔(LB1)으로 변환된다.The first pulsed laser beam LB1 emitted to the first optical path 49 is reflected at a right angle by the mirror 53 and then rotated by its 1/2 wavelength plate 55 by 90 degrees so that the S- Of the first pulse laser beam LB1.

S 편광의 제1 펄스 레이저빔(LB1)은 미러(57)에 의해 직각으로 반사된 후 제2 편광 빔 스플리터(61)에 입사되어, 제2 편광 빔 스플리터(61)의 편광 분리막(61a)에 의해 수직 방향으로 반사된다.The first pulsed laser beam LB1 of S polarized light is reflected at right angles by the mirror 57 and is then incident on the second polarizing beam splitter 61 to be incident on the polarized light separating film 61a of the second polarizing beam splitter 61 In the vertical direction.

한편, 제1 편광 빔 스플리터(47)에 의해 제2 광로(51)로 반사된 S 편광의 제2 펄스 레이저빔(LB2)은, 1/2 파장판(59)에 의해 그 편광면이 90° 회전되어 P 편광의 제2 펄스 레이저빔(LB2)으로 변환된 후, 제2 편광 빔 스플리터(61)에 입사되어, 제2 편광 빔 스플리터(61)의 편광 분리막(61a)을 투과한다.On the other hand, the second pulsed laser beam LB2 of S-polarized light reflected by the second optical path 51 by the first polarizing beam splitter 47 is polarized by the 1/2 wave plate 59 so that its polarization plane is 90 degrees And is then converted into a second pulsed laser beam LB2 of P polarized light and is then incident on the second polarizing beam splitter 61 and transmitted through the polarized light separating film 61a of the second polarizing beam splitter 61. [

제2 편광 빔 스플리터(61)에 의해 반사된 제1 펄스 레이저빔(LB1)은 집광 렌즈(63)에 의해 웨이퍼(11) 내부의 제1 집광점 P1에 집광되고, 제2 편광 빔 스플리터(61)를 투과한 제2 펄스 레이저빔(LB2)은 집광 렌즈(63)에 의해 웨이퍼(11) 내부의 제2 집광점 P2에 집광된다.The first pulsed laser beam LB1 reflected by the second polarized beam splitter 61 is condensed by the condenser lens 63 onto the first light-condensing point P1 inside the wafer 11 and is condensed by the second polarized beam splitter 61 Is condensed at the second light-converging point P2 inside the wafer 11 by the condenser lens 63. The second pulsed laser beam LB2,

본 발명의 개질층 형성 단계에서는, 도 8에 도시된 바와 같이, 제1 개질층(19)에 겹쳐 제2 개질층(19a)을 형성해야 하기 때문에, 제1 집광점 P1과 제2 집광점 P2 사이의 거리는 인접한 개질층(19(19a)) 사이의 거리의 정수배로 설정해야 한다.In the modified layer forming step of the present invention, since the second modified layer 19a must be formed on the first modified layer 19 as shown in Fig. 8, the first and second light-converging points P1 and P2 Should be set to an integral multiple of the distance between adjacent reforming layers 19 (19a).

본 실시형태에서는, 후술하는 개질층 형성 단계의 가공 조건에서는, 이송 속도 300 ㎜/s, 반복 주파수가 100 kHz이기 때문에, 제1 집광점 P1과 제2 집광점 P2 사이의 거리를 인접한 개질층(19(19a)) 사이의 거리의 2배인 6 ㎛가 되도록 조정하였다.In the present embodiment, since the feed rate is 300 mm / s and the repetition frequency is 100 kHz, the distance between the first light-converging point P1 and the second light-converging point P2 is set to the adjacent reforming layer 19 (19a)).

제1 집광점 P1과 제2 집광점 P2 사이의 거리의 조정은, 미러(57)에 의해 직각으로 반사된 제1 펄스 레이저빔(LB1)에 대하여 제2 편광 빔 스플리터(61)의 위치를 상하 방향으로 이동시킴으로써 용이하게 달성된다.The adjustment of the distance between the first light-converging point P1 and the second light-converging point P2 is performed by adjusting the position of the second polarizing beam splitter 61 with respect to the first pulse laser beam LB1 reflected at right angles by the mirror 57 Direction. ≪ / RTI >

도 6의 광학계(41)에서 2개로 분기된 제1 펄스 레이저빔(LB1)의 제1 집광점 P1 및 제2 펄스 레이저빔(LB2)의 제2 집광점 P2를, 도 8에 도시된 바와 같이, 집광 렌즈(63)로 제1 분할 예정 라인(13a)에 대응하는 웨이퍼 내부에 위치시키고, 제1 펄스 레이저빔(LB1) 및 제2 펄스 레이저빔(LB2)을 웨이퍼(11)의 이면(11b)측으로부터 조사하여, 척 테이블(28)을 화살표 X1 방향으로 가공 이송함으로써, 제1 펄스 레이저빔(LB1)으로 제1 개질층(19)을 형성하고, 제2 펄스 레이저빔(LB2)으로 제1 개질층(19)에 겹쳐 제2 개질층(19a)을 형성한다(개질층 형성 단계).The first light-converging point P1 of the first pulse laser beam LB1 and the second light-converging point P2 of the second pulse laser beam LB2 branched into two in the optical system 41 of Fig. 6 are arranged as shown in Fig. 8 And the first pulsed laser beam LB1 and the second pulsed laser beam LB2 are placed on the back surface 11b of the wafer 11 by the condensing lens 63 in the wafer corresponding to the first dividing line 13a, And the chuck table 28 is processed and transferred in the direction of the arrow X1 to form the first modified layer 19 with the first pulsed laser beam LB1 and the second modified laser beam LB2 And the second modified layer 19a is formed over the first modified layer 19 (modified layer forming step).

본 실시형태의 개질층 형성 단계에서는, 1 펄스 당의 에너지가 비교적 작은 제1 펄스 레이저빔(LB1)으로 최초로 제1 개질층(19)을 형성하고, 제1 개질층(19)에 추종하여 1 펄스 당의 에너지가 비교적 큰 제2 펄스 레이저빔(LB2)을 조사하여 제1 개질층(19)에 겹쳐 제2 개질층(19a)을 웨이퍼 내부에 형성한다.In the modified layer forming step of the present embodiment, the first modifying layer 19 is formed first with the first pulsed laser beam LB1 having a relatively small energy per pulse, followed by the first modifying layer 19, The second modified laser beam LB2 having a relatively large energy of sugar is irradiated to the first modified layer 19 to form the second modified layer 19a inside the wafer.

제2 펄스 레이저빔(LB2)의 제2 집광점(P1)을 제1 개질층(19)에 겹쳐 제2 펄스 레이저빔(LB2)이 조사되기 때문에, 제1 개질층(19)에 비교적 에너지가 큰 제2 펄스 레이저빔(LB2)이 유도되어 미세한 크랙의 형성이 억제된 상태에서 제2 개질층(19a)이 제1 개질층(19)에 겹쳐 형성된다.The first modified layer 19 is irradiated with the second pulse laser beam LB2 while the second modified laser beam LB2 has the second condensed point P1 superimposed on the first modified layer 19, The second modified layer 19a is superimposed on the first modified layer 19 in a state where a large second pulsed laser beam LB2 is induced and the formation of fine cracks is suppressed.

따라서, 다음에 조사되는 펄스 레이저빔은 크랙의 영향을 받지 않아, 웨이퍼(11)의 표면(11a)에 형성된 디바이스(15)를 손상시키는 것이 방지된다.Therefore, the pulse laser beam to be irradiated next is not affected by the crack, and the device 15 formed on the surface 11a of the wafer 11 is prevented from being damaged.

척 테이블(28)을 Y축 방향으로 인덱싱 이송하면서, 모든 제1 분할 예정 라인(13a)에 대응하는 웨이퍼(11)의 내부에 제1 개질층(19)에 겹쳐 제2 개질층(19a)을 형성한다.The chuck table 28 is indexed and transferred in the Y axis direction so that the second modified layer 19a is superimposed on the first modified layer 19 in the wafer 11 corresponding to all the first division planned lines 13a .

계속해서, 척 테이블(28)을 90° 회전하고 나서, 제1 분할 예정 라인(13a)과 직교하는 모든 제2 분할 예정 라인(13b)을 따라 제1 개질층(19)에 겹쳐 제2 개질층(19a)을 형성한다.Subsequently, after the chuck table 28 is rotated by 90 degrees, the first modified layer 19 is superimposed on the second modified layer 19 along all the second division planned lines 13b orthogonal to the first divided planned line 13a, (19a).

본 실시형태의 개질층 형성 단계의 가공 조건은, 예컨대 다음과 같이 설정되어 있다.The processing conditions of the modified layer forming step of this embodiment are set as follows, for example.

광원 : YAG 펄스 레이저Light source: YAG pulsed laser

파장 : 1342 ㎚Wavelength: 1342 nm

평균 출력 : 0.8∼1.4 WAverage power: 0.8 to 1.4 W

반복 주파수 : 100 kHzRepetition frequency: 100 kHz

스폿 직경 : φ 3.0 ㎛Spot diameter:? 3.0 占 퐉

제1 펄스 레이저빔의 1 펄스 당의 에너지 : 1.5∼4.0 μJEnergy per pulse of the first pulse laser beam: 1.5 to 4.0 μJ

제2 펄스 레이저빔의 1 펄스 당의 에너지 : 6.5∼10 μJEnergy per pulse of the second pulse laser beam: 6.5 to 10 μJ

이송 속도 : 300 ㎜/sFeeding speed: 300 mm / s

도 6에 도시된 실시형태에서는, 레이저빔 발생 유닛(35)으로부터 출사된 펄스 레이저빔(LB)의 파워를 어테뉴에이터(43)로 감쇠시키고 있지만, 어테뉴에이터(74) 대신에 다른 출력 조정기를 채용하도록 하여도 좋다.6, the power of the pulse laser beam LB emitted from the laser beam generating unit 35 is attenuated by the attenuator 43. However, instead of the attenuator 74, another power regulator is employed .

이 경우에는, 레이저빔 발생 유닛(35)의 파워 조정 수단(68)과 출력 조정기를 적당히 조정함으로써, 제1 펄스 레이저빔(LB1) 및 제2 펄스 레이저빔(LB2)의 파워를 바람직한 범위 내로 조정한다.In this case, the power of the first pulse laser beam LB1 and the power of the second pulse laser beam LB2 are adjusted within a desired range by suitably adjusting the power adjusting means 68 of the laser beam generating unit 35 and the output adjuster do.

개질층 형성 단계를 실시한 후, 도 9에 도시된 분할 장치(80)를 사용하여 웨이퍼(11)에 외력을 부여하고, 웨이퍼(11)를 개개의 디바이스 칩(21)으로 분할하는 분할 단계를 실시한다. 도 9에 도시된 분할 장치(80)는, 환형 프레임(F)을 유지하는 프레임 유지 수단(82)과, 프레임 유지 수단(82)에 유지된 환형 프레임(F)에 장착된 다이싱 테이프(T)를 확장하는 테이프 확장 수단(84)을 구비하고 있다.After the reforming layer forming step is performed, an external force is applied to the wafer 11 by using the dividing device 80 shown in Fig. 9, and a dividing step of dividing the wafer 11 into individual device chips 21 is performed do. 9 includes a frame holding means 82 for holding the annular frame F and a dicing tape T mounted on the annular frame F held by the frame holding means 82. The dicing tape T And a tape expanding means 84 for expanding the tape.

프레임 유지 수단(82)은, 환형의 프레임 유지 부재(86)와, 프레임 유지 부재(86)의 외주에 배치된 고정 수단으로서의 복수의 클램프(88)로 구성된다. 프레임 유지 부재(86)의 상면은 환형 프레임(F)을 배치하는 배치면(86a)을 형성하고 있고, 이 배치면(86a) 상에 환형 프레임(F)이 배치된다.The frame holding means 82 is constituted by an annular frame holding member 86 and a plurality of clamps 88 as fixing means disposed on the outer periphery of the frame holding member 86. [ The upper surface of the frame holding member 86 forms a placement surface 86a for arranging the annular frame F and an annular frame F is disposed on the placement surface 86a.

그리고, 배치면(86a) 상에 배치된 환형 프레임(F)은, 클램프(88)에 의해 프레임 유지 수단(86)에 고정된다. 이와 같이 구성된 프레임 유지 수단(82)은 테이프 확장 수단(84)에 의해 상하 방향으로 이동 가능하게 지지되어 있다.The annular frame F disposed on the placement surface 86a is fixed to the frame holding means 86 by a clamp 88. [ The frame holding means 82 thus configured is supported by the tape extending means 84 so as to be movable in the vertical direction.

테이프 확장 수단(84)은, 환형의 프레임 유지 수단(86)의 내측에 배치된 확장 드럼(90)을 구비하고 있다. 확장 드럼(90)의 상단은 덮개(92)로 폐쇄되어 있다. 이 확장 드럼(90)은, 환형 프레임(F)의 내경보다 작고, 환형 프레임(F)에 장착된 다이싱 테이프(T)에 접착된 웨이퍼(11)의 외경보다 큰 내경을 갖고 있다.The tape extending means 84 is provided with an extension drum 90 disposed inside the annular frame holding means 86. The upper end of the expansion drum 90 is closed by a lid 92. The extension drum 90 has an inner diameter smaller than the inner diameter of the annular frame F and larger than the outer diameter of the wafer 11 bonded to the dicing tape T mounted on the annular frame F. [

확장 드럼(90)은 그 하단에 일체적으로 형성된 지지 플랜지(94)를 갖고 있다. 테이프 확장 수단(84)은 환형의 프레임 유지 부재(86)를 상하 방향으로 이동시키는 구동 수단(96)을 더 구비하고 있다. 이 구동 수단(96)은 지지 플랜지(94) 상에 배치된 복수의 에어실린더(98)로 구성되어 있고, 그 피스톤 로드(100)는 프레임 유지 부재(86)의 하면에 연결되어 있다.The expansion drum (90) has a support flange (94) integrally formed at the lower end thereof. The tape extending means 84 further includes a driving means 96 for moving the annular frame holding member 86 in the vertical direction. The driving means 96 is constituted by a plurality of air cylinders 98 arranged on the supporting flange 94 and the piston rod 100 is connected to the lower surface of the frame holding member 86.

복수의 에어실린더(98)로 구성되는 구동 수단(96)은, 환형의 프레임 유지 부재(86)를, 그 배치면(86a)이 확장 드럼(90)의 상단인 덮개(92)의 표면과 대략 동일 높이가 되는 기준 위치와, 확장 드럼(90)의 상단보다 정해진 량 아래쪽의 확장 위치 사이에서 상하 방향으로 이동한다.The driving means 96 constituted by the plurality of air cylinders 98 is configured such that the annular frame holding member 86 is arranged so that the arrangement surface 86a thereof is substantially coincident with the surface of the cover 92 which is the upper end of the expansion drum 90 And moves up and down between the reference position at the same height and the extended position below the upper end of the expansion drum 90 by a predetermined amount.

이상과 같이 구성된 분할 장치(80)를 이용하여 실시하는 웨이퍼(11)의 분할 단계에 대해서 도 10을 참조하여 설명한다. 도 10의 (A)에 도시된 바와 같이, 웨이퍼(11)를 다이싱 테이프(T)를 통해 지지한 환형 프레임(F)을, 프레임 유지 부재(86)의 배치면(86a) 상에 배치하고, 클램프(88)에 의해 프레임 유지 부재(86)를 고정한다. 이때, 프레임 유지 부재(86)는 그 배치면(86a)이 확장 드럼(90)의 상단과 대략 동일 높이가 되는 기준 위치에 위치된다.The dividing step of the wafer 11, which is performed using the dividing device 80 configured as described above, will be described with reference to FIG. The annular frame F supporting the wafer 11 with the dicing tape T is placed on the placement surface 86a of the frame holding member 86 as shown in Fig. , The frame holding member 86 is fixed by the clamp 88. At this time, the frame holding member 86 is located at a reference position where the placement surface 86a thereof is substantially flush with the upper end of the expansion drum 90. [

계속해서, 에어실린더(98)를 구동하여 프레임 유지 부재(86)를 도 10의 (B)에 도시된 확장 위치로 하강시킨다. 이에 따라, 프레임 유지 부재(86)의 배치면(86a) 상에 고정되어 있는 환형 프레임(F)도 하강하기 때문에, 환형 프레임(F)에 장착된 다이싱 테이프(T)는 확장 드럼(90)의 상단 가장자리에 접촉하여 주로 반경 방향으로 확장된다.Subsequently, the air cylinder 98 is driven to lower the frame holding member 86 to the extended position shown in Fig. 10 (B). The dicing tape T mounted on the annular frame F is lifted up by the extension drum 90 because the annular frame F fixed on the placement surface 86a of the frame holding member 86 also descends. Lt; RTI ID = 0.0 > radially < / RTI >

그 결과, 다이싱 테이프(T)에 접착되어 있는 웨이퍼(11)에는, 방사형으로 인장력이 작용한다. 이와 같이 웨이퍼(11)에 방사형으로 인장력이 작용하면, 제1, 제2 분할 예정 라인(13a, 13b)을 따라 형성된 제2 개질층(19a)이 분할 기점이 되어 웨이퍼(11)가 제1, 제2 분할 예정 라인(13a, 13b)을 따라 분할 절단되고, 개개의 디바이스 칩(21)으로 분할된다.As a result, tensile force acts on the wafer 11 bonded to the dicing tape T in a radial direction. The second modified layer 19a formed along the first and second dividing lines 13a and 13b becomes the dividing origin and the wafer 11 is divided into the first and second divided lines 13a and 13b, Is divided along the second dividing line (13a, 13b) and divided into individual device chips (21).

2 : 레이저 가공 장치 11 : 실리콘 웨이퍼
13a : 제1 분할 예정 라인 13b : 제2 분할 예정 라인
15 : 디바이스 19 : 제1 개질층
19a : 제2 개질층 21 : 디바이스 칩
28 : 척 테이블 34 : 레이저빔 조사 유닛
35 : 레이저빔 발생 유닛 37 : 집광기
39 : 촬상 유닛 41 : 광학계
43 : 어테뉴에이터 62 : 레이저 발진기
66 : 펄스폭 조정 수단 72 : 집광 렌즈
80 : 분할 장치 T : 다이싱 테이프
F : 환형 프레임
2: Laser processing apparatus 11: Silicon wafer
13a: first dividing line 13b: second dividing line
15: device 19: first reformed layer
19a: second reforming layer 21: device chip
28: chuck table 34: laser beam irradiating unit
35: laser beam generating unit 37: condenser
39: imaging unit 41: optical system
43: attenuator 62: laser oscillator
66: pulse width adjusting means 72: condensing lens
80: Split device T: Dicing tape
F: annular frame

Claims (2)

피가공물을 유지하는 유지 수단과, 상기 유지 수단에 유지된 피가공물에 대하여 투과성을 갖는 파장의 펄스 레이저빔을 조사하여 피가공물의 내부에 개질층을 형성하는 레이저빔 조사 수단과, 상기 유지 수단과 상기 레이저빔 조사 수단을 상대적으로 가공 이송하는 가공 이송 수단을 구비한 레이저 가공 장치에 의해 표면에 복수의 디바이스가 복수의 분할 예정 라인에 의해 구획되어 형성된 실리콘으로 이루어진 웨이퍼를 가공하는 웨이퍼의 가공 방법으로서,
웨이퍼에 대하여 투과성을 갖는 펄스 레이저빔의 파장을 1300 ㎚∼1400 ㎚의 범위로 설정하는 파장 설정 단계와,
상기 파장 설정 단계를 실시한 후, 웨이퍼의 내부에 펄스 레이저빔의 집광점을 위치시켜 웨이퍼의 이면으로부터 상기 분할 예정 라인에 대응하는 영역에 펄스 레이저빔을 조사하고, 상기 유지 수단과 상기 레이저빔 조사 수단을 상대적으로 가공 이송하여 웨이퍼의 내부에 개질층을 형성하는 개질층 형성 단계와,
상기 개질층 형성 단계를 실시한 후, 웨이퍼에 외력을 부여하여 상기 개질층을 분할 기점으로 웨이퍼를 상기 분할 예정 라인을 따라 분할하는 분할 단계를 포함하고,
상기 개질층 형성 단계에 있어서, 1 펄스 당의 에너지가 크랙의 형성이 억제되는 제1 값인 제1 펄스 레이저빔을 조사하여 제1 개질층을 형성하고, 상기 제1 개질층에 추종하여 1 펄스 당의 에너지가 상기 제1 값보다 큰 제2 값인 제2 펄스 레이저빔을 조사하여 상기 제1 개질층에 겹쳐 제2 개질층을 형성하는 것을 특징으로 하는 것인 웨이퍼의 가공 방법.
A laser beam irradiating means for irradiating a pulsed laser beam having a transmittance to the workpiece held by the holding means to form a modified layer inside the workpiece; A processing method of a wafer for processing a wafer made of silicon formed by dividing a plurality of devices on a surface by a plurality of lines to be divided by a laser processing apparatus having processing transfer means for relatively transferring and processing the laser beam irradiation means ,
A wavelength setting step of setting a wavelength of a pulse laser beam having a transmittance to a wafer in a range of 1300 nm to 1400 nm;
After the wavelength setting step is performed, a light-converging point of the pulsed laser beam is positioned inside the wafer, a pulsed laser beam is irradiated from the back surface of the wafer to a region corresponding to the line to be divided, and the holding means and the laser beam irradiating means A modified layer forming step of forming a modified layer inside the wafer by relatively processing and transferring the wafer,
Dividing step of applying an external force to the wafer after the reforming layer forming step and dividing the wafer along the line to be divided with the modified layer as a dividing base point,
In the modified layer forming step, the first modified layer is formed by irradiating a first pulsed laser beam having a first value at which the energy per one pulse is suppressed from being cracked, and the energy per pulse Is irradiated with a second pulsed laser beam having a second value larger than the first value to form a second modified layer on the first modified layer.
제1항에 있어서, 상기 제1 값은 1.5∼4.0 μJ이고, 제2 값은 6.5∼10 μJ인 것인 웨이퍼의 가공 방법.The method of claim 1, wherein the first value is 1.5 to 4.0 μJ and the second value is 6.5 to 10 μJ.
KR1020150183853A 2015-01-09 2015-12-22 Wafer processing method KR20160086267A (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2015003531A JP2016129203A (en) 2015-01-09 2015-01-09 Wafer processing method
JPJP-P-2015-003531 2015-01-09

Publications (1)

Publication Number Publication Date
KR20160086267A true KR20160086267A (en) 2016-07-19

Family

ID=56384457

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020150183853A KR20160086267A (en) 2015-01-09 2015-12-22 Wafer processing method

Country Status (4)

Country Link
JP (1) JP2016129203A (en)
KR (1) KR20160086267A (en)
CN (1) CN105789124A (en)
TW (1) TW201635357A (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20190064456A (en) * 2017-11-30 2019-06-10 가부시기가이샤 디스코 Processing method of a wafer

Families Citing this family (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20180141160A1 (en) * 2016-11-21 2018-05-24 General Electric Company In-line laser scanner for controlled cooling rates of direct metal laser melting
KR101902991B1 (en) * 2017-02-20 2018-10-02 (주)큐엠씨 Laser scribing device
JP6620825B2 (en) 2017-02-27 2019-12-18 日亜化学工業株式会社 Manufacturing method of semiconductor device
JP6903532B2 (en) * 2017-09-20 2021-07-14 キオクシア株式会社 Semiconductor devices and their manufacturing methods
JP6869623B2 (en) * 2017-10-26 2021-05-12 住友重機械工業株式会社 Laser processing equipment
CN111295265A (en) * 2017-11-07 2020-06-16 浜松光子学株式会社 Laser processing method and laser processing apparatus
JP2019125688A (en) * 2018-01-16 2019-07-25 株式会社ディスコ Laser processing method of workpiece
JP7123652B2 (en) * 2018-06-20 2022-08-23 株式会社ディスコ Laser processing equipment
JP7339509B2 (en) 2019-08-02 2023-09-06 日亜化学工業株式会社 Method for manufacturing light-emitting element
KR20210038335A (en) 2019-09-30 2021-04-07 니치아 카가쿠 고교 가부시키가이샤 Method of manufacturing light-emitting element
CN111055028A (en) * 2019-12-31 2020-04-24 武汉大学 Laser cutting device and method for expanding controllable cracks based on plasma

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005095952A (en) 2003-09-26 2005-04-14 Disco Abrasive Syst Ltd Method and device for dividing sheet-like workpiece
JP2006108459A (en) 2004-10-07 2006-04-20 Disco Abrasive Syst Ltd Laser machining method and device of silicon wafer
JP4402708B2 (en) 2007-08-03 2010-01-20 浜松ホトニクス株式会社 Laser processing method, laser processing apparatus and manufacturing method thereof

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4659301B2 (en) * 2001-09-12 2011-03-30 浜松ホトニクス株式会社 Laser processing method
JP2006035710A (en) * 2004-07-28 2006-02-09 Cyber Laser Kk Glass processing method using laser and device
JP5443104B2 (en) * 2009-09-14 2014-03-19 株式会社ディスコ Wafer processing method
JP5967405B2 (en) * 2012-01-17 2016-08-10 アイシン精機株式会社 Laser cleaving method and laser cleaving apparatus
JP2014241359A (en) * 2013-06-12 2014-12-25 三星ダイヤモンド工業株式会社 Method of dividing substrate

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005095952A (en) 2003-09-26 2005-04-14 Disco Abrasive Syst Ltd Method and device for dividing sheet-like workpiece
JP2006108459A (en) 2004-10-07 2006-04-20 Disco Abrasive Syst Ltd Laser machining method and device of silicon wafer
JP4402708B2 (en) 2007-08-03 2010-01-20 浜松ホトニクス株式会社 Laser processing method, laser processing apparatus and manufacturing method thereof

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20190064456A (en) * 2017-11-30 2019-06-10 가부시기가이샤 디스코 Processing method of a wafer

Also Published As

Publication number Publication date
TW201635357A (en) 2016-10-01
JP2016129203A (en) 2016-07-14
CN105789124A (en) 2016-07-20

Similar Documents

Publication Publication Date Title
KR20160086267A (en) Wafer processing method
KR20160086263A (en) Wafer processing method
TWI546860B (en) And a method of ablating a substrate having a passivation film laminated
KR101581049B1 (en) Laser processing method of semiconductor wafer
JP6320261B2 (en) Wafer processing method
JP6308919B2 (en) Wafer processing method
KR102305375B1 (en) Wafer machining method
JP2016054205A (en) Wafer processing method
KR20160040099A (en) Wafer processing method
KR101584819B1 (en) Processing method of semiconductor wafer
JP2012253139A (en) Method for processing wafer
JP2016042516A (en) Wafer processing method
JP2016076523A (en) Wafer processing method
KR102488215B1 (en) Wafer processing method
TWI697040B (en) Wafer processing method
JP2016076522A (en) Wafer processing method
JP2016058429A (en) Wafer processing method
JP2016072274A (en) Wafer processing method
JP2016054203A (en) Wafer processing method
JP5868194B2 (en) Wafer processing method
JP2016058430A (en) Wafer processing method
JP2016054202A (en) Wafer processing method
JP2016072278A (en) Wafer processing method
JP2016058431A (en) Wafer processing method
JP2016072275A (en) Wafer processing method