KR20160042442A - 반도체 타겟의 계측을 위한 차동 방법 및 장치 - Google Patents

반도체 타겟의 계측을 위한 차동 방법 및 장치 Download PDF

Info

Publication number
KR20160042442A
KR20160042442A KR1020167006451A KR20167006451A KR20160042442A KR 20160042442 A KR20160042442 A KR 20160042442A KR 1020167006451 A KR1020167006451 A KR 1020167006451A KR 20167006451 A KR20167006451 A KR 20167006451A KR 20160042442 A KR20160042442 A KR 20160042442A
Authority
KR
South Korea
Prior art keywords
signal
target
acquired
signals
feature
Prior art date
Application number
KR1020167006451A
Other languages
English (en)
Other versions
KR102287785B1 (ko
Inventor
스틸리안 이바노프 판데프
안드레이 브이. 시체그로브
Original Assignee
케이엘에이-텐코 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 케이엘에이-텐코 코포레이션 filed Critical 케이엘에이-텐코 코포레이션
Publication of KR20160042442A publication Critical patent/KR20160042442A/ko
Application granted granted Critical
Publication of KR102287785B1 publication Critical patent/KR102287785B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70641Focus
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/9501Semiconductor wafers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/38Masks having auxiliary features, e.g. special coatings or marks for alignment or testing; Preparation thereof
    • G03F1/44Testing or measuring features, e.g. grid patterns, focus monitors, sawtooth scales or notched scales
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70625Dimensions, e.g. line width, critical dimension [CD], profile, sidewall angle or edge roughness
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/30Structural arrangements specially adapted for testing or measuring during manufacture or treatment, or specially adapted for reliability measurements
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/956Inspecting patterns on the surface of objects
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N2201/00Features of devices classified in G01N21/00
    • G01N2201/12Circuits of general importance; Signal processing

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)
  • Health & Medical Sciences (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Biochemistry (AREA)
  • General Health & Medical Sciences (AREA)
  • Immunology (AREA)
  • Pathology (AREA)
  • Length Measuring Devices By Optical Means (AREA)

Abstract

반도체 구조체에 대한 프로세스 또는 구조체 파라미터를 결정하기 위한 장치 및 방법이 개시된다. 반도체 웨이퍼 상의 복수의 필드에 위치되는 하나 이상의 타겟으로부터, 복수의 광학 신호가 획득된다. 필드는 하나 이상의 타겟을 제조하기 위한 상이한 프로세스 파라미터와 관련되고, 획득된 광학 신호는 상부 구조체에 대한 주목 파라미터(POI)에 관한 정보 및 이러한 상부 구조체 아래에 형성되는 하나 이상의 하층에 대한 하나 이상의 하층 파라미터에 관한 정보를 포함한다. 피쳐 신호가 POI에 대한 정보를 포함하고 하층 파라미터에 대한 정보를 배제하도록, 이러한 획득된 광학 신호로부터 복수의 피쳐 신호를 추출하기 위한 피쳐 추출 모델이 생성된다. 각각의 필드의 각각의 상부 구조체에 대한 POI 값은, 피쳐 추출 모델에 의해 추출되는 피쳐 신호에 기초하여 결정된다.

Description

반도체 타겟의 계측을 위한 차동 방법 및 장치{DIFFERENTIAL METHODS AND APPARATUS FOR METROLOGY OF SEMICONDUCTOR TARGETS}
관련 출원에 대한 교차 참조
본 출원은 Stilian Ivanov Pandev 등에 의해 2013년 8월 11일자로 출원된 선원인 미국 가출원 제61/864,573호의 이점을 주장하는데, 상기 가출원은 모든 목적을 위해 그들 전체가 참조에 의해 본원에 통합된다.
발명의 기술 분야
본 발명은, 일반적으로, 프로세스 또는 구조체 파라미터의 결정을 위한 방법 및 시스템에 관한 것으로, 보다 구체적으로, 실험 계획(design of experiment; DOE) 또는 초점 노광량 매트릭스(focus exposure matrix; FEM) 웨이퍼를 사용한 프로세스 또는 구조체 파라미터의 결정에 관한 것이다.
집적 회로의 제조에서 사용되는 포토리소그래피 또는 광학적 리소그래피는 나온 지 꽤 되었다. 이러한 시스템은 제품의 아주 작은 디테일의 정확한 제조 및 형성에서 극도로 효과적인 것이 증명되었다. 대부분의 포토리소그래피 시스템에서는, 광 또는 방사선 빔(radiation beam)(예를 들면, UV 또는 자외선 광)을 통해 패턴을 전사하는 것에 의해 기판 상으로 회로 이미지가 기록된다. 예를 들면, 리소그래피 시스템은, 레티클을 통해 그리고 조사에 민감한 재료, 예를 들면, 포토레지스트로 코팅되는 실리콘 웨이퍼 상으로 회로 이미지를 투사하는 광원 또는 방사선원(radiation source)을 포함할 수도 있다. 노광된 포토레지스트는, 통상적으로, 현상 이후에, 예를 들면, 퇴적 및/또는 에칭과 같은 후속하는 프로세싱 단계 동안 웨이퍼의 층을 마스킹하는 패턴을 형성한다.
포토리소그래픽 프로세스를 제어하기 위한 두 개의 예시적인 프로세스 파라미터는 초점 및 노광량("선량(dose)"으로 또한 칭해짐)이다. 초점은, 일반적으로, 리소그래피 시스템의 광학 서브시스템이 이미지를 렌덩링하는 선명도와 관련되고, 노광량은, 일반적으로, 패턴을 형성하기 위해 사용되는 광(방사선)(예컨대 리소그래피 시스템의 광원에 의해 생성되는 광)의 선량 또는 양과 관련된다. 양자(both)는 회로 패턴에 사소하지 않은 방식으로 영향을 끼친다. 예를 들면, 초점 및 노광량에서의 변경은, 레지스트 프로파일 및 포토레지스트에 인쇄되는 회로의 형상에서의 변경을 야기할 수도 있다.
상이한 구조체 타입은 종종, 이러한 구조체가 결함 없이 형성될 수 있게 하는 리소그래피 초점 및 노광량 설정을 제어하기 위한 상이한 프로세스 윈도우를 갖는다. 상이한 구조체에 대한 이들 윈도우의 교차점은, 초점 및 노광량 설정 또는 윈도우의 최적의 범위로서 정의될 수 있다.
현재로서는, 리소그래피 시스템의 최적의 초점 및 노광량 설정은, 초점 및 노광량의 다수의 조합을 가지고 웨이퍼를 노광시키기 위한 초점 노광량 매트릭스(FEM)를 사용하고, 그 다음, 최상의 레지스트 프로파일 - 소망의 또는 최적의 레지스트 프로파일과 더 가깝게 정합하는 레지스트 프로파일 - 에 대해, 결과적으로 나타나는 패턴을 검사하여 결정된다. 검사는, 일반적으로, 레지스트 프로파일의 다양한 파라미터, 예컨대 CD를 측정하는 CD 주사 전자 현미경(CD scanning electron microscope; CD-SEM)에 의해 수행된다. 대부분의 경우에서는, 이들 파라미터가 측정될 수 있도록, 웨이퍼는 파괴, 예를 들면, 컷스루(cut through)되어야 한다. 프로세스 윈도우는, 일반적으로, 최종 레지스트 프로파일을 소정의 사양(specification) 내에서 유지하는 초점 및 노광량의 영역으로서 정의된다(예를 들면, 프로세스 윈도우는 통상적으로 최적의 초점 및 노광량을 포함한다). 그러나, 최적의 프로세스 윈도우를 결정하기 위한 CD-SEM 기술은 종종, 시간 소모적이고, 신뢰불가능하고, 및 소정의 측벽 레지스트 프로파일을 측정할 수 없다.
추가적으로, IC 구조체 사이즈가 계속 축소하고 프로세스 윈도우 마진(margin)이 또한 축소함에 따라, 생산(production) 동안 구조체 균일성을 유지하는 것이 도전과제가 되고 있다. 레티클 향상 피쳐로 뒤얽히는(convoluted) 리소그래피 셀 노광 시퀀스 섭동을 포함해서, 제조에서의 여러 인자는, 예상치 못한 방식으로 그리고 종종 예측불가능한 방식으로 노광 필드에 걸쳐 변하는 피쳐 응답에 기여한다.
상기의 관점에서, 포토리소그래픽 시스템의 최적의 초점 및 노광량 설정을 결정하고 모니터링하기 위한 향상된 기술이 소망된다. 임의의 적절한 프로세스 또는 구조체 파라미터를 결정하기 위한 향상된 기술이 또한 소망된다.
하기에서는, 본 발명의 소정의 실시형태의 기본적인 이해를 제공하기 위해, 본 개시의 간략화된 개요를 제공한다. 이 개요는 본 개시의 광범위한 개괄이 아니며 또한 이 개요는 본 발명의 주된/주요한 엘리먼트를 식별하거나 또는 본 발명의 범위를 묘사하지 않는다. 그 유일한 목적은 하기에 제공되는 상세한 설명에 대한 전조(prelude)로서 본원에서 개시되는 몇몇 개념을 단순화된 형태로 제공하는 것이다.
일 실시형태에서, 반도체 구조체에 대한 프로세스 및 구조체 파라미터를 결정하는 방법이 개시된다. 반도체 웨이퍼 상의 복수의 필드에 위치되는 하나 이상의 타겟으로부터, 복수의 광학 신호가 획득된다. 필드는 하나 이상의 타겟을 제조하기 위한 상이한 프로세스 파라미터와 관련되고, 획득된 광학 신호는 상부 구조체에 대한 주목 파라미터(parameter of interest; POI)에 관한 정보 및 이러한 상부 구조체 아래에 형성되는 하나 이상의 하층(underlayer)에 대한 하나 이상의 하층 파라미터에 관한 정보를 포함한다. 피쳐 신호가 POI에 대한 정보를 포함하고 하층 파라미터에 대한 정보를 배제하도록, 이러한 획득된 광학 신호로부터 복수의 피쳐 신호를 추출하기 위한 피쳐 추출 모델이 생성된다. 각각의 필드의 각각의 상부 구조체에 대한 POI 값은, 피쳐 추출 모델에 의해 추출되는 피쳐 신호에 기초하여 결정된다.
특정 구현예에서, 피쳐 추출 모델을 생성하는 것은, 획득된 광학 신호에 대해 데이터세트 저감 기술(dataset reduction technique)을 수행하여 변환된 광학 신호 데이터를 생성하는 것, 및 변환된 광학 신호 데이터로부터 피쳐 신호를 추출하기 위한 피쳐 추출 모델을 생성하는 것을 포함한다. 추가 양태에서, 변환된 광학 신호 데이터는 획득된 광학 신호의 선형 조합이다. 다른 양태에서, 데이터세트 저감 기술은, 주 성분 분석(principal component analysis; PCA), 커널 PCA(kernel PCA; kPCA), 비선형 PCA(non-lineary PCA; NLPCA), 독립 성분 분석(Independent Component Analysis; ICA), 또는 로컬 선형 임베딩(Local Linear Embedding; LLE) 알고리즘을 사용하여 달성된다. 또 다른 실시형태에서, 데이터세트 저감 기술은, 주 성분 분석(PCA) 기술을 사용하여 달성되고, 변환된 광학 신호는 PCA 기술로부터 유래하는 제1 주 성분에 대한 획득된 광학 신호를 나타낸다.
대안적인 구현예에서, POI를 결정하는 것은, 피쳐 신호에 기초하여 각각의 상부 구조체에 대한 POI 값을 결정하도록 파라미터 모델을 훈련시키는 것에 의해 달성되고, 그 방법은 (i) 하나 이상의 후속 웨이퍼 상의 복수의 미지의 구조체로부터 복수의 광학 신호를 획득하는 것, 및 (ii) 미지의 구조체에 대한 복수의 POI 값을 결정하기 위해 피쳐 추출 모델 및 파라미터 모델을 사용하는 것을 더 포함한다.
일 양태에서, 획득된 광학 신호는 각각의 필드의 하나 이상의 제1 타겟으로부터의 획득된 신호의 제1 세트 및 각각의 필드의 하나 이상의 제2 타겟으로부터의 획득된 신호의 제2 세트를 포함하고, 피쳐 추출 모델은 제1 획득된 신호의 각각을, 잔여 신호(residual signal)와 제2 획득된 신호의 함수로서 예측한다. 일 양태에서, 하나 이상의 제1 타겟은 상부 층 구조체 및 하층 구조체를 가지며, 하나 이상의 제2 타겟은 하나 이상의 제1 타겟과 동일한 하층 구조체를 가지지만 하나 이상의 제1 타겟의 상부 층 구조체는 제외한다. 이 양태에서, 제1 획득된 신호에 대해 결정되는 잔여 신호는 피쳐 신호로서 정의된다. 다른 양태에서, 하나 이상의 제1 타겟은 상부 층 구조체 및 하층 구조체를 구비하며, 하나 이상의 제2 타겟은 하나 이상의 제1 타겟과 동일한 상부 층 구조체를 구비하지만 하나 이상의 제1 타겟과는 상이한 하층을 구비한다. 이 양태에서, 제1 획득된 신호에 대해 결정되는 제2 획득된 신호의 함수는 피쳐 신호로서 정의된다.
다른 실시형태에서, 획득된 광학 신호는 각각의 필드의 특정 타겟으로부터 제1 방위각(azimuth angle)에서의 획득된 신호의 제1 세트 및 각각의 필드의 특정 타겟으로부터 제2 방위각에서의 획득된 신호의 제2 세트를 포함하고, 특정 타겟은, 상부 구조체가 위에 형성되는 패턴화되지 않은 하층부를 구비한다. 제1 방위각은 제2 방위각과는 상이하고, 피쳐 추출 모델은 제1 획득된 신호의 각각을 잔여 신호와 제2 획득된 신호의 함수로서 예측한다. 제1 획득된 신호에 대해 결정되는 잔여 신호는 피쳐 신호로서 정의된다. 특정 예에서, 제1 방위각은 제로이고, 제2 방위각은 90°이다. 다른 실시형태에서, 획득된 광학 신호는 각각의 필드에서 특정 타겟으로부터의 복수의 2차원 빔 프로파일 반사측정(two-dimensional beam profile reflectometry; 2DBPR) 이미지를 포함하고, 특정 타겟은, 상부 구조체가 위에 형성되는 패턴화되지 않은 하층을 구비한다. 이 실시형태에서, 피쳐 추출 모델은, 잔여 신호를 가지고 각각의 2DBPR 이미지와 적합하는 방사상 대칭 함수이고, 이미지에 대해 결정되는 잔여 신호는 피쳐 신호로서 정의된다.
다른 실시형태에서, 광학 신호는 다음 중 하나 이상을 사용하여 획득된다: 분광 타원해석법(spectroscopic ellipsometry), 뮐러 매트릭스(Mueller matrix) 분광 타원해석법, 분광 반사측정법(spectroscopic reflectometry), 분광 산란측정법(spectroscopic scatterometry), 빔 프로파일 반사측정법(beam profile reflectometry), 빔 프로파일 타원해석법(beam profile ellipsometry), 단일의 파장, 단일의 이산 파장 범위, 또는 다수의 이산 파장 범위.
대안적인 실시형태에서, 본 발명은 시료를 검사하거나 측정하기 위한 시스템에 관련된다. 이 시스템은 조명을 생성하기 위한 조명기(illuminator) 및 반도체 웨이퍼 상의 복수의 필드에 위치되는 특정 타겟을 향해 조명을 지향시키기 위한 조명 광학 장치(illumination optics)를 포함한다. 필드는, 상이한 초점 값을 포함해서, 상이한 프로세스 파라미터를 사용하여 형성되었다. 시스템은 또한, 조명에 응답하여 복수의 필드에 위치되는 특정 타겟으로부터 검출기 시스템으로 복수의 광학 신호를 지향시키기 위한 수집 광학 장치 및 조명에 응답하여 복수의 필드에서의 특정 타겟으로부터 복수의 광학 신호를 획득하기 위한 검출기 센서를 포함한다. 시스템은 상기 설명된 동작 중 임의의 것을 수행하도록 구성되는 프로세서 및 메모리를 더 포함한다. 특정 구현예에서, 시스템은 타원해석기(ellipsometer)의 형태이며 조명에서 편광 상태를 생성하기 위한 편광 상태 생성기 및 광학 신호의 편광 상태를 분석하기 위한 편광 상태 분석기를 포함한다. 다른 실시형태에서, 시스템은 분광 타원해석기, 뮐러 매트릭스 분광 타원해석기, 분광 반사계(spectroscopic reflectometer), 분광 산란계(spectroscopic scatterometer), 빔 프로파일 반사계, 또는 빔 프로파일 타원해석기의 형태이다.
본 발명의 이들 및 다른 양태는 도면을 참조로 하기에서 더 설명된다.
도 1은 CD에 대한 예시적인 보쑹(Bossung) 플롯을, 노광량의 가변 값(varying value)에 대한 초점의 함수로서 예시한다.
도 2는 CD의 가변 값에 대한 노광량 대 초점에 대한 보쑹 플롯의 제2 예를 예시한다.
도 3은 본 발명의 일 실시형태에 따른 최적의 초점을 결정하기 위한 기술을 예시하는 플로우차트이다.
도 4a는 본 발명의 일 실시형태에 따른, 세 개의 신호 조합의 함수로서의 단순화된 초점 및 선량 데이터세트의 플롯이다.
도 4b는 본 발명의 특정 구현예에 따른, 도 4a의 3차원 데이트세트에 대한 세 개의 고유 벡터(eigenvector)를 예시한다.
도 5a는 본 발명의 일 실시형태에 따른, 초점 및 가변 노광량의 함수로서의 광학 신호의 제1 주 성분(PC1)의 세트의 제1 예시적인 보쑹 플롯이다.
도 5b는 본 발명의 다른 실시형태에 따른, 웨이퍼 등고선 영역(contour area)의 세트로서 매핑되는 광학 신호의 PC1의 제2 예를 초점 및 가변 노광량의 함수로서 포함한다.
도 5c는 CD 값의 세트에 대한 제1 주 성분(PC1)의 보쑹 플롯인데, CD 값은 도 5a 및 도 5b의 FEM 웨이퍼 타겟을 제조하기 위해 사용되는 동일한 FEM 레티클 구조체로부터 시뮬레이팅된다.
도 5d는 자신의 제1 주 성분(PC1)으로 변환되고 등고선 영역의 세트로서 배열되는 CD 값의 세트의 웨이퍼 맵을, 초점 및 가변 노광량의 함수로서 포함한다.
도 6은 본 발명의 대안적인 실시형태에 따른, 최적의 초점과 프로그래밍된 초점 사이의 예시적인 관계이다.
도 7은 상이한 상부 층 및 동일한 하층을 구비하는 타겟으로부터 상부 구조체의 주목 파라미터(POI)를 추출하기 위한 프로세스(900)를 예시하는 플로우차트이다.
도 8은 복수의 하층 위에 형성되는 상부 층 격자 형태의 예시적인 제1 타겟의 개략적인 측면도 표현인데, 복수의 하층 중 일부도 또한 격자 구조체를 포함한다.
도 9는 도 8의 타겟과 동일한 하층을 가지지만, 도 8의 상부 층 구조체를 제외하는 제2 타겟의 개략적인 측면도 표현이다.
도 10은 본 발명의 대안적인 실시형태에 따른, 상부 층 구조체 및 하층 구조체 둘 다를 구비하는 타겟으로부터 상부 구조체 POI를 추출하기 위한 대안적인 프로세스를 예시하는 플로우차트이다.
도 11은 본 발명의 다른 실시형태에 따른, 두 개 이상의 방위각을 사용하여, 패턴화되지 않은 하층을 구비하는 타겟으로부터 상부 구조체 POI를 추출하기 위한 다른 프로세스를 예시하는 플로우차트이다.
도 12는 대안적인 실시형태에 따른, 2차원 빔 프로파일 반사측정(2DBPR)을 활용하는 프로시져를 예시하는 플로우차트이다.
도 13은 본 발명의 일 실시형태에 따른 계측 시스템(metrology system)을 예시한다.
하기의 설명에서는, 본 발명의 완전한 이해를 제공하기 위해 수많은 특정 상세가 개시된다. 본 발명은 이들 특정 상세의 일부 또는 전체가 없어도 실시될 수도 있다. 다른 경우에서, 널리 공지된 프로세스 동작은, 본 발명을 불필요하게 모호하게 하지 않기 위해, 상세히 설명되지 않는다. 본 발명이 특정 실시형태와 연계하여 설명될 것이지만, 본 발명을 실시형태로 제한하는 것은 의도되지 않는다는 것이 이해될 것이다.
서론
예로서, FEM 웨이퍼로부터 획득되는 초점-노광량 매트릭스가, 리소그래피 프로세스 윈도우의 결정을 용이하게 하기 위해 보쑹 플롯을 사용하여 시각화될 수도 있다. 보쑹 플롯은, 일반적으로, 도 1의 예와 같은, 노광량의 가변 레벨에 대한 CD 대 초점 위치를 플롯한다. 도시되는 바와 같이, 노광량의 가변 레벨은, 초점 위치가 그래프의 X축을 나타내게 하고 CD가 Y축을 나타내게 하여 등고선 라인(contour line)으로 플롯된다. 제로의 초점 깊이(depth of focus; DOF) 값은 레지스트의 상부에서의 초점의 평면에 대응하고, 한편 음의 또는 양의 DOF 값은, 각각, 레지스트 표면을 기준으로 더 낮은 또는 더 높은 레벨에 있는 초점의 평면으로 나타나게 된다.
프로세스 윈도우는, 일반적으로, 최종 레지스트 프로파일을 미리 정의된 사양 내에서 유지하는 초점 및 노광량의 영역(예를 들면, 112)으로서 정의된다. 예를 들면, CD는 노광 선량 및 DOF 값의 특정 범위에 대해 수용가능한 값의 적절한 범위(또는 미리 정의된 CD 한계)에서 유지된다. CD는 초점 범위(116a 및 116b)에 대해 급격하게 변한다(예를 들면, 특정 노광량 곡선에 대해 증가하거나 감소한다). 최적의 초점(114)은 노광량 또는 선량 설정의 허용가능한 범위에 대한 프로세스 윈도우(112)의 대칭 중심(center of symmetry; COS)으로서 정의될 수 있다.
대안적으로, 보쑹 플롯은 CD의 가변 값에 대한 노광량 대 초점을 플롯할 수도 있는데, 예를 들면, CD의 값은, 도 2에서 도시되는 바와 같이 초점 위치가 그래프의 X축을 나타내게 하고 노광량이 Y축을 나타내게 하여 등고선 라인으로서 플롯된다. 중첩된 보쑹 플롯은 제1 보쑹 플롯(262) 및 제2 보쑹 플롯(264)을 포함한다. 중첩된 보쑹 플롯 각각은 라인 스페이스 격자(line space grating)에 대한 상이한 일정 라인 높이의 세 개의 등고선(265a~c) 및 상이한 일정 라인 폭의 세 개의 등고선(263a~c)을 포함한다. 각각의 곡선은 라인 폭 또는 높이 중 어느 하나를 나타낸다. 예를 들면, 세 개의 라이 폭 곡선(263a~c)은, 각각, 일정한 라인 폭(120 nm, 123 nm, 및 126 nm)에 대응하고, 세 개의 높이 곡선(265a~c)은, 각각, 일정한 높이(284 nm, 290 nm, 및 296 nm)에 대응한다. 도시되는 바와 같이, 라인 높이 및 라인 폭의 특정 등고선의 쌍은 단지 하나의 초점-노광량 조합에서 교차하는데, 초점 및 노광량 둘 다에 대한 고유한 연립해(simultaneous solution)를 나타낸다. 라인 폭이 123 nm(곡선 263b)인 것으로 결정되고, 높이가 284 nm(곡선 265a)인 것으로 결정되면, 최적의 노광량은 26 단위와 대략 동일할 것이고 최적의 초점은 0.2 ㎛와 대략 동일할 것이다.
보쑹 플롯을 사용하여, 다른 레지스트 프로파일 파라미터, 예를 들면, 측벽 각도가 또한 시각화될 수도 있다. 이들 플롯은 일반적으로 얻기가 어려운데, 그 이유는 이들 형상을 측정하는 것이 가끔은 어려운 시도이기 때문이다. 대부분의 경우에서는, 이들 파라미터가 측정될 수 있도록, 웨이퍼는 파괴, 예를 들면, 컷스루되어야 한다.
FEM 웨이퍼로부터 획득되는 광학 신호가 보쑹 플롯에서 또한 시각화될 수 있고 결과적으로 나타나는 곡선은 대응하는 CD 보쑹 플롯에서의 곡선과 유사한 형상을 가질 수 있다는 것이 밝혀졌다. 추가적으로, 광학 신호는, 최적의 리소그래피 설정을 결정하기 위한 기술뿐만 아니라, 프로세서 모니터링 애플리케이션 및 프로세스 제어 애플리케이션에서 CD에 대한 프록시로서 사용될 수 있다. 하나의 예시적인 구현예에서, 최적의 초점을 결정하기 위해, 하나 이상의 광학 신호의 조합의 COS가 사용된다.
광학 신호를 사용한 리소그래피 프로세스 파라미터 결정:
도 3은 본 발명의 일 실시형태에 따른 최적의 초점을 결정하기 위한 기술(300)을 예시하는 플로우차트이다. 최초, 동작(302)에서, 초점을 포함해서, 상이한 프로세스 파라미터를 가지고 형성되는 상이한 필드에서의 특정 타겟으로부터, 복수의 광학 신호가 획득될 수도 있다. 예를 들면, 광학 계측 툴을 사용하여, FEM 웨이퍼 상의 상이한 초점-노광 위치에서, 특정 타겟으로부터 상이한 타입의 광학 신호, 예컨대 복수의 상이한 파장, 편광 등등이 획득될 수 있다. 상이한 FEM 필드로부터의 특정 타겟이 상이한 조건에 노출되기 때문에, 이 타겟은 상이한 필드 중 적어도 일부에서 상이한 구조를 갖기 쉬울 것이다.
더 일반적인 실시형태에서, 프로세스 파라미터 변동은 반도체 웨이퍼(DOE 웨이퍼로 칭해짐)의 표면 상의 실험 설계(DOE) 패턴에서 편제된다. 이 방식에서, 광학 신호 획득 부위(site)는, 상이한 관련 프로세스 파라미터 값을 갖는 웨이퍼 표면 상의 상이한 위치에 대응한다. 일 예에서, DOE 패턴은 초점/노광 매트릭스(FEM) 패턴이다. 통상적으로, FEM 패턴을 나타내는 DOE 웨이퍼는 측정 부위의 그리드 패턴을 포함한다. 하나의 그리드 방향(예를 들면, x 방향)에서, 초점의 깊이가 일정하게 유지되는 동안 노광 선량은 변경된다. 수직 그리드 방향(예를 들면, y 방향)에서, 노광 선량이 일정하게 유지되는 동안 초점의 깊이는 변경된다. 이 방식에서, FEM 웨이퍼로부터 수집되는 측정 데이터는 초점 및 선량(dosage) 프로세스 파라미터에서의 기지의(known) 변동과 관련되는 데이터를 포함한다. 예시된 예에서, 광학 신호 데이터는, 초점 및 노광량에서의 기지의 변동을 가지고 프로세싱되는 FEM 웨이퍼로부터 획득된다. 그러나, 일반적으로, 프로세스 파라미터, 구조체의 파라미터, 또는 둘 모두의 임의의 세트의 기지의 변동과 관련되는 광학 신호 데이터가 고려된다.
타겟, 그들의 제조, 및 측정 부위 및 필드에 대한 그들의 배열의 여러 실시형태는, 2008년 6월 3일자로 발행된 Walter Mieher 등에 의한 미국 특허 7,382,447에서 추가로 설명되는데, 상기 특허는 그 전체가 참조에 의해 본원에 통합된다. 일반적으로, FEM 타겟의 인쇄는, 테스트 레티클의 패턴을 통해 광 또는 방사선을 투사하는 것에 의해 포토레지스트의 층에서 달성될 수도 있다. 타겟은 프로세스 파라미터의 상이한 조합(테스트 매트릭스)을 사용하여 인쇄될 수도 있다.
인쇄된 타겟은 다양하고 상이한 웨이퍼 층에서 인쇄될 수도 있다. 특히, 인쇄된 타겟은 표준 리소그래피 프로세스(예를 들면, 레티클을 통해 그리고 포토레지스트로 코팅된 실리콘 웨이퍼 상으로 회로 이미지를 투사함)를 사용하여 포토레지스트의 층에서 일반적으로 인쇄된다. 웨이퍼는 테스트 프로세스의 그 단계에서 제품 웨이퍼 상에 통상적으로 존재하는 재료에 대응하는 재료의 층을 갖는 테스트 웨이퍼일 수도 있다. 인쇄된 타겟은 기저의(underlying) 층의 다른 타겟 위에 인쇄될 수도 있다. 웨이퍼는 작동하는 디바이스(working device)를 생성할 잠재성을 갖는 제품 웨이퍼일 수도 있다. 웨이퍼는 리소그래피 시스템을 테스팅하기 위해서만 사용되는 간단한 테스트 웨이퍼일 수도 있다. 다수의 테스트 웨이퍼를 사용하는 경우, 동일한 또는 상이한 테스트 레티클이 사용될 수도 있다. 상이한 테스트 레티클은 광범위한 테스트 데이터를 생성하도록 상이한 차원을 갖는 패턴을 구비할 수도 있다.
인쇄된 타겟을 형성하기 위해 사용되는 프로세스 파라미터는, 타겟 특성을 소망의 사양 내에서 유지하도록 일반적으로 구성된다. 인쇄된 타겟은 테스트 프로시져의 일부로서 테스트 웨이퍼 상에 인쇄될 수도 있거나 또는 인쇄된 타겟은 생산 동안 생산 웨이퍼(production wafer) 상에 인쇄될 수도 있다. 생산에서, 인쇄된 타겟은 생산 웨이퍼 상에 배치되는 디바이스 영역(예를 들면, IC를 정의하는 다이) 사이의 스크라이브 라인(scribe line)에 통상적으로 인쇄된다. 측정 부위는 디바이스 구조체 주위에 배치되는 전용 타겟일 수도 있거나 또는 측정 부위는 디바이스 구조체의 일부(예를 들면, 주기적 부분)일 수도 있다. 인식되어야 하는 바와 같이, 디바이스 구조체의 일부를 사용하는 것은 더 어려울 수도 있지만, 디바이스 구조체의 일부를 사용하는 것은 측정 부위가 디바이스 구조체의 일부이기 때문에, 더 정확한 경향이 있다. 다른 실시형태에서, 인쇄된 타겟은 전체 테스트 웨이퍼에 걸쳐 인쇄될 수도 있다.
FEM 측정 부위는 일반적으로 초점 노광량 매트릭스 웨이퍼에 걸쳐 위치된다. 실제, 일반적으로, 필드마다 하나 이상의 측정 부위가 존재할 수도 있다. 각각의 필드는 초점 및 노광량의 상이한 조합을 사용하여 형성될 수도 있다(또는 초점 또는 노광량 단독일 수도 있다). 예를 들면, 제1 필드가 제1 조합을 사용하여 생성될 수도 있고, 제2 필드가, 제1 조합과는 상이한 제2 조합을 사용하여 생성될 수도 있다. 다수의 조합은 가변 초점 및 가변 노광량, 가변 초점-일정한 노광량, 일정한 초점-가변 노광량 등등을 사용하여 생성될 수도 있다.
측정 부위의 수가 또한 상이할 수도 있다. 필드마다의 부위의 수는, 일반적으로, 생산 웨이퍼 상에서 더 적을 수도 있는데, 그 이유는 생산 웨이퍼 상의 부동산(real estate)이 아주 귀중하기 때문이다. 또한, 생산 웨이퍼 상에서는, 생산에서의 시간적 제약으로 인해, 초점 노광량 매트릭스 웨이퍼보다 더 적은 측정이 이루어진다. 일 실시형태에서, 필드마다 단일의 부위가 측정된다. 다른 실시형태에서, 필드마다 다수의 부위가 측정된다.
대부분의 경우에서, 측정 부위 타겟은 상이한 프로세싱 파라미터를 사용하여 동일하게 디자인된 패턴으로부터 형성된다. 그러나, 상이한 초점 노광량 매트릭스는 상이한 타겟을 구비할 수도 있다는 것을 유의해야 한다. 예를 들면, 제1 테스트 매트릭스는 제1 격자 타입을 사용하여 형성될 수도 있고 제2 테스트 매트릭스는 제1 격자 타입과는 상이한 제2 격자 타입을 사용하여 형성될 수도 있다.
타겟은 폭 넓게 변할 수도 있다. 타겟 구조체는 통상적으로 주기적인 격자의 형태일 수도 있다. 격자는, 예를 들면, 라인 스페이스 격자로서 한 방향(X 또는 Y)에서 주기적일 수도 있거나, 또는 격자는, 예를 들면, 그리드 스페이스 격자로서 두 방향(X 및 Y)에서 주기적일 수도 있다. 그리드 스페이스 격자의 예는, 각각의 라인이 X 방향에서 구획되어 있는 Y 방향의 라인의 어레이를 포함할 수도 있다. 다른 그리드 스페이스 예는 도트 구조체의 어레이이다. 즉, 타겟은 라인 스페이스 격자, 그리드 스페이스 격자, 체크보드 패턴 타겟 등등의 형태를 취할 수도 있다. 타겟 디자인 특성 각각은, 라인 폭(특정 높이에서의 폭), 라인 스페이스 폭, 라인 길이, 형상, 측벽 각도, 높이, 피치, 격자 방향, 탑 프로파일(top-profile)(T 토핑(topping) 또는 상부 라운딩(top rounding)의 정도) 등등을 포함할 수도 있다.
다수의 인쇄된 타겟을 사용하는 경우, 각각은 동일한 또는 상이한 특성을 구비할 수도 있다. 인식되어야 하는 바와 같이, 상이한 타겟 특성, 예컨대 상이한 형상 및 피치는 초점에 대해 상이한 응답을 나타내며, 따라서, 상이한 특성을 갖는 별개의 측정 부위를 측정하는 것이 유익할 수도 있다.
타겟 부위로부터 획득되는 광학 신호는, 타겟 파라미터, 예컨대 CD, 측벽, 및 높이, 및 프로세스에서의 변경에 상관하는 것으로 밝혀진 임의의 타입의 신호를 포함할 수도 있다. 예를 들면, 산란측정 측정치는 이러한 정보를 포함할 수도 있다. 예시적인 신호는, 다음을 포함하여, 분광 타원해석법 또는 반사측정법 신호 중 임의의 타입을 포함하지만 이들로 제한되지는 않는다: Ψ, Δ, Rs(s 편광의 복합 반사율(complex reflectivity)), Rp(p 편광의 복합 반사율), Rs(|rs2), Rp(|rp2), R(비편광(unpolarized) 반사율), α(분광 "알파" 신호), β(분광 "베타" 신호), 및 이들 파라미터의 함수, 예컨대 tan(Ψ), cos(Δ), ((Rs-Rp)/(Rs+Rp)), 등등. 신호는 대안적으로 또는 추가적으로, 입사각, 검출각, 편광, 입사 방위각, 검출 방위각, 각도 분포(angular distribution), 위상, 또는 파장, 또는 이들 파라미터의 하나보다 많은 것의 조합의 함수로서 측정될 수 있을 것이다. 신호는 또한, 신호의 조합의 특성묘사(characterization), 예컨대 상기 설명된 타원해석법 및/또는 반사측정법 신호 타입 중 복수의 임의의 것의 평균 값일 수 있을 것이다. 신호는 대안적으로 이미지, 더 정확히 말하면, 이러한 이미지의 특성, 예컨대 강도 값(intensity value) 또는 강도 값의 조합(예를 들면, 평균 또는 합산)의 형태를 취할 수도 있다. 다른 실시형태는 단색성의 광원 또는 레이저 광원을 사용할 수도 있는데, 신호 중 적어도 하나는, 다수의 파장 대신, 단일의 파장에서 획득될 수도 있다.
산란측정법은, CD와 같은 다양한 타입의 타겟 파라미터에 의존하는 광학 신호를 생성하는 측정 기술이다. 타겟은 통상적으로 격자 구조체, 예컨대 주기적 격자 구조체의 형태이다. 산란측정법에서는, 하나 이상의 광 또는 방사선 빔이 격자 구조체 상에 입사되고, 격자 구조체로부터 방출하는 산란된, 반사된 및/또는 회절된 빔이 측정된다. 입사광은 타겟을 향해 수직으로 또는 수직에 대한 어떤 각도에서 지향될 수도 있다. 격자 구조체로부터 방출하는 광은 통상적으로, 입사광을 기준으로 다양한 상태, 즉 각도에서 산란되고, 반사되고 및/또는 회절된다. 다양한 상태에서의 산란된, 반사된 및/또는 회절된 광의 특성(예를 들면, 강도, 위상, 편광 등등)이 측정되어 그에 따라 측정치 신호 또는 측정 스펙트럼을 형성하게 된다. 산란된, 반사된 및/또는 회절된 광의 특성은, 일반적으로, 격자 구조체의 특성에 관한 정보에 관련된다. 즉, 산란된, 반사된 및/또는 회절된 광은, 통상적으로, 구조체의 형상에 따라 변하며, 따라서, 측정된 스펙트럼과 격자 구조체 및 이러한 구조체를 제조하기 위해 프로세싱된 것의 프로파일 사이에는 고유의 관계가 존재한다.
계측 툴은, 예를 들면, DEO 또는 FEM 부위로부터 다양한 상기 언급된 광학 신호를 측정하기 위해, 본 발명의 소정의 실시형태와 연계하여 사용될 수도 있는 하나 이상의 하드웨어 구성을 포함할 수 있다. 이러한 하드웨어 구성의 예는 다음의 것을 포함하지만, 이들로 제한되지는 않는다: 분광 타원해석기(spectroscopic ellipsometer; SE), 다수의 조명 각도를 갖는 SE, 뮐러 매트릭스 엘리먼트를 측정하는 SE(예를 들면, 회전 보상기(들)(rotating compensator)를 사용함), 단일 파장 타원해석기, 빔 프로파일 타원해석기(각도 분해(angle-resolved) 타원해석기), 빔 프로파일 반사계(각도 분해 반사계), 광대역 반사 분광계(spectrometer)(분광 반사계), 단일 파장 반사계, 각도 분해 반사계, 이미징 시스템, 산란계(예를 들면, 스페클 분석기) 등등. 인식되어야 하는 바와 같이, 광학적 계측법(optical metrology), 예컨대 산란측정법은, 생산 시행(production run) 동안 초점 및 또는 노광량을 제어하기 위한 진정한 인시튜 잠재성을 갖는 몇몇 타입의 계측법 중 하나이다, 예를 들면, 광학적 계측법은 다이 투 다이(die to die), 웨이퍼 투 웨이퍼(wafer to wafer), 런 투 런(run to run) 모니터링(등등)에 적합하다. 하드웨어 구성은, 일반적으로, 별개의 운영 시스템(operational system)으로 분리될 수 있다. 한편, 하나 이상의 하드웨어 구성은 단일의 툴로 결합될 수 있다.
예시된 기술을 다시 참조하면, 그 다음, 동작 304에서, 초점에서의 변경뿐만 아니라, 다른 프로세스 파라미터에서의 변경에 관련되는 피쳐가, 광학 신호로부터 추출될 수도 있다. 일 실시형태에서, 초점 및 선량 변경에 가장 민감한, 획득된 신호 중 하나 이상의 조합이 추출된다. 예를 들면, 초점 및 선량 변경에 관련되는 더 많은 정보를 제공하는 것으로, 단일의 신호 타입, 신호 타입의 조합(예컨대 상이한 파장 범위의 세트)이 추출될 수도 있다. 다른 실시형태에서, 광학 신호로부터의 피쳐로서, 하나 이상의 신호의 선형 조합, 비선형 조합, 또는 가중된 조합이 추출될 수도 있다.
초점/선량과 같은 프로세스 파라미터에서의 변경에 관계가 있는 최상의 정보를 갖는 광학 신호 중 하나 이상으로부터 피쳐를 추출하기 위해, 임의의 적절한 피쳐 추출 기술이 구현될 수도 있다. 계측 툴의 오퍼레이터는, 시행착오를 통해 상이한 신호 또는 신호 속성(property) 조합을 평가하여 제조된 구조체의 특성에서의 변경 궤적과 유사한 초점에 대한 변경 궤적을 초점의 함수로서 제공하는 최상의 피쳐를 결정하는 것에 의해, 추출될 피쳐를 선택할 수도 있다. 상이한 신호 또는 신호 조합의 자동화된 분석은, 몇몇 기준, 예컨대 (상이한 노광량에 대해) 포물선 형상의 세트를 최소 노이즈를 갖는 초점의 함수로서 형성하는 신호 또는 신호 조합을 선택하는 것의 최적화에 기초하여 실행될 수도 있다. 추가적으로, 하부 층(lower layer)의 타겟에 의해 야기되는 신호에 대한 임의의 영향을 상쇄하기 위해, 파장 범위의 조합이 선택될 수도 있다. 예를 들면, 소정의 파장은, 기저의 구조체에 민감하지 않은 파장에 대한 포물선 형상의 등고선과는 대조적으로, 초점 및 선량 웨이퍼 부위에 대해 원형의 등고선 패턴으로 나타날 수도 있다. 기저 층의 타겟에 민감한 것으로 밝혀진 파장 범위는, 추출된 피쳐로서, 사용되는 것으로부터 선택해제될 수도 있다.
예시적인 자동화된 피쳐 추출 기술은 주 성분 분석(PAC), 독립 성분 분석(ICA), 로컬 선형 임베딩(LLE) 알고리즘 등등을 포함한다. 최적의 초점을 결정하기 위해 PCA 변환으로부터 유래하는 제1 주 성분을 사용하는 것의 관점에서 하기의 예시적인 실시형태가 설명되지만, 다른 실시형태는 다른 피쳐 추출 결과 또는 기술을 활용할 수도 있다. 예를 들면, PCA를 통해 결정되는 바와 같은 제1 및 제2 주 성분이 사용될 수도 있다. 애플리케이션의 특정 요건에 기초하여, 임의의 수의 주 성분이 선택될 수도 있다. 또 다른 예에서, ICA 또는 LLE와 같은 다른 피쳐 추출 툴로부터의 출력이 사용될 수도 있다. 추가적으로, 초점 외에, 다른 프로세스 파라미터가 최적화되고 모니터링될 수도 있다.
PCA 실시형태에서, 추출된 피쳐는, 상이한 좌표 시스템 상으로의 신호 데이터세트의 변환 및 변환된 데이터세트가 가장 큰 변동을 갖게 되는 이러한 새로운 좌표 시스템의 특정 차원의 선택(또는 방향 또는 투사 방향)에 대응하는데, 가장 큰 변동은 프로세스 파라미터 변경에 대해 가장 많은 정보를 제공한다. 다른 방식으로 말하면, 상이한 초점/선량 타겟 부위 또는 샘플(로우)에 대한 변수(칼럼)로서 정의될 수 있는 하나 이상의 광학 신호의 상이한 조합을 이용하여 데이터세트가 생성될 수도 있다. 제1 주 성분은, 가장 큰 변동을 갖는 것으로 밝혀지는 PCA 변환 데이터세트의 변환된 방향 또는 차원에 대응한다. 제2 주 성분은 두 번째로 가장 큰 변동을 갖는 등등이다.
도 4a는 본 발명의 일 실시형태에 따른, 세 개의 신호 조합의 함수로서의 단순화된 초점 및 선량 데이터세트의 플롯이다. 도시되는 바와 같이, 샘플 데이터세트는 신호(S1, S2, 및 S3)에 대응하는 세 개의 차원을 갖는다. 예를 들면, 데이터세트는 두 개의 상이한 초점 부위에 대응하는 데이터 포인트(402a 및 402b)를 포함한다. 도시되는 바와 같이, 신호(S1)는 모든 데이터 포인트에 대한 상수 값이다. 즉, 데이터세트는 S1 차원에 수직인 평면에 존재한다.
도 4b는 본 발명의 특정 구현예에 따른, 도 4a의 3차원 데이트세트에 대한 세 개의 고유 벡터를 예시한다. 도시되는 바와 같이, 데이터세트는 세 개의 고유벡터 ev1(410a), e2(410b), 및 e3(410c)를 구비한다. 고유벡터의 각각은 또한, 이러한 고유벡터와 관련하여 데이터세트에서의 분산의 양에 대응하는 고유값을 갖는다. 예를 들면, 주 고유벡터 e1(410a)은 가장 큰 데이터세트 분산과 관련된다. 대조적으로, 고유 벡터 e2(410b)는 훨씬 적은 데이터세트 분산을 가지며, 고유벡터 e3(410c)은 제로의 데이터 분산을 갖는다.
다시 도 3을 참조하면, 그 다음, 동작 306에서, 대칭 곡선이 초점 축을 따라 추출된 피쳐에 적합될 수 있다. 즉, 임의의 대칭 타입의 곡선이 추출된 피쳐 데이터에 적합될 수도 있다. 하나의 특정 예에서, 포물선이 추출된 피쳐에 적합된다. 그 다음, 동작 308에서, 곡선의 극점(extreme point)이 결정되고 최상의 초점으로서 보고될 수 있다. 예를 들면, 적합된 대칭 포물선의 피크 또는 골(valley)은 최적의 초점으로서 정의될 수 있다. 그 다음, 동작 310에서, 보고된 최상의 초점은 리소그래피 설정을 조정하거나 모니터링하기 위해 사용될 수도 있다. 특정 예로서, 결정된 최적의 초점은, 스테퍼 렌즈 시스템을 조정하거나 교정하기 위해, 광학적 수차의 부정적인 영향을 최소화하는 최적의 스테퍼 동작 파라미터를 선택하기 위해, 또는 스테퍼 렌즈 시스템의 안정성을 모니터링하기 위해 사용될 수도 있다. 그 다음, 최적의 초점 결정 프로세스(300)는 종료할 수도 있다.
보정된 초점 노광량 정보는 오퍼레이터에 의해 리소그래피 툴에 수동으로 입력되거나 또는 시스템에 의해 자동적으로 입력될 수도 있다. 대부분의 경우에서, 보정은, 어떤 실제 보정을 행할지를 분석하여 결정하는 프로그램 또는 컴퓨터로 공급될 수도 있다. 예를 들면, 결정은, 예를 들면, 보정이 너무 작으면, 보정을 행하지 않는 것일 수도 있다. 또한, 전체 보정을 변경할 수도 있는 다른 프로세스로부터의 다른 정보가 존재할 수도 있다.
PCA 예를 다시 참조하면, 초점/선량 데이터세트는 주 성분과 관련하여 플롯될 수 있다. 예를 들면, 각각의 샘플 데이터 포인트는, 주 성분 방향을 y 축으로 정의하고 초점을 x축으로 정의하여 플롯될 수 있다. 상이한 선량은 상이한 등고선 라인으로 표현될 수 있다. 그 다음, 이 플롯된 데이터세트에 대해 대칭 포물선이 적합될 수 있다. 도 5a는 본 발명의 일 실시형태에 따른, 광학 신호의 제1 주 성분(PC1)의 세트의 제1 예시적인 보쑹 플롯(502)을 초점 및 가변 노광량의 함수로서 포함한다. 광학 신호의 PC1은 분석되어, 2의 다항식 적합도(polynomial fit degree)를 갖는 포물선(504)과 같은 곡선 적합을 결정할 수도 있다. 예시된 예에서, PC1에 대해 적합된 곡선은 3.231847+2.9355253*초점-211.48888*(초점-0.04944)2와 동일하다. 이 곡선 함수의 경우, 최소 PC1 포인트는 0.0425의 최적의 초점(506)에 대응한다.
도 5b는 본 발명의 다른 실시형태에 따른, 웨이퍼 등고선 영역의 세트로서 매핑되는 광학 신호의 PC1의 제2 예를 초점 및 선량의 함수로서 포함한다. 등고선 영역은, 초점 및 노광량 값의 특정 세트에 대한 적합된 곡선 함수로부터 계산되는 상이한 PC1 값에 대응한다. 상이한 PC1 값은 상이한 컬러가 제공받을 수 있고 대응하는 FEM 부위로 매핑되어 PC1 웨이퍼 맵을 형성할 수 있다. 이들 등고선은 또한 분석되어, 0.0425의 최적의 초점(512)에 또한 대응하는 등고선 곡선의 최대 포인트를 결정할 수 있다.
광학 신호에 관한 피쳐 추출에 기초하여 최적의 초점을 결정하는 것은, 동일한 FEM 부위로부터 획득되는 CD 값에 대해 피쳐 추출을 적용하는 것과 일치하는 결과를 제공하는 것처럼 보인다. 도 5c는 CD 값의 세트에 대한 제1 주 성분(PC1)의 보쑹 플롯(552)인데, CD 값은 도 5a 및 도 5b의 FEM 웨이퍼 타겟을 제조하기 위해 사용되는 동일한 FEM 레티클 구조체로부터 시뮬레이팅된다. 결과의 비교를 위해, CD 값은 FEM 웨이퍼 타겟에 대한 실제 측정치 또는 시뮬레이션을 통해 획득될 수 있다. 예시된 예에서, PC1에 대해 적합된 곡선(554)은 0.8792593-0.6781819*초점-57.945882*(초점-0.04944)2와 동일하다. 이 곡선 함수의 경우, 최대 PC1 포인트는 0.0436의 최적의 초점(556)에 대응한다. 마찬가지로, 도 5d는 자신의 제1 주 성분(PC1)으로 변환되고 등고선 영역의 세트로서 배열되는 CD 값의 세트의 웨이퍼 맵(560)을, 초점 및 선량의 함수로서 포함한다. 따라서, CD 보쑹 플롯은 도 5a 및 도 5b의 광학 신호의 주 성분 보쑹 플롯과 실질적으로 동일한 최적의 초점 0.0436(560)을 생성한다.
본 발명의 소정의 기술은, 최적의 초점을 결정하기 위한 효율적이고 비파괴적인 방식을 제공한다. 추가적으로, 초점 또는 다른 프로세스 파라미터를 결정하기 위한 소정의 기술은 모델의 사용 없이 구현될 수 있다.
최적의 초점을 결정하기 위한 프로세스는 다수의 타겟 타입에 적용될 수 있다(예를 들면, 피치, CD 등등과 같은 상이한 디자인 특성을 갖는 상이한 특정 타겟을 가지고 도 3의 프로세스(300)를 반복함). 다수의 타입의 타겟을 사용한 연립해는, 일반적으로, 주어진 타겟 파라미터 값에 대한 프로세스 파라미터의 다수의 해를 허용하는 타겟 파라미터에 대한 프로세스 파라미터 의존성과 관련되는 문제점을 극복한다. 예를 들면, 특정 라인 폭의 경우, 노광 선량이 정확하게 알려지더라도, 초점 노광량 의존성은 초점에서 비선형적이고 초점에 대한 다수의 해를 허용한다. 연립해는 또한, 단지 하나만의 파라미터로부터의 정보를 가지고 초점 및/또는 노광량을 구할 때 조우될 수도 있는 해 사이의 축퇴를 제거할 수 있다(상이한 타겟 파라미터는 초점 및 노광량에 대해 상이한 의존성을 가질 수도 있다). 많은 초점 노광량 매트릭스 측정치로부터 결정되는 초점 해를 사용하는 것은, 측정치 오차, 스테퍼 오차 등등을 평균낼 수 있다.
리소그래피 툴의 임의의 특정한 노광 필드에서의 각각의 위치가, 약간 상이한 경사 위치(및 대응하는 초점 오프셋 위치)와 또한 관련될 수도 있기 때문에, 상이한 필드 위치에 대한 최적의 초점을 결정하기 위해, FEM 웨이퍼 상의 각각의 노광 필드의 다수의 위치 상에, 동일한 타겟이 형성될 수도 있다. 그 다음, (예를 들면, 상이한 선량 및 상이하게 프로그래밍된 초점에서 노광되는) 다수의 필드로부터의 각각의 필드 위치로부터 획득되는 광학 신호에 기초하여 각각의 필드 위치에 대한 최적의 초점이 결정될 수 있다. 그 다음, 각각의 필드 위치에 대한 최적의 초점은, 상이한 필드 위치에 대한 상이한 최적의 초점 값을 달성하기 위한 전체 노광 필드에 대한 최적의 초점 경사를 프로그래밍하도록 사용될 수 있다.
최적의 또는 최상의 초점이 결정될 수 있지만, 리소그래피 툴은 실제로, 웨이퍼 표면의 정확한 위치를 감지함에 있어서 툴이 갖는 어려움에 의해 야기될 수도 있는 상이한 초점을 프로그래밍할 수도 있다. 즉, 리소그래피 툴이 실제 웨이퍼 표면에 관한 초점의 특정 깊이를 달성하는 것은 곤란할 수도 있다. 다른 격자 구조를 갖는 층 위에 배치되는 포토레지스트 재료와 같은 상대적으로 투명한 막에 관한 초점의 특정한 최적의 깊이는 특히 달성하기 어렵다. 따라서, 결과적으로 나타나는 프로그래밍된 초점은 입력된 최상의 또는 최적의 초점과 동일하지 않을 수도 있다.
본 발명의 소정의 실시형태는 또한, 프로그래밍된 초점을 모니터링하는 방식을 제공할 수도 있다. 최상의 초점과 프로그래밍된 초점 사이의 관계는, 프로그래밍된 초점 오프셋을 갖는 다수의 FEM 웨이퍼로부터의 FEM 데이터세트에 기초하여 결정될 수 있다(focusbest=f(Focusprogrmmed)). 이 관계는 모델이 없는 초점 측정에 대한 캘리브레이션으로서 사용될 수 있다. 즉, 관계는 초점을 조정하기 위해 사용될 수 있다. 도 6은 본 발명의 대안적인 실시형태에 따른, 최적의 초점과 프로그래밍된 초점 사이의 예시적인 관계이다. 각각의 포인트는 특정한 최적의 또는 "예측된" 초점(FEM 신호의 특정 세트로부터 결정됨)과 프로그래밍된 "실제" 초점에 대응한다.
최상의 초점과 프로그래밍된 초점 사이의 관계를 결정함에 있어서, 지오메트리 파라미터(geometric parameter)(또는 광학 신호)로부터 실제 초점을 유도하기 위한 개별적인 모델은 요구되지 않는다. 프로세스 변동이 이 관계에 의해 캡쳐되기 때문에, 프로세스 변동으로 인해 기저의 구조체 토폴로지가 변경하는 경우에도, 실제가 측정될 수 있다. 현존하는 모델 기반의 계측 방법을 사용하여 모델링하는 것은 불가능하거나, 또는 아주 어렵다. 전통적으로, 모델 기반의 반도체 계측은, 측정 타겟의 특정한 계측 시스템과의 상호작용의 모델에 기초하여, 측정된 광학 신호의 예측을 시도하는 계측 모델을 정형화하는 것을 포함한다. 타겟 고유의 모델은, 주목하는 측정 타겟의 물리적 속성(예를 들면, 막 두께, 임계 치수, 반사율, 격자 피치 등등)의 관점에서의 구조체의 파라미터화를 포함한다. 또한, 모델은 측정 툴 자체(예를 들면, 파장, 입사각, 편광 각 등등)의 파라미터화를 포함한다.
그러나, 획득된 신호로부터 임의의 구조체 파라미터(예를 들면, 지오메트리 또는 재료 파라미터)를 결정하기 위한 캘리브레이션 기술을 포함하도록 상기 상술된 기술을 확장하는 것이 유익할 수도 있다. 예를 들면, 파라미터 모델은, 특정 구조체 파라미터에 대한 광학 신호를 예측하기 위해 기지의 기준 구조체(reference structure)에 기초하여 훈련될 수도 있다. 그 다음, 파라미터 모델은, 특정 구조체 파라미터에 대한 프로세스 변동 영향을 모니터링하는 것과 같은 임의의 적절한 애플리케이션에 대한, DOE/FEM 또는 임의의 다른 테스트 구조체와 같은 미지의 구조체의 획득된 광학 신호로부터 특정 구조 파라미터를 결정하기 위해 사용될 수 있다.
광학 신호로부터 하층 변동의 제거:
하층 및 그들의 구조체는, 특정 테스트 구조체로부터 획득되는 임의의 광학 신호에 영향을 끼칠 수 있고, 따라서, 이러한 획득된 광학 신호는 특정 타겟의 구조체 파라미터뿐만 아니라 하층에 관한 정보를 포함할 수도 있다. 본 발명의 대안적인 실시형태에서, 획득된 광학 신호에 대한 하층의 영향은, 상부 층 구조체에 관한 정보만을(또는 대부분의 정보를) 유지하는 신호를 분리하기 위해 실질적으로 제거된다. 다른 방식으로 말하면, "주목 파라미터" 또는 "POI"에 관한 정보를 구비하는 광학 신호는 획득된 광학 신호로부터 분리되고, 한편 하층 영향은 이러한 신호로부터 실질적으로 제거된다.
본 발명의 소정의 대안적인 실시형태는, 최적의 초점(등등)을 결정하기 위한 두 개 이상의 타겟을 구현하는 기술을 포함한다. 하기의 기술 중 일부가 두 개의 상이한 타겟(T1 및 T2)을 활용하는 것으로 설명되지만, 그 기술은 또한 다수의 T1 및 다수의 T2 타겟을 사용할 수도 있다. 도 7은 본 발명의 일 실시형태에 따른, 상이한 상부 층 및 동일한 하층을 구비하는 타겟으로부터 상부 구조체의 주목 파라미터(POI)를 추출하기 위한 프로세스(700)를 예시하는 플로우차트이다.
임의의 적절한 두 개의 타겟은 하층 변동을 제거하도록 활용될 수도 있다. 일반적으로, 각각의 타겟은, 완성된 디바이스 또는 생산 웨이퍼에 대한 제조 프로세스의 일부를 구성하는 다수의 제조 층의 결과로서 형성되는 다양한 하층 구조체를 포함할 수도 있다. 제조 프로세스 중에서의 이들 층의 각각은 대응하는 테스트 구조체를 포함할 수도 있다. 도 8은 복수의 하층(808) 위에 형성되는 상부 층 격자(802) 형태의 예시적인 제1 타겟(800)의 개략적인 측면도 표현인데, 복수의 하층 중 일부도 또한 격자 구조체(예를 들면, 804 및 806)를 포함한다.
스펙트럼(810)은 이 제1 타겟(800)의 상부 층(802)에 입사하도록 지향될 수도 있다. 그러나, 상부 층(802)이 반투명이기 때문에, 입사광은 또한, 층(804 및 806) 상의 격자와 같은 기저 구조체의 일부에 도달할 수도 있다. 기저의 격자 구조체의 적어도 일부 및 상부 격자 구조체는 입사광을 산란시키기 쉬울 것이고, 따라서 반사된 광 및 산란된 광 둘 다가 서로 상호작용하게 하여 상부 층 구조체 및 기저의 층 구조체 둘 다에 종속하는 출력 광으로 나타나게 된다. 따라서, 이 타겟(800)으로부터 획득되는 출력 광은 상부 층(802) 및 기저의 층(808) 둘 다에 관한 정보를 포함한다. 이 출력 신호는, 최적의 초점 또는 CD와 같은 프로세스 또는 상부 구조체 파라미터를 결정하는 데 유효하게 사용될 수 없는데, 그 이유는 이러한 출력 신호가 기저의 구조체에 의해 손상되기 때문이다.
예시된 기술의 경우, 광학 신호는 또한, 제1 타겟(T1)(800)의 상부 구조체 위에 형성되는 모든 기저의 층 구조체(808)를 포함하지만, 그러나 제1 타겟(T1)의 상부 구조체(802)를 제외하는 제2 타겟(T2)으로부터 추출될 수 있다. 예시적인 제2 타겟(T2)(900)이 도 9에서 도시된다. 각각의 필드의 두 개의 타겟(T1 및 T2)은, 이러한 두 개의 타겟 사이에 어떠한 프로세스 변동이 존재할 가능성이 없도록, 서로 근처에 위치되는 것이 바람직하다. 예를 들면, 두 개의 타겟(T1 및 T2)은 동일한 DOE 필드에 서로 인접하게 형성된다.
DOE 웨이퍼의 복수의 상이한 필드로부터의 두 개의 타겟(T1 및 T2)으로부터 복수의 광학 신호가 획득될 수 있다. 이 예에서, 두 개의 타겟(T1 및 T2)은 동일한 하층을, 그러나 상이한 상부 층을 구비한다. 예를 들면, T1은 상부 구조체(도 8)를 가지며, 한편 T2는 이러한 상부 구조체(도 9)를 구비하지 않는다.
예로서, 상기에서 설명되는 바와 같이 상이한 파장 등등의 복수의 상이한 신호는 각각의 DOE 필드 내의 두 개의 타겟으로부터 수집될 수도 있다. 그 다음, 동작 704에서, 신호는 감소될 수도 있다. 예를 들면, 신호의 데이터세트는 PCA 기술에 의해 또는 본원에서 설명되는 기술과 같은 다른 데이터 감소 기술 중 임의의 것에 의해 감소될 수도 있다. 다른 감소 기술은 kPCA(kernel principal component analysis; 커널 주 성분 분석), LLE(로컬 선형 임베딩), NLPCA(비선형 PCA) 등등을 포함한다.
그 다음, 동작 706에서, T1 신호를 T2 신호의 함수로서 예측하는 피쳐 추출 모델이 잔여 신호를 가지고 생성될 수도 있다. 예를 들면, 피쳐 추출 모델은 다음의 식으로 표현될 수 있다:
ST1=f(ST2)+R
여기서 f(ST2)는, 특정 필드의 특정 T2 타겟에 대한, 상이한 파장 신호 응답과 같은 T2 신호 전체 또는 각각의 특정 파장 응답 신호와 같은, 나머지 R개의 잔여 신호를 갖는 동일한 특정 필드의 각각의 T1 신호를 예측하기 위한 프로세스 값의 세트를 활용하는 함수이다. 함수 f(ST2)는 임의의 적절한 훈련 기술, 예컨대 빠른 축소 차수 모델(Fast-reduced-order model); 회귀(regression); 신경망, 지원벡터 머신(support-vector machines; SVM) 등등과 같은 머신 학습 알고리즘에 의해 결정될 수도 있다.
그 다음, 동작 708에서, 잔여 신호는 추출된 상부 구조체 신호로서 정의될 수 있다. 더 구체적으로는, 이때, 생성된 함수는 다음에 의해 각각의 ST1 신호로부터 T1 타겟의 상부 구조체에 대한 신호 응답을 추출하기 위해 사용될 수 있다:
R=f(ST2)-ST1
각각의 특정 T1 신호로부터 추출되는 잔여 R 신호는 초점 및 선량 정보뿐만 아니라, 상부 층 구조체 파라미터에 관련되는 정보를 유지할 것이다. R 신호는 주목 포인트(POI) 변동을 포함하며, 하층 구조체와 같은, T1과 T2 사이에서 동일한 파라미터로부터의 변동을 거의 또는 전혀 포함하지 않는다. 시스템적 오차가 또한 상쇄될 수 있는데, 그 이유는, T1 및 T2가 동일한 필드에서 서로 가까이 위치되기 때문에, 이러한 오차가 T1 및 T2에 대해 동일할 가능성이 있기 때문이다.
그 다음, 추출된 잔여 신호는 임의의 적절한 방식으로 사용될 수 있다. 예를 들면, 잔여 신호는 상기 설명되는 바와 같이 최적의 초점을 결정하는 데 사용될 수도 있다. 다른 실시형태에서, 임의의 적절한 프로세스 및/또는 구조체 파라미터가 결정될 수도 있다. 예를 들면, 기지의 구조체로부터의 기준 데이터(reference data)에 기초하여 추출된 신호로부터 프로세스 또는 지오메트리 파라미터를 예측하기 위해 예측 모델이 훈련될 수도 있다. 예를 들면, 기준 데이터는, 광학 신호가 또한 수집되는 기준 구조체의 세트에 대해 CD-SEM을 수행하는 것에 의해 결정될 수 있다. 그 다음, 파라미터 모델은, 기지의 기준 구조체로부터의 기지의 기준 광학 신호를 사용하여 훈련된다. 그 다음, 파라미터 모델은, 피쳐 추출 모델에 의해 결정되는 잔여 신호에 기초하여 프로세스 변동으로부터 유래하는, DOE 구조체 또는 다른 웨이퍼로부터의 다른 구조체와 같은 미지의 타겟 또는 구조체의 지오메트리 파라미터를 결정하기 위해 사용될 수 있다.
하층 신호를 제거하고 상부 구조체 신호를 예측하기 위해, 다른 타입의 타겟이 활용될 수도 있다. 도 10은 본 발명의 대안적인 실시형태에 따른, 동일한 상부 층 및 상이한 하층을 구비하는 타겟으로부터 상부 구조체 POI를 추출하기 위한 대안적인 프로세스(1000)를 예시하는 플로우차트이다. 예를 들면, 하층 구조체의 일부는 타겟 사이에서 상이하다.
이 구현예에서, 광학 신호는, 동작 1002에서, DOE 웨이퍼의 상이한 필드로부터의, 상이한 하층을 구비하지만 동일한 상부 층을 구비하는 두 개의 타겟으로부터 획득된다. 도 9와 마찬가지로, 동작 1004에서, 획득된 신호의 세트가 또한 감소될 수 있고, 동작 1006에서, T1 신호를 T2 신호와 잔여 신호의 함수, 예를 들면, ST1=f(ST2)+R로서 예측하는 피쳐 추출 모델이 또한 생성될 수 있다.
이 실시형태에서, 적합된 값 f(ST2)=ST1-R은, 동작 1008에서, 추출된 상부 구조체 신호로서 정의될 수도 있다. 이들 추출된 상부 구조체 신호는, (상부 층의) T1 및 T2에 대해 동일한 POI 변동을 포함한다. 랜덤 노이즈가 또한 감소될 수도 있는데, 노이즈가 T1 및 T2에 대해 상이하며 이러한 노이즈가 하층 신호 차이와 함께 R 안으로 통합되기 때문이다. 그 다음, 추출된 POI 신호는, 기준 또는 훈련 데이터의 사용을 통해 미지의 구조체로부터 초점, 프로세스 또는 구조체 파라미터를 결정하기 위해 사용될 수도 있다.
다른 하층 제거 실시형태에서, 도 11은 본 발명의 다른 실시형태에 따른, 두 개 이상의 방위각을 사용하여, 패턴화되지 않은 하층을 구비하는 타겟으로부터 상부 구조체 POI를 추출하기 위한 다른 프로세스(1100)를 예시하는 플로우차트이다. 최초, 동작 1102에서, DOE 웨이퍼의 상이한 필드에 있는 특정한 타겟으로부터, 두 개의 상이한 방위각의 광학 신호가 획득된다. 상이한 방위각의 광학 신호는 또한, 상이한 파장 등등에서 획득될 수도 있고, 상기에서 설명되는 바와 같이, 각각의 DOE 필드 내의 특정 타겟으로부터 수집될 수도 있다.
POI는 상이한 방위각에 대해 상이한 감도를 가질 것이다. 일반적으로, 두 개의 방위각은, (필수적인 것은 아니지만) 격자 또는 패턴 결함에 대한 증가된 감도를 위한 서로 수직인 각도와 같은 임의의 적절한 각도(제로 또는 90° 각도)를 포함할 수도 있다. 예를 들면, 스펙트럼 측정은, 격자 방향에 수직인 그리고 평행한 방향에서 획득될 수도 있다. 동작 1104에서, 신호는 또한 감소될 수도 있다.
그 다음, 동작 1106에서, 제1 방위각의 광학 신호를, 제2 각도의 광학 신호와 잔여 신호의 함수로서 예측하는 피쳐 추출 모델이 생성될 수도 있다. 제로의 방위(Az0) 및 90°의 방위(Az90)에 대해, 모델은 다음과 같이 표현될 수 있다:
Saz0=f(Saz90)+R
그 다음, 동작 1108에서, 나머지는 추출된 상부 구조체 신호로서 정의될 수 있다. 예를 들면, 상부 구조체 신호는 R=f(Saz90)-Saz0이다. 결과적으로 나타나는 R 신호는 POI 변동 정보를 포함하고 패턴화되지 않은 층의 파라미터로부터의 변동을 거의 또는 전혀 포함하지 않는다. 몇몇 시스템 오차는, 이러한 오차가 Saz0 및 Saz90에 대해 동일하기 때문에 상쇄된다. 그 다음, 추출된 POI 신호는, 기준 또는 훈련 데이터의 사용을 통해 미지의 구조체로부터 초점, 프로세스 또는 구조체 파라미터를 결정하기 위해 사용될 수도 있다.
도 12는 대안적인 실시형태에 따른, 2차원 빔 프로파일 반사측정(2DBPR)을 활용하는 프로시져를 예시하는 플로우차트이다. 최초, 동작 1202에서, DOE 웨이퍼의 상이한 필드에 있는 특정 타겟으로부터 2DBPR 이미지가 획득된다. 예시된 예에서, 타겟은 상부 격자 구조를 갖는 패턴화되지 않은 하층을 구비할 수도 있다. 2DBPR 시스템은 각도 분해 시스템(angle-resolved system)이며, 각각의 픽셀이 동일한 입사각(angle of incidence; AOI)에, 그러나 상이한 방위각에 대응하게 되는 퓨필 이미지(pupil image)를 생성한다. 퓨필 이미지의 중심에서 시작하는 라인 상에 있는 픽셀은 동일한 방위각을, 그러나 상이한 AOI 각도를 갖는다.
2DBPR 이미지는, 측정된 샘플이 막(film)을 닮은 경우 방사상으로 대칭이고 샘플이 어떤 주기적 구조체를 갖는 경우 비방사상으로 대칭이다. 이 피쳐를 사용하여, 방사상으로 대칭인 함수 g()는 비대칭 R 신호를 더해서 2DBPR 이미지에 적합될 수도 있다: Sraw=g()+R. 예시된 실시형태에서, 퓨필 신호/이미지(Sraw)에 적합하는 방사상 대칭인 함수 g()가 동작 1206에서 정의될 수도 있다. 잔여 신호는 격자에 대응하고, 한편 함수 g()는 막에 대응한다. 그 다음, 동작 1208에서, R 신호는 격자 구조체의 추출된 POI 신호로서 정의될 수 있고, 그 다음, 예를 들면, 훈련된 파라미터 모델 또는 본원에서 설명되는 임의의 캘리브레이션 기술을 통해, 임의의 적절한 프로세스 및/또는 구조체 파라미터를 결정하는 데 사용될 수 있다. 대안적인 실시형태에서, 획득된 신호에 함수를 적합시키는 대신, 패드(또는 격자가 없는 구조체)로부터의 신호는, 격자 신호를 추출하기 위해, 격자 타겟으로부터 얻어지는 획득된 신호에서 감산될 수 있다.
도 10 또는 도 11의 예에서, 적합된 값은 방위 변경에 민감하지 않은 파라미터를 측정하기 위해 사용될 수도 있다. 방위 변경에 민감하지 않은 예시적인 파라미터는 막 두께, 재료 n,k 속성 등등을 포함할 수도 있다. 역으로, 방위 변경에 민감한 파라미터는 격자 파라미터, 임계 치수(critical dimension; CD), 측벽 각도(side-wall angle; SWA), 높이(HT) 등등을 포함한다.
대안적인 실시형태에서, 하층 및 구조체 파라미터 상관관계로부터 곤란을 겪고 있는 임의의 모델 기반의 구조체 특성묘사 방식에 대해, 차동 방법(differential method)이 적용될 수도 있다. 예를 들면, 상이한 타겟(예를 들면, 상부 구조체를 갖는 타겟 및 이러한 상부 구조체가 없는 타겟)에 대해 획득되는, 동일한 입사각 및 방위각에서 수집되는 유사한 신호, 예를 들면, 알파와 같은 타원해석 파라미터(ellipsometric parameter)를 감산하는 것은, 하층 변동을 감소시키거나 제거하는 것을 도울 수도 있다. 대안적으로, 동일한 타겟으로부터의 그러나 상이한 방위각(예를 들면, 0도 및 90도)의 신호가 수집될 수 있고, 이들 차동 신호(differential signal)는 격자 신호를 향상시키고 하층 신호와의 상관을 해제하는 데 사용될 수 있다. 동일한 타겟에 대한 두 개 이상의 방위각으로부터의 차이 신호를 사용하기 위한 여러 기술은, Thaddeus Gerard Dziura 등에 의해 2014년 8월 1일자로 출원된 일련번호 14/449,646을 갖는 미국 특허에서 추가로 설명되는데, 상기 출원은 모든 목적을 위해 그 전체가 본원에 통합된다. 일반적으로, 차이 신호는 일반적인 비섭동 막 신호를 상쇄하고 격자 섭동 또는 결함으로 인한 기여를 남기는 경향이 있다. 제로의 차이 신호는 비결함 격자에 대응한다.
각각의 퓨필 이미지에서의 메인 신호가 격자가 없는 기저의 구조체로부터의 반사에 의해 지배되기 때문에, 2DBPR에 대해 유사한 방식이 사용될 수 있다. 예를 들면, 수직 대 수직 편광 이미지는 수평 대 수평 편광 반사율과 동일하다(그러나 90도 회전된다). 차동 신호를 사용하는 것은, 기판 및 하층으로부터의 기여를 억제하는 데 도움이 될 수 있다.
프로세스 파라미터는, 일반적으로, 리소그래피 시스템의 프로세싱 상태를 제어하기 위해 사용되는 파라미터를 지칭한다. 프로세스 파라미터는, 일반적으로, 패턴에 대해 사소하지 않은 방식으로 영향을 끼친다. 예로서, 프로세스 파라미터는, 초점 오프셋, 노광 선량, 레지스트 두께, 현상 시간 및 온도, 노광 후 베이크 시간 및 온도 등등에 대응할 수도 있다. 결정된 프로세스 설정은 후속하는 리소그래픽 패턴화의 제어를 향상시키기 위해 그리고 포토리소그래픽 패턴의 품질이 규정된 요건을 충족하는지의 여부를 결정하기 위해 사용될 수도 있다. 프로세스 파라미터 결정 또는 모니터링은, 예를 들면, 반도체 제조, 광학 디바이스 제조, 마이크로 기계 디바이스 제조, 자기 레코딩 데이터 스토리지 제조 등등에 관련되는 포토리소그래픽 프로세스와 같은 아주 다양한 포토리소그래피 프로세스에 대해 적합할 수도 있다. 프로세스 파라미터 결정 또는 모니터링이 상기 프로세스 중 임의의 것에서 사용될 수도 있지만, 본원에서 설명되는 발명의 소정의 실시형태는 논의의 용이성을 위해 반도체 제조를 대상으로 한다.
본 발명의 소정의 구현예가 여러 바람직한 실시형태의 관점에서 설명되었지만, 본 발명의 범위 내에 있는 수정예, 치환예, 및 등가예가 존재한다. 예를 들면, 용어 웨이퍼가 도처에서 사용되었지만(예를 들면, 반도체), 본 발명의 소정의 기술이 다른 타입의 제조에서 사용되는 경우, 용어 웨이퍼는 워크피스(workpiece), 기판, 샘플 등등(이들은 다른 타입의 제조와 관련됨)에 또한 적용될 수도 있다는 것을 유의해야 한다. 본 발명의 방법 및 장치를 구현하는 많은 대안적인 방식이 존재한다는 것을 또한 유의해야 한다. 예를 들면, 본 발명의 소정의 실시형태가, 종래의 방사선 기술을 사용하는 포토리소그래피의 관점에서 설명되었지만, 다른 리소그래피 기술, 예를 들면, UV 리소그래피(자외선을 사용함), X 레이 리소그래피(X 선을 사용함), 전자 빔(e-beam) 리소그래피(전자 빔을 사용함), 및 이온 빔(이온 빔을 사용함)이 또한 사용될 수도 있다는 것을 유의해야 한다.
상기 설명된 기술 중 임의의 것을 구현하기 위해, 하드웨어 및/또는 소프트웨어의 임의의 적절한 조합이 사용될 수도 있다. 일반적인 예에서, 계측 툴은, 타겟을 조명하는 조명 시스템, 타겟, 디바이스 또는 피쳐와의 조명 시스템의 상호작용(또는 상호작용의 없음)에 의해 제공되는 관련 정보를 캡쳐하는 수집 시스템, 및 수집되는 정보를 하나 이상의 알고리즘을 사용하여 분석하는 프로세싱 시스템을 포함할 수도 있다. 계측 툴은, 일반적으로, 다양한 반도체 제조 프로세스와 관련되는 구조적 특성 및 재료적 특성(예를 들면, 재료 조성, 구조체의 임계 치수 및/또는 막 두께와 같은 구조체 및 막의 치수적 특성, 오버레이, 등등)에 관련하는 다양한 방사선 신호를 측정하기 위해 사용될 수 있다. 이들 측정치는, 반도체 다이의 제조에서 프로세스 제어 및/또는 수율 효율성을 촉진하기 위해 사용될 수 있다.
계측 툴은, 본 발명의 소정의 실시형태와 연계하여 사용될 수도 있는 하나 이상의 하드웨어 구성을 포함할 수 있다. 이러한 하드웨어 구성의 예는 다음의 것을 포함하지만, 이들로 제한되지는 않는다: 분광 타원해석기(SE), 다수의 조명 각도를 갖는 SE, 뮐러 매트릭스 엘리먼트를 측정하는 SE(예를 들면, 회전 보상기(들)를 사용함), 단일 파장 타원해석기, 빔 프로파일 타원해석기(각도 분해 타원해석기), 빔 프로파일 반사계(각도 분해 반사계), 광대역 반사 분광계(분광 반사계), 단일 파장 반사계, 각도 분해 반사계, 이미징 시스템, 산란계(예를 들면, 스페클 분석기) 등등.
하드웨어 구성은 별개의 운영 시스템으로 분리될 수 있다. 한편, 하나 이상의 하드웨어 구성은 단일의 툴로 결합될 수 있다. 다수의 하드웨어 구성의 단일의 툴로의 이러한 결합의 일 예는, 미국 특허 제7,933,026호에서 추가로 예시되고 설명되는데, 상기 특허는 모든 목적을 위해 그 전체가 참조에 의해 본원에 통합된다. 도 13은 예를 들면 다음을 포함하는 예시적인 계측 툴의 개략도를 도시한다: a) 광대역 SE(예를 들면, 18); b) 회전하는 보상기(예를 들면, 98)를 갖는 SE(예를 들면, 2); c) 빔 프로파일 타원해석기(예를 들면, 10); d) 빔 프로파일 반사계(예를 들면, 12); e) 광대역 반사 분광계(예를 들면, 14); 및 f) 원자외선 반사 분광계(예를 들면, 16). 또한, 이러한 시스템에는, 통상적으로, 소정의 렌즈, 시준기(collimator), 미러, 1/4 파장판, 편광기, 검출기, 카메라, 어퍼쳐, 및/또는 광원을 포함해서, 다수의 광학 엘리먼트(예를 들면, 92, 72, 94, 70, 96, 74, 76, 80, 78, 98, 100, 102, 104, 32/33, 42, 84, 60, 62, 64, 66, 30, 82, 29, 28, 44, 50, 52, 54, 56, 46, 34, 36, 38, 40, 및 86)가 존재한다. 광학 시스템에 대한 파장은 약 120 nm로부터 3 미크론까지 변할 수 있다. 비타원해석기(non-ellipsometer) 시스템의 경우, 수집되는 신호는 편광 분해되거나(polarization-resolved) 편광되지 않을 수 있다. 도 13은 동일한 툴 상에 통합되는 다수의 계측 헤드의 예시를 제공한다. 그러나, 많은 경우에서, 다수의 계측 툴은 단일의 또는 다수의 계측 타겟에 대한 측정을 위해 사용된다. 다수의 툴 계측의 여러 실시형태는, 발명의 명칭이 "Multiple tool and structure analysis"인 Zangooie 등에 의한 미국 특허 7,478,019에서 추가로 설명되는데, 상기 특허는 모든 목적을 위해 그 전체가 참조에 의해 본원에 통합된다.
소정의 하드웨어 구성의 조명 시스템은 하나 이상의 광원을 포함할 수도 있다. 하나 이상의 광원은, 하나의 파장만을 갖는 광(예를 들면, 단색 광), 다수의 이산 파장을 갖는 광(예를 들면, 다색 광), 다수의 파장을 갖는 광(예를 들면, 광대역 광), 및/또는 파장 사이에서 연속적으로 또는 호핑하면서, 파장을 스윕하는 광을 생성할 수도 있다. 적절한 광원의 예는: 백색 광원, 자외선(ultraviolet; UV) 레이저, 아크 램프 또는 무전극 램프, 레이저 유지 플라즈마(laser sustained plasma; LSP) 소스, 예를 들면, 미국 매사추세츠 워번(Woburn)의 Energetiq Technology, Inc.로부터 상업적으로 입수가능한 것, 미국 뉴저지 모르간빌(Morganville)의 NKT Photonics Inc.로부터 상업적으로 입수가능한 것과 같은 초연속 소스(supercontinuum source)(예컨대 광대역 레이저 소스), 또는 더 짧은 파장의 소스(shorter-wavelength source) 예컨대 x 레이 소스, 극(extreme) UV 소스, 또는 이들의 조합이다. 광원(들)은 또한, 충분한 휘도를 갖는 광을 제공하도록 구성될 수도 있는데, 충분한 휘도는 몇몇 경우에서는 약 1 W/(nm cm2 Sr)보다 더 큰 휘도일 수도 있다. 계측 시스템은 또한, 광원의 출력(power) 및 파장을 안정화시키기 위한, 광원에 대한 빠른 피드백을 포함할 수도 있다. 광원의 출력(output)은 자유 공간 전파를 통해 전파되거나, 또는 몇몇 경우에서는 임의의 타입의 광 가이드 또는 광섬유를 통해 전달될 수도 있다.
결과적으로, 하나 이상의 검출기 또는 분광계는, 시료(4)의 표면으로부터 반사되거나 또는 다르게는 산란되는 조명을 수집 광학 엘리먼트를 통해 수신하도록 구성된다. 적절한 센서는 전하 결합 소자(charged coupled devices; CCD), CCD 어레이, 시간 지연 통합(time delay integration; TDI) 센서, TDI 센서 어레이, 광전자 증배관(photomultiplier tubes; PMT), 및 다른 센서를 포함한다. 측정된 스펙트럼 또는 검출된 신호 데이터는 분석을 위해 각각의 검출기로부터 프로세서 시스템(48)으로 전달될 수도 있다.
본 개시에 걸쳐 설명되는 다양한 단계는 단일의 프로세서 시스템(48), 또는, 대안적으로, 다수의 프로세서 시스템(48)에 의해 수행될 수도 있다는 것이 인식되어야 한다. 또한, 분광 타원평광기와 같은 도 13의 시스템의 상이한 서브시스템은 본원에서 설명되는 단계의 적어도 일부를 수행하기에 적합한 컴퓨터 시스템을 포함할 수도 있다. 따라서, 상기 언급된 설명은 본 발명에 대한 제한으로서 해석되어선 안되며 단지 예시로서 해석되어야 한다. 또한, 하나 이상의 프로세서 시스템(48)은 본원에서 설명되는 방법 실시형태 중 임의의 실시형태의 임의의 다른 단계(들)를 수행하도록 구성될 수도 있다.
또한, 프로세서 시스템(48)은, 기술분야에서 공지된 임의의 방식으로 검출기 시스템에 통신적으로 커플링될 수도 있다. 예를 들면, 하나 이상의 프로세서 시스템(48)은 검출기 시스템과 관련되는 컴퓨팅 시스템에 커플링될 수도 있다. 다른 예에서, 검출기 시스템은, 프로세서 시스템(48)에 커플링되는 단일의 컴퓨터 시스템에 의해 직접적으로 제어될 수도 있다.
계측 시스템의 프로세서 시스템(48)은, 유선부 및/또는 무선부를 포함할 수도 있는 송신 매체에 의해 시스템의 서브시스템으로부터 데이터 또는 정보를 수신 및/또는 획득하도록 구성될 수도 있다. 이 방식에서, 송신 매체는 도 13의 시스템의 다른 서브시스템과 프로세서 시스템(48) 사이의 데이터 링크로서 기능할 수도 있다.
통합형 계측 시스템의 프로세서 시스템(48)은, 유선부 및/또는 무선부를 포함할 수도 있는 송신 매체에 의해 다른 시스템으로부터 데이터 또는 정보(예를 들면, 측정 결과, 추출된 피쳐, 변환된 데이터세트, 모델, 곡선 적합, 결정된 최상의 초점 또는 다른 프로세스 설정, 최상의 초점과 실제 초점 사이의 관계, 초점 상관 관계 등등)를 수신 및/또는 획득하도록 구성될 수도 있다. 이 방식에서, 송신 매체는 프로세서 시스템(48)과 다른 시스템(예를 들면, 메모리 온보드 계측 시스템, 외부 메모리, 기준 측정 소스, 또는 다른 외부 시스템) 사이의 데이터 링크로서 기능할 수도 있다. 예를 들면, 프로세서 시스템(48)은 데이터 링크를 통해 저장 매체(예를 들면, 내부 또는 외부 메모리)로부터 측정 데이터를 수신하도록 구성될 수도 있다. 예를 들면, 검출 시스템을 사용하여 획득된 스펙트럼 결과는 영구적인 또는 반영구적인 메모리 디바이스(예를 들면, 내부 또는 외부 메모리)에 저장될 수도 있다. 이와 관련하여, 스펙트럼 결과는 온보드 메모리로부터 또는 외부 메모리 시스템으로부터 임포트(가져오기)될 수도 있다. 또한, 프로세서 시스템(48)은 송신 매체를 통해 데이터를 다른 시스템으로 전송할 수도 있다. 예를 들면, 프로세서 시스템(48)에 의해 결정되는 최적의 초점 또는 초점 교정은 전달되어 외부 메모리에 저장될 수도 있다. 이와 관련하여, 측정 결과는 다른 시스템으로 엑스포트(내보내기)될 수도 있다.
프로세서 시스템(48)은, 퍼스널 컴퓨터 시스템, 메인프레임 컴퓨터 시스템, 워크스테이션, 이미지 컴퓨터, 병렬 컴퓨터, 또는 기술분야에서 공지된 임의의 다른 디바이스를 포함할 수도 있지만, 이들로 한정되는 것은 아니다. 일반적으로, 용어 "프로세서 시스템"은, 메모리 매체로부터의 명령어를 실행하는 하나 이상의 프로세서를 구비하는 임의의 디바이스를 포괄하도록 광의적으로 정의될 수도 있다. 본원에서 설명되는 방법과 같은 방법을 구현하는 프로그램 명령어는, 와이어, 케이블, 또는 무선 전송 링크와 같은 송신 매체를 통해 송신될 수도 있다. 프로그램 명령어는 컴퓨터 판독가능 매체(예를 들면, 메모리)에 저장될 수도 있다. 예시적인 컴퓨터 판독가능 매체는 리드 온리 메모리, 랜덤 액세스 메모리, 자기 또는 광학 디스크, 또는 자기 테이프를 포함한다.
계측 툴은 반도체 제조에 관련되는 많은 상이한 타입의 측정을 행하도록 디자인될 수도 있다. 최적의 초점 또는 다른 POI 값을 결정하기 위한 본 발명의 소정의 실시형태는 이러한 측정을 활용할 수도 있다. 특정 타겟 특성을 결정하기 위한 추가적인 계측 기술은 또한, 상기 설명된 초점 결정 기술과 결합할 수도 있다. 예를 들면, 소정의 실시형태에서, 툴은 스펙트럼을 측정하고 하나 이상의 타겟의 특성, 예컨대 임계 치수, 오버레이, 측벽 각도, 막 두께, 프로세스 관련 파라미터(예를 들면, 초점 및/또는 선량)를 결정할 수도 있다. 타겟은, 예를 들면, 메모리 다이의 격자와 같은 본질적으로 주기적인 소정의 주목 영역을 포함할 수 있다. 타겟은, 그 두께가 계측 툴에 의해 측정될 수 있는 다수의 층(또는 막)을 포함할 수 있다. 타겟은, 예를 들면, 정렬 및/또는 오버레이 레지스트레이션(registration) 동작과 함께 사용하기 위한 반도체 웨이퍼 상에 배치되는(또는 이미 존재하는) 타겟 디자인을 포함할 수 있다. 소정의 타겟은 반도체 웨이퍼 상의 다양한 장소에 위치될 수 있다. 예를 들면, 타겟은 (예를 들면, 다이 사이의) 스크라이브 라인 내에 위치되고/되거나 다이 자체에 위치될 수 있다. 소정의 실시형태에서, 다수의 타겟은 US 7,478,019에서 설명되는 것과 동일한 또는 다수의 계측 툴에 의해 (동시에 또는 상이한 시간에) 측정된다. 이러한 측정으로부터의 데이터는 결합될 수도 있다. 계측 툴로부터의 데이터는, 예를 들면, 프로세스(예를 들면, 리소그래피, 에칭)에 대한 교정치를 순방향으로 공급하고(feed-forward), 역방향으로 공급하고(feed-backward) 및/또는 측방향으로 공급하기(feed-sideways) 위해 반도체 제조 프로세스에서 사용될 수도 있고, 따라서, 완전한 프로세스 제어 해를 산출할 수도 있다.
반도체 디바이스 패턴 치수가 계속 감소함에 따라, 더 작은 계측 타겟이 종종 요구된다. 또한, 실제 디바이스 특성에 대한 측정 정확도 및 정합은 디바이스형 타겟뿐만 아니라 인다이(in-die) 및 심지어 온디바이스(on-device) 측정에 대한 필요성을 증가시킨다. 그 목표를 달성하기 위해, 다양한 계측 구현예가 제안되었다. 예를 들면, 주로 반사형 광학 장치(reflective optics)에 기초한 집속 빔 타원해석법이 그 중 하나이며 Piwonka-Corle 등에 의한 특허(US 5,608,526, "Focused beam spectroscopic ellipsometry method and system")에서 설명된다. 지오메트리 광학(geometric optics)에 의해 정의되는 사이즈를 넘는 조명 스팟의 확산을 야기하는 광학적 회절의 영향을 완화하기 위해, 아포다이저가 사용될 수 있다. 아포다이저의 사용은 Norton에 의한 특허 US 5,859,424, "Apodizing filter system useful for reducing spot size in optical measurements and other applications"에서 설명된다. 동시의 다수의 입사각 조명을 갖는 높은 개구수의 툴의 사용은, 작은 타겟 성능을 달성하기 위한 다른 방식이다. 이 기술은, 예를 들면, Opsal 등에 의한 특허 US 6,429,943, "Critical dimension analysis with simultaneous multiple angle of incidence measurements"에서 설명된다.
다른 측정 예는, 반도체 스택의 하나 이상의 층의 조성을 측정하는 것, 웨이퍼 상의(또는 내의) 소정의 결함을 측정하는 것, 및 웨이퍼에 노광되는 포토리소그래픽 방사선의 양을 측정하는 것을 포함할 수도 있다. 몇몇 경우에서, 계측 툴 및 알고리즘은 비주기적 타겟을 측정하도록 구성될 수도 있는데, 예를 들면, P. Jiang 등에 의한 "The Finite Element Method for Full Wave Electromagnetic Simulations in CD Metrology Using Scatterometry"(계류 중인 US 61/830536, K-T 명세서 P4063) 또는 A. Kuznetsov 등에 의한 "Method of electromagnetic modeling of finite structures and finite illumination for metrology and inspection"(계류 중인 US 61/761146 또는 KT 명세서 P4082)를 참조하라.
주목 파라미터의 측정은 다수의 알고리즘을 수반한다. 예를 들면, 샘플과의 입사빔의 광학적 상호작용은, EM(electro-magnetic; 전자기) 솔버(solver)를 사용하여 모델링되고, RCWA, FEM, 모멘트법(method of moments), 표면 적분법(surface integral method), 체적 적분법(volume integral method), FDTD, 등등과 같은 알고리즘을 사용한다. 주목 타겟은, 일반적으로, 지오메트리 엔진(geometric engine)을 사용하여, 또는 몇몇 경우에서는, 프로세스 모델링 엔진 또는 양자의 조합을 사용하여 모델링된다(파라미터화된다). 프로세스 모델링의 사용은, A. Kuznetsov 등에 의한 "Method for integrated use of model-based metrology and a process model"(계류 중인 US 61/738760, P4025)에서 설명된다. 지오메트리 엔진은, 예를 들면, 미국 캘리포니아 밀피타스(Milpitas)의 KLA-Tencor의 AcuShape 소프트웨어 제품에서 구현될 수도 있다.
수집된 데이터는, 다수의 데이터 적합 및 최적화 기술 및 라이브러리, 빠른 축소 차수 모델; 회귀; 신경망, 지원벡터 머신(SVM)과 같은 머신 학습 알고리즘; 차원 축소 알고리즘, 예컨대, 예를 들면, PCA(주 성분 분석), ICA(독립 성분 분석), LLE(로컬 선형 임베딩); 푸리에 또는 웨이브릿 변환과 같은 희소 표현(sparse representation); 칼만(Kalman) 필터; 동일한 또는 상이한 툴 타입으로부터 정합을 촉진하기 위한 알고리즘, 등등을 포함하는 기술에 의해 분석될 수 있다.
수집된 데이터는 또한, 모델링, 최적화 및/또는 적합을 포함하지 않는 알고리즘, 예를 들면, 참조에 의해 본원에 통합되는 특허 가출원 61/745981에 의해 분석될 수 있다.
계산 알고리즘은, 일반적으로, 계산 하드웨어의 디자인 및 구현, 병렬화, 계산의 분배, 부하 밸런싱, 다중 서비스 지원, 동적 부하 최적화 등등과 같은 하나 이상의 방식이 사용되고 있는 계측 애플리케이션에 대해 최적화된다. 알고리즘의 상이한 구현예는, 펌웨어, 소프트웨어, FPGA, 프로그래머블 광학 장치 컴포넌트 등등으로 행해질 수 있다.
데이터 분석 및 적합 단계는, 다음의 목표 중 하나를 추구하기 위해 사용될 수도 있다: CD, SWA, 형상, 스트레스, 조성, 막, 밴드갭, 전기적 속성, 초점/선량, 오버레이, 생성용 프로세스 파라미터(generating process parameter)(예를 들면, 레지스트 상태, 부분 압력, 온도, 포커싱 모델), 및/또는 이들의 임의의 조합의 측정; 계측 시스템의 모델링 및/또는 디자인; 및 계측 타겟의 모델링, 디자인, 및/또는 최적화.
본원에서 제공되는 본 발명의 소정의 실시형태는, 일반적으로, 반도체 계측 프로세스 제어의 분야를 다루는 것으로, 상기에서 요약되는 하드웨어, 알고리즘/소프트웨어 구현예와 아키텍쳐, 및 사용 사례에 제한되지 않는다.
상기 발명이 이해의 명확화를 위해 몇몇 상세에서 설명되었지만, 소정의 변경예 및 수정예가 첨부된 특허청구범위의 범위 내에서 실시될 수도 있다는 것이 명확할 것이다. 본 발명의 프로세스, 시스템, 및 장치를 구현하는 많은 대안적인 방식이 존재할 수도 있다는 것을 유의해야 한다. 따라서, 본 실시형태는 제한적으로서가 아니라 예시적으로서 간주되어야 하며, 본 발명은 본원에서 주어진 상세로 한정되지 않아야 한다.

Claims (24)

  1. 반도체 구조체에 대한 프로세스 또는 구조체 파라미터를 결정하는 방법에 있어서,
    반도체 웨이퍼 상의 복수의 필드에 위치되는 하나 이상의 타겟으로부터 복수의 광학 신호를 획득하는 단계 - 상기 필드는 상기 하나 이상의 타겟을 제조하기 위한 상이한 프로세스 파라미터와 관련되고, 상기 획득된 광학 신호는 상부 구조체에 대한 주목 파라미터(parameter of interest; POI)에 관한 정보, 및 이러한 상부 구조체 아래에 형성되는 하나 이상의 하층(underlayer)에 대한 하나 이상의 하층 파라미터에 관한 정보를 포함함 - ;
    피쳐 신호가 상기 POI에 대한 정보를 포함하고 상기 하층 파라미터에 대한 정보를 배제하도록, 이러한 획득된 광학 신호로부터 복수의 피쳐 신호를 추출하기 위한 피쳐 추출 모델을 생성하는 단계; 및
    상기 피쳐 추출 모델에 의해 추출되는 상기 피쳐 신호에 기초하여, 각각의 필드의 각각의 상부 구조체에 대한 POI 값을 결정하는 단계
    를 포함하는 반도체 구조체에 대한 프로세스 또는 구조체 파라미터를 결정하는 방법.
  2. 제1항에 있어서,
    상기 피쳐 추출 모델을 생성하는 단계는, 상기 획득된 광학 신호에 대해 데이터세트 저감 기술(dataset reduction technique)을 수행하여 변환된 광학 신호 데이터를 생성하는 단계, 및 상기 변환된 광학 신호 데이터로부터 상기 피쳐 신호를 추출하기 위한 상기 피쳐 추출 모델을 생성하는 단계를 포함하는 것인, 반도체 구조체에 대한 프로세스 또는 구조체 파라미터를 결정하는 방법.
  3. 제2항에 있어서,
    상기 변환된 광학 신호 데이터는 상기 획득된 광학 신호의 선형 조합인 것인, 반도체 구조체에 대한 프로세스 또는 구조체 파라미터를 결정하는 방법.
  4. 제2항에 있어서,
    상기 데이터세트 저감 기술은 주 성분 분석(principal component analysis; PCA), 커널 PCA(kernel PCA; kPCA), 비선형 PCA(non-lineary PCA; NLPCA), 독립 성분 분석(Independent Component Analysis; ICA), 또는 로컬 선형 임베딩(Local Linear Embedding; LLE) 알고리즘을 사용하여 달성되는 것인, 반도체 구조체에 대한 프로세스 또는 구조체 파라미터를 결정하는 방법.
  5. 제2항에 있어서,
    상기 데이터세트 저감 기술은 주 성분 분석(PCA) 기술을 사용하여 달성되고, 상기 변환된 광학 신호는 상기 PCA 기술로부터 유래하는 제1 주 성분에 관한 상기 획득된 광학 신호를 나타내는 것인, 반도체 구조체에 대한 프로세스 또는 구조체 파라미터를 결정하는 방법.
  6. 제1항에 있어서,
    상기 POI를 결정하는 단계는 상기 피쳐 신호에 기초하여 각각의 상부 구조체에 대한 상기 POI 값을 결정하도록 파라미터 모델을 훈련시키는 것에 의해 달성되고,
    상기 방법은,
    하나 이상의 후속 웨이퍼 상의 복수의 미지의(unknown) 구조체로부터 복수의 광학 신호를 획득하는 단계; 및
    상기 미지의 구조체에 대한 복수의 POI 값을 결정하기 위해, 상기 피쳐 추출 모델 및 상기 파라미터 모델을 사용하는 단계
    를 더 포함하는 반도체 구조체에 대한 프로세스 또는 구조체 파라미터를 결정하는 방법.
  7. 제1항에 있어서,
    상기 획득된 광학 신호는, 각각의 필드의 하나 이상의 제1 타겟으로부터의 획득된 신호의 제1 세트 및 각각의 필드의 하나 이상의 제2 타겟으로부터의 획득된 신호의 제2 세트를 포함하고, 상기 하나 이상의 제1 타겟은 상부 층 구조체 및 하층 구조체를 가지며, 상기 하나 이상의 제2 타겟은 상기 하나 이상의 제1 타겟과 동일한 하층 구조체를 가지지만 상기 하나 이상의 제1 타겟의 상기 상부 층 구조체는 제외하며, 상기 피쳐 추출 모델은 상기 제1 획득된 신호의 각각을, 잔여 신호(residual signal)와 상기 제2 획득된 신호의 함수로서 예측하고, 상기 제1 획득된 신호에 대해 결정되는 상기 잔여 신호는 상기 피쳐 신호로서 정의되는 것인, 반도체 구조체에 대한 프로세스 또는 구조체 파라미터를 결정하는 방법.
  8. 제1항에 있어서,
    상기 획득된 광학 신호는, 각각의 필드의 하나 이상의 제1 타겟으로부터의 획득된 신호의 제1 세트 및 각각의 필드의 하나 이상의 제2 타겟으로부터의 획득된 신호의 제2 세트를 포함하고, 상기 하나 이상의 제1 타겟은 상부 층 구조체 및 하층 구조체를 가지며, 상기 하나 이상의 제2 타겟은 상기 하나 이상의 제1 타겟과 동일한 상부 층 구조체를 가지지만 상기 하나 이상의 제1 타겟과는 상이한 하층을 가지며, 상기 피쳐 추출 모델은 상기 제1 획득된 신호의 각각을, 잔여 신호와 상기 제2 획득된 신호의 함수로서 예측하고, 상기 제1 획득된 신호에 대해 결정되는 상기 제2 획득된 신호의 상기 함수는 상기 피쳐 신호로서 정의되는 것인, 반도체 구조체에 대한 프로세스 또는 구조체 파라미터를 결정하는 방법.
  9. 제1항에 있어서,
    상기 획득된 광학 신호는 각각의 필드의 특정 타겟으로부터 제1 방위각에서의 획득된 신호의 제1 세트 및 각각의 필드의 상기 특정 타겟으로부터 제2 방위각에서의 획득된 신호의 제2 세트를 포함하고, 상기 특정 타겟은 상기 상부 구조체가 위에 형성되는 패턴화되지 않은 하층 부분을 구비하고, 상기 제1 방위각은 상기 제2 방위각과는 상이하고, 상기 피쳐 추출 모델은 상기 제1 획득된 신호의 각각을, 잔여 신호와 상기 제2 획득된 신호의 함수로서 예측하고, 상기 제1 획득된 신호에 대해 결정되는 상기 잔여 신호는 상기 피쳐 신호로서 정의되는 것인, 반도체 구조체에 대한 프로세스 또는 구조체 파라미터를 결정하는 방법.
  10. 제9항에 있어서,
    상기 제1 방위각은 제로이고, 상기 제2 방위각은 90°인 것인, 반도체 구조체에 대한 프로세스 또는 구조체 파라미터를 결정하는 방법.
  11. 제1항에 있어서,
    상기 획득된 광학 신호는 각각의 필드의 특정 타겟으로부터의 복수의 2차원 빔 프로파일 반사측정(two-dimensional beam profile reflectometry; 2DBPR) 이미지를 포함하고, 상기 특정 타겟은 상기 상부 구조체가 위에 형성되는 패턴화되지 않은 하층을 구비하고, 상기 피쳐 추출 모델은 잔여 신호를 가지고 각각의 2DBPR 이미지와 적합하는 방사상 대칭 함수이고, 상기 이미지에 대해 결정되는 상기 잔여 신호는 상기 피쳐 신호로서 정의되는 것인, 반도체 구조체에 대한 프로세스 또는 구조체 파라미터를 결정하는 방법.
  12. 제1항에 있어서,
    상기 광학 신호는 분광 타원해석법(spectroscopic ellipsometry), 뮐러 매트릭스(Mueller matrix) 분광 타원해석법, 분광 반사측정법(spectroscopic reflectometry), 분광 산란측정법(spectroscopic scatterometry), 빔 프로파일 반사측정법(beam profile reflectometry), 빔 프로파일 타원해석법(beam profile ellipsometry), 단일의 파장, 단일의 이산 파장 범위, 또는 다수의 이산 파장 범위 중 하나 이상을 사용하여 획득되는 것인, 반도체 구조체에 대한 프로세스 또는 구조체 파라미터를 결정하는 방법.
  13. 시료를 검사하거나 측정하기 위한 시스템에 있어서,
    조명을 생성하기 위한 조명기;
    반도체 웨이퍼 상의 복수의 필드에 위치되는 하나 이상의 타겟을 향해 상기 조명을 지향시키기 위한 조명 광학 장치 - 상기 필드는 상기 하나 이상의 타겟을 제조하기 위한 상이한 프로세스 파라미터와 관련되고, 상기 획득된 광학 신호는 상부 구조체에 대한 주목 파라미터(POI)에 관한 정보, 및 이러한 상부 구조체 아래에 형성되는 하나 이상의 하층에 대한 하나 이상의 하층 파라미터에 관한 정보를 포함함 - ;
    상기 조명에 응답하여 상기 복수의 필드에 위치되는 상기 하나 이상의 타겟으로부터의 복수의 광학 신호를 검출기 시스템으로 지향시키기 위한 수집 광학 장치;
    상기 조명에 응답하여 상기 복수의 필드에서의 상기 하나 이상의 타겟으로부터 상기 복수의 광학 신호를 획득하기 위한 상기 검출기 시스템; 및
    프로세서 및 메모리
    를 포함하고,
    상기 프로세서 및 메모리는,
    피쳐 신호가 상기 POI에 대한 정보를 포함하고 상기 하층 파라미터에 대한 정보를 배제하도록, 이러한 획득된 광학 신호로부터 복수의 피쳐 신호를 추출하기 위한 피쳐 추출 모델을 생성하는 동작; 및
    상기 피쳐 추출 모델에 의해 추출되는 상기 피쳐 신호에 기초하여, 각각의 필드의 각각의 상부 구조체에 대한 POI 값을 결정하는 동작
    을 수행하도록 구성되는 것인, 시료를 검사하거나 측정하기 위한 시스템.
  14. 제13항에 있어서,
    상기 피쳐 추출 모델을 생성하는 동작은, 상기 획득된 광학 신호에 대해 데이터세트 저감 기술을 수행하여 변환된 광학 신호 데이터를 생성하는 동작, 및 상기 변환된 광학 신호 데이터로부터 상기 피쳐 신호를 추출하기 위한 상기 피쳐 추출 모델을 생성하는 동작을 포함하는 것인, 시료를 검사하거나 측정하기 위한 시스템.
  15. 제14항에 있어서,
    상기 변환된 광학 신호 데이터는 상기 획득된 광학 신호의 선형 조합인 것인, 시료를 검사하거나 측정하기 위한 시스템.
  16. 제14항에 있어서,
    상기 데이터세트 저감 기술은 주 성분 분석(PCA), 커널 PCA(kPCA), 비선형 PCA(NLPCA), 독립 성분 분석(ICA), 또는 로컬 선형 임베딩(LLE) 알고리즘을 사용하여 달성되는 것인, 시료를 검사하거나 측정하기 위한 시스템.
  17. 제14항에 있어서,
    상기 데이터세트 저감 기술은 주 성분 분석(PCA) 기술을 사용하여 달성되고, 상기 변환된 광학 신호는 상기 PCA 기술로부터 유래하는 제1 주 성분에 관한 상기 획득된 광학 신호를 나타내는 것인, 시료를 검사하거나 측정하기 위한 시스템.
  18. 제13항에 있어서,
    상기 POI를 결정하는 동작은 상기 피쳐 신호에 기초하여 각각의 상부 구조체에 대한 상기 POI 값을 결정하도록 파라미터 모델을 훈련시키는 것에 의해 달성되고,
    상기 프로세서 및 메모리는 또한,
    하나 이상의 후속 웨이퍼 상의 복수의 미지의 구조체로부터 복수의 광학 신호를 획득하고,
    상기 미지의 구조체에 대한 복수의 POI 값을 결정하기 위해, 상기 피쳐 추출 모델 및 상기 파라미터 모델을 사용하도록 구성되는 것인, 시료를 검사하거나 측정하기 위한 시스템.
  19. 제13항에 있어서,
    상기 획득된 광학 신호는, 각각의 필드의 하나 이상의 제1 타겟으로부터의 획득된 신호의 제1 세트 및 각각의 필드의 하나 이상의 제2 타겟으로부터의 획득된 신호의 제2 세트를 포함하고, 상기 하나 이상의 제1 타겟은 상부 층 구조체 및 하층 구조체를 가지며, 상기 하나 이상의 제2 타겟은 상기 하나 이상의 제1 타겟과 동일한 하층 구조체를 가지지만 상기 하나 이상의 제1 타겟의 상기 상부 층 구조체는 제외하며, 상기 피쳐 추출 모델은 상기 제1 획득된 신호의 각각을, 잔여 신호와 상기 제2 획득된 신호의 함수로서 예측하고, 상기 제1 획득된 신호에 대해 결정되는 상기 잔여 신호는 상기 피쳐 신호로서 정의되는 것인, 시료를 검사하거나 측정하기 위한 시스템.
  20. 제13항에 있어서,
    상기 획득된 광학 신호는, 각각의 필드의 하나 이상의 제1 타겟으로부터의 획득된 신호의 제1 세트 및 각각의 필드의 하나 이상의 제2 타겟으로부터의 획득된 신호의 제2 세트를 포함하고, 상기 하나 이상의 제1 타겟은 상부 층 구조체 및 하층 구조체를 가지며, 상기 하나 이상의 제2 타겟은 상기 하나 이상의 제1 타겟과 동일한 상부 층 구조체를 가지지만 상기 하나 이상의 제1 타겟과는 상이한 하층을 가지며, 상기 피쳐 추출 모델은 상기 제1 획득된 신호의 각각을, 잔여 신호와 상기 제2 획득된 신호의 함수로서 예측하고, 상기 제1 획득된 신호에 대해 결정되는 상기 제2 획득된 신호의 상기 함수는 상기 피쳐 신호로서 정의되는 것인, 시료를 검사하거나 측정하기 위한 시스템.
  21. 제13항에 있어서,
    상기 획득된 광학 신호는 각각의 필드의 특정 타겟으로부터 제1 방위각에서의 획득된 신호의 제1 세트 및 각각의 필드의 상기 특정 타겟으로부터 제2 방위각에서의 획득된 신호의 제2 세트를 포함하고, 상기 특정 타겟은 상기 상부 구조체가 위에 형성되는 패턴화되지 않은 하층 부분을 구비하고, 상기 제1 방위각은 상기 제2 방위각과는 상이하고, 상기 피쳐 추출 모델은 상기 제1 획득된 신호의 각각을, 잔여 신호와 상기 제2 획득된 신호의 함수로서 예측하고, 상기 제1 획득된 신호에 대해 결정되는 상기 잔여 신호는 상기 피쳐 신호로서 정의되는 것인, 시료를 검사하거나 측정하기 위한 시스템.
  22. 제21항에 있어서,
    상기 제1 방위각은 제로이고, 상기 제2 방위각은 90°인 것인, 시료를 검사하거나 측정하기 위한 시스템.
  23. 제13항에 있어서,
    상기 획득된 광학 신호는 각각의 필드의 특정 타겟으로부터의 복수의 2차원 빔 프로파일 반사측정(2DBPR) 이미지를 포함하고, 상기 특정 타겟은 상기 상부 구조체가 위에 형성되는 패턴화되지 않은 하층을 구비하고, 상기 피쳐 추출 모델은 잔여 신호를 가지고 각각의 2DBPR 이미지와 적합하는 방사상 대칭 함수이고, 상기 이미지에 대해 결정되는 상기 잔여 신호는 상기 피쳐 신호로서 정의되는 것인, 시료를 검사하거나 측정하기 위한 시스템.
  24. 제13항에 있어서,
    상기 광학 신호는 분광 타원해석법, 뮐러 매트릭스 분광 타원해석법, 분광 반사측정법, 분광 산란측정법, 빔 프로파일 반사측정법, 빔 프로파일 타원해석법, 단일의 파장, 단일의 이산 파장 범위, 또는 다수의 이산 파장 범위 중 하나 이상을 사용하여 획득되는 것인, 시료를 검사하거나 측정하기 위한 시스템.
KR1020167006451A 2013-08-11 2014-08-11 반도체 타겟의 계측을 위한 차동 방법 및 장치 KR102287785B1 (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201361864573P 2013-08-11 2013-08-11
US61/864,573 2013-08-11
US14/453,440 US10935893B2 (en) 2013-08-11 2014-08-06 Differential methods and apparatus for metrology of semiconductor targets
US14/453,440 2014-08-06
PCT/US2014/050595 WO2015023605A1 (en) 2013-08-11 2014-08-11 Differential methods and apparatus for metrology of semiconductor targets

Publications (2)

Publication Number Publication Date
KR20160042442A true KR20160042442A (ko) 2016-04-19
KR102287785B1 KR102287785B1 (ko) 2021-08-06

Family

ID=52449338

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020167006451A KR102287785B1 (ko) 2013-08-11 2014-08-11 반도체 타겟의 계측을 위한 차동 방법 및 장치

Country Status (7)

Country Link
US (1) US10935893B2 (ko)
EP (1) EP3031073B1 (ko)
JP (1) JP6488301B2 (ko)
KR (1) KR102287785B1 (ko)
CN (1) CN105684127B (ko)
TW (1) TWI649628B (ko)
WO (1) WO2015023605A1 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20190094421A (ko) * 2016-12-16 2019-08-13 에이에스엠엘 네델란즈 비.브이. 기판의 속성을 측정하는 방법, 검사 장치, 리소그래피 시스템 및 디바이스 제조 방법

Families Citing this family (87)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9383661B2 (en) 2013-08-10 2016-07-05 Kla-Tencor Corporation Methods and apparatus for determining focus
US10935893B2 (en) * 2013-08-11 2021-03-02 Kla-Tencor Corporation Differential methods and apparatus for metrology of semiconductor targets
US10955359B2 (en) * 2013-11-12 2021-03-23 International Business Machines Corporation Method for quantification of process non uniformity using model-based metrology
US10352876B2 (en) * 2014-05-09 2019-07-16 KLA—Tencor Corporation Signal response metrology for scatterometry based overlay measurements
US10151986B2 (en) 2014-07-07 2018-12-11 Kla-Tencor Corporation Signal response metrology based on measurements of proxy structures
US9632038B2 (en) * 2014-08-20 2017-04-25 Kla-Tencor Corporation Hybrid phase unwrapping systems and methods for patterned wafer measurement
US10210606B2 (en) 2014-10-14 2019-02-19 Kla-Tencor Corporation Signal response metrology for image based and scatterometry overlay measurements
US10215559B2 (en) 2014-10-16 2019-02-26 Kla-Tencor Corporation Metrology of multiple patterning processes
US10139352B2 (en) 2014-10-18 2018-11-27 Kla-Tenor Corporation Measurement of small box size targets
US9710728B2 (en) 2014-10-28 2017-07-18 Kla-Tencor Corporation Image based signal response metrology
US9739719B2 (en) 2014-10-31 2017-08-22 Kla-Tencor Corporation Measurement systems having linked field and pupil signal detection
US10152678B2 (en) 2014-11-19 2018-12-11 Kla-Tencor Corporation System, method and computer program product for combining raw data from multiple metrology tools
US10345095B1 (en) 2014-11-20 2019-07-09 Kla- Tencor Corporation Model based measurement systems with improved electromagnetic solver performance
US10072921B2 (en) 2014-12-05 2018-09-11 Kla-Tencor Corporation Methods and systems for spectroscopic beam profile metrology having a first two dimensional detector to detect collected light transmitted by a first wavelength dispersive element
US10132684B1 (en) 2014-12-18 2018-11-20 J.A. Woolam Co., Inc. Reflectometer, spectrophometer, ellipsometer and polarimeter system with a super continuum laser-source of a beam of electromagnetism and improved detector system
US11035729B1 (en) 2014-12-18 2021-06-15 J.A. Woqllam Co., Inc. Reflectometer, spectrophotometer, ellipsometer and polarimeter system with a super continuum laser source of a beam of electromagnetism, and improved detector system
US10422739B1 (en) 2014-12-18 2019-09-24 J.A. Woollam Co., Inc. Reflectometer, spectrophotometer, ellipsometer and polarimeter systems with a super continuum laser source of a beam of electromagnetism, and improved detector system
US11675208B1 (en) 2014-12-18 2023-06-13 J.A. Woollam Co., Inc. Reflectometer, spectrophotometer, ellipsometer and polarimeter system with a super continuum laser source of a beam of electromagnetism, and improved detector system
US10324050B2 (en) 2015-01-14 2019-06-18 Kla-Tencor Corporation Measurement system optimization for X-ray based metrology
US9470639B1 (en) 2015-02-03 2016-10-18 Kla-Tencor Corporation Optical metrology with reduced sensitivity to grating anomalies
US10185303B2 (en) 2015-02-21 2019-01-22 Kla-Tencor Corporation Optimizing computational efficiency by multiple truncation of spatial harmonics
US10365225B1 (en) 2015-03-04 2019-07-30 Kla-Tencor Corporation Multi-location metrology
US10312161B2 (en) * 2015-03-23 2019-06-04 Applied Materials Israel Ltd. Process window analysis
US10502549B2 (en) 2015-03-24 2019-12-10 Kla-Tencor Corporation Model-based single parameter measurement
US10030965B2 (en) * 2015-05-08 2018-07-24 Kla-Tencor Corporation Model-based hot spot monitoring
JP6810734B2 (ja) 2015-07-17 2021-01-06 エーエスエムエル ネザーランズ ビー.ブイ. 構造との放射のインタラクションをシミュレートするための方法及び装置、メトロロジ方法及び装置、並びに、デバイス製造方法
US10502692B2 (en) 2015-07-24 2019-12-10 Kla-Tencor Corporation Automated metrology system selection
US10678226B1 (en) * 2015-08-10 2020-06-09 Kla-Tencor Corporation Adaptive numerical aperture control method and system
WO2017025373A1 (en) * 2015-08-12 2017-02-16 Asml Netherlands B.V. Inspection apparatus, inspection method and manufacturing method
US10380728B2 (en) 2015-08-31 2019-08-13 Kla-Tencor Corporation Model-based metrology using images
US10101676B2 (en) 2015-09-23 2018-10-16 KLA—Tencor Corporation Spectroscopic beam profile overlay metrology
US10394136B2 (en) * 2015-09-30 2019-08-27 Asml Netherlands B.V. Metrology method for process window definition
US10352695B2 (en) 2015-12-11 2019-07-16 Kla-Tencor Corporation X-ray scatterometry metrology for high aspect ratio structures
US11016397B2 (en) * 2015-12-17 2021-05-25 Asml Netherlands B.V. Source separation from metrology data
NL2017857A (en) 2015-12-18 2017-06-26 Asml Netherlands Bv Process flagging and cluster detection without requiring reconstruction
US9921152B2 (en) 2016-01-15 2018-03-20 Kla-Tencor Corporation Systems and methods for extended infrared spectroscopic ellipsometry
US10504759B2 (en) 2016-04-04 2019-12-10 Kla-Tencor Corporation Semiconductor metrology with information from multiple processing steps
US10684557B2 (en) 2016-04-15 2020-06-16 Asml Netherlands B.V. Method for adjusting actuation of a lithographic apparatus
KR20180128490A (ko) * 2016-04-29 2018-12-03 에이에스엠엘 네델란즈 비.브이. 구조체의 특성을 결정하는 방법 및 장치, 디바이스 제조 방법
US10041873B2 (en) 2016-05-02 2018-08-07 Kla-Tencor Corporation Porosity measurement of semiconductor structures
US10281263B2 (en) 2016-05-02 2019-05-07 Kla-Tencor Corporation Critical dimension measurements with gaseous adsorption
US10145674B2 (en) 2016-05-02 2018-12-04 Kla-Tencor Corporation Measurement of semiconductor structures with capillary condensation
US11313809B1 (en) * 2016-05-04 2022-04-26 Kla-Tencor Corporation Process control metrology
US9921104B2 (en) 2016-06-11 2018-03-20 Kla-Tencor Corporation Simultaneous multi-angle spectroscopy
US10438825B2 (en) 2016-08-29 2019-10-08 Kla-Tencor Corporation Spectral reflectometry for in-situ process monitoring and control
US10458912B2 (en) 2016-08-31 2019-10-29 Kla-Tencor Corporation Model based optical measurements of semiconductor structures with anisotropic dielectric permittivity
US10215693B2 (en) 2016-09-29 2019-02-26 Kla-Tencor Corporation Infrared spectroscopic reflectometer for measurement of high aspect ratio structures
WO2018071716A1 (en) 2016-10-13 2018-04-19 Kla-Tencor Corporation Metrology systems and methods for process control
CN110100174B (zh) * 2016-10-20 2022-01-18 科磊股份有限公司 用于图案化晶片特性化的混合度量
US10690602B2 (en) 2017-02-17 2020-06-23 Kla-Tencor Corporation Methods and systems for measurement of thick films and high aspect ratio structures
US10732516B2 (en) 2017-03-01 2020-08-04 Kla Tencor Corporation Process robust overlay metrology based on optical scatterometry
US10474042B2 (en) * 2017-03-22 2019-11-12 Kla-Tencor Corporation Stochastically-aware metrology and fabrication
US10748272B2 (en) * 2017-05-18 2020-08-18 Applied Materials Israel Ltd. Measuring height difference in patterns on semiconductor wafers
WO2018215177A1 (en) 2017-05-24 2018-11-29 Asml Netherlands B.V. Method of measuring a parameter of interest, inspection apparatus, lithographic system and device manufacturing method
US11378451B2 (en) 2017-08-07 2022-07-05 Kla Corporation Bandgap measurements of patterned film stacks using spectroscopic metrology
US10365211B2 (en) 2017-09-26 2019-07-30 Kla-Tencor Corporation Systems and methods for metrology beam stabilization
US10732515B2 (en) 2017-09-27 2020-08-04 Kla-Tencor Corporation Detection and measurement of dimensions of asymmetric structures
US10551166B2 (en) 2017-10-11 2020-02-04 Kla-Tencor Corporation Optical measurement of a highly absorbing film layer over highly reflective film stacks
US10580673B2 (en) 2018-01-05 2020-03-03 Kla Corporation Semiconductor metrology and defect classification using electron microscopy
US11036898B2 (en) 2018-03-15 2021-06-15 Kla-Tencor Corporation Measurement models of nanowire semiconductor structures based on re-useable sub-structures
US11519869B2 (en) 2018-03-20 2022-12-06 Kla Tencor Corporation Methods and systems for real time measurement control
US11327012B2 (en) * 2018-05-07 2022-05-10 Unm Rainforest Innovations Method and system for in-line optical scatterometry
US10734340B2 (en) * 2018-05-15 2020-08-04 Camtek Ltd. Height measurements of conductive structural elements that are surrounded by a photoresist layer
CN114997408A (zh) * 2018-06-14 2022-09-02 诺威有限公司 半导体度量方法和半导体度量系统
KR102556637B1 (ko) * 2018-07-18 2023-07-17 노바 엘티디. 반도체 장치의 시간-영역 광학 측정 및 검사 시스템
US11060846B2 (en) 2018-12-19 2021-07-13 Kla Corporation Scatterometry based methods and systems for measurement of strain in semiconductor structures
US11231362B1 (en) * 2018-12-20 2022-01-25 Kla Corporation Multi-environment polarized infrared reflectometer for semiconductor metrology
WO2020141040A1 (en) * 2019-01-03 2020-07-09 Asml Netherlands B.V. Methods and patterning devices and apparatuses for measuring focus performance of a lithographic apparatus, device manufacturing method
US10801953B2 (en) 2019-01-11 2020-10-13 Kla-Tencor Corporation Semiconductor metrology based on hyperspectral imaging
US10804167B2 (en) 2019-01-24 2020-10-13 Kla-Tencor Corporation Methods and systems for co-located metrology
US11137350B2 (en) 2019-01-28 2021-10-05 Kla Corporation Mid-infrared spectroscopy for measurement of high aspect ratio structures
US11060982B2 (en) 2019-03-17 2021-07-13 Kla Corporation Multi-dimensional model of optical dispersion
EP3994523A1 (en) 2019-07-02 2022-05-11 ASML Netherlands B.V. Metrology method and associated metrology and lithographic apparatuses
US11460418B2 (en) 2019-08-26 2022-10-04 Kla Corporation Methods and systems for semiconductor metrology based on wavelength resolved soft X-ray reflectometry
FI20195790A1 (en) * 2019-09-20 2021-03-21 Maillefer Extrusion Oy QUALITY FORECAST BASED ON MACHINE LEARNING OF MANUFACTURED FIBER OPTIC CABLE
CN110782430A (zh) * 2019-09-29 2020-02-11 郑州金惠计算机系统工程有限公司 一种小目标的检测方法、装置、电子设备及存储介质
US11415898B2 (en) * 2019-10-14 2022-08-16 Kla Corporation Signal-domain adaptation for metrology
EP3822687A1 (en) * 2019-11-15 2021-05-19 Leica Microsystems CMS GmbH Optical imaging device for a microscope
US11698251B2 (en) 2020-01-07 2023-07-11 Kla Corporation Methods and systems for overlay measurement based on soft X-ray Scatterometry
CN111356897B (zh) 2020-02-24 2021-02-19 长江存储科技有限责任公司 用于半导体芯片表面形貌计量的系统和方法
WO2021168613A1 (en) 2020-02-24 2021-09-02 Yangtze Memory Technologies Co., Ltd. Systems and methods for semiconductor chip surface topography metrology
WO2021168610A1 (en) 2020-02-24 2021-09-02 Yangtze Memory Technologies Co., Ltd. Systems having light source with extended spectrum for semiconductor chip surface topography metrology
WO2021168612A1 (en) 2020-02-24 2021-09-02 Yangtze Memory Technologies Co., Ltd. Systems and methods for semiconductor chip surface topography metrology
CN111446179B (zh) * 2020-03-31 2022-11-01 中国科学院微电子研究所 一种晶圆测试方法及装置
US11532566B2 (en) * 2020-04-15 2022-12-20 Kla Corporation Misregistration target having device-scaled features useful in measuring misregistration of semiconductor devices
US20230092729A1 (en) * 2021-09-20 2023-03-23 Kla Corporation Semiconductor Profile Measurement Based On A Scanning Conditional Model
US20230384237A1 (en) * 2022-05-31 2023-11-30 Kla Corporation Universal metrology model

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007523488A (ja) * 2004-02-23 2007-08-16 エーエスエムエル ネザーランズ ビー.ブイ. 光波散乱測定データに基づいてプロセスパラメータ値を決定する方法
US20110295555A1 (en) * 2008-09-30 2011-12-01 Asml Netherlands B.V. Method and System for Determining a Lithographic Process Parameter
US20120062863A1 (en) * 2010-09-13 2012-03-15 Asml Netherlands B.V. Alignment Measurement System, Lithographic Apparatus, and a Method to Determine Alignment in a Lithographic Apparatus
JP2013507604A (ja) * 2009-10-12 2013-03-04 エーエスエムエル ネザーランズ ビー.ブイ. 基板上のオブジェクトの概略構造を決定する方法、検査装置及び基板

Family Cites Families (46)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6340602B1 (en) 1999-12-10 2002-01-22 Sensys Instruments Method of measuring meso-scale structures on wafers
US7034930B1 (en) * 2000-08-08 2006-04-25 Advanced Micro Devices, Inc. System and method for defect identification and location using an optical indicia device
IL138193A0 (en) * 2000-08-31 2001-10-31 Nova Measuring Instr Ltd A method and system for optical inspection of a structure formed with a surface relief
US6911349B2 (en) * 2001-02-16 2005-06-28 Boxer Cross Inc. Evaluating sidewall coverage in a semiconductor wafer
TW555954B (en) 2001-02-28 2003-10-01 Olympus Optical Co Confocal microscope, optical height-measurement method, automatic focusing method
US7382447B2 (en) 2001-06-26 2008-06-03 Kla-Tencor Technologies Corporation Method for determining lithographic focus and exposure
KR20050035153A (ko) 2001-10-10 2005-04-15 액센트 옵티칼 테크놀로지스 인코포레이티드 단면 분석법에 의한 초점 중심의 결정
US6884552B2 (en) 2001-11-09 2005-04-26 Kla-Tencor Technologies Corporation Focus masking structures, focus patterns and measurements thereof
US6673638B1 (en) 2001-11-14 2004-01-06 Kla-Tencor Corporation Method and apparatus for the production of process sensitive lithographic features
EP2557416A3 (en) 2002-04-17 2013-02-27 Ebara Corporation Sample surface inspection apparatus and method
US7352453B2 (en) 2003-01-17 2008-04-01 Kla-Tencor Technologies Corporation Method for process optimization and control by comparison between 2 or more measured scatterometry signals
US7119893B2 (en) * 2003-04-10 2006-10-10 Accent Optical Technologies, Inc. Determination of center of focus by parameter variability analysis
SG108975A1 (en) 2003-07-11 2005-02-28 Asml Netherlands Bv Marker structure for alignment or overlay to correct pattern induced displacement, mask pattern for defining such a marker structure and lithographic projection apparatus using such a mask pattern
US7198873B2 (en) 2003-11-18 2007-04-03 Asml Netherlands B.V. Lithographic processing optimization based on hypersampled correlations
US9188974B1 (en) * 2004-02-13 2015-11-17 Kla-Tencor Technologies Corp. Methods for improved monitor and control of lithography processes
US7076320B1 (en) * 2004-05-04 2006-07-11 Advanced Micro Devices, Inc. Scatterometry monitor in cluster process tool environment for advanced process control (APC)
WO2006023612A2 (en) * 2004-08-19 2006-03-02 Zetetic Institute Sub-nanometer overlay, critical dimension, and lithography tool projection optic metrology systems based on measurement of exposure induced changes in photoresist on wafers
KR100644066B1 (ko) 2004-12-22 2006-11-10 동부일렉트로닉스 주식회사 얼라인먼트 레이저를 이용한 웨이퍼의 포커스 결정 방법
US7570796B2 (en) * 2005-11-18 2009-08-04 Kla-Tencor Technologies Corp. Methods and systems for utilizing design data in combination with inspection data
US7503028B2 (en) * 2006-01-10 2009-03-10 International Business Machines Corporation Multilayer OPC for design aware manufacturing
US7467064B2 (en) 2006-02-07 2008-12-16 Timbre Technologies, Inc. Transforming metrology data from a semiconductor treatment system using multivariate analysis
US7567700B2 (en) * 2006-03-28 2009-07-28 Tokyo Electron Limited Dynamic metrology sampling with wafer uniformity control
JP2007281384A (ja) * 2006-04-12 2007-10-25 Sony Corp マーク形成方法,マーク計測装置およびマーク計測方法
US7724370B2 (en) * 2007-03-01 2010-05-25 Asml Netherlands B.V. Method of inspection, a method of manufacturing, an inspection apparatus, a substrate, a mask, a lithography apparatus and a lithographic cell
US8111376B2 (en) 2007-05-30 2012-02-07 Kla-Tencor Corporation Feedforward/feedback litho process control of stress and overlay
US7460237B1 (en) * 2007-08-02 2008-12-02 Asml Netherlands B.V. Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
US8149384B2 (en) * 2007-12-17 2012-04-03 Advanced Micro Devices, Inc. Method and apparatus for extracting dose and focus from critical dimension data
US7925369B2 (en) * 2007-12-18 2011-04-12 Globalfoundries Inc. Method and apparatus for optimizing models for extracting dose and focus from critical dimension
EP2131243B1 (en) 2008-06-02 2015-07-01 ASML Netherlands B.V. Lithographic apparatus and method for calibrating a stage position
TW201100787A (en) * 2009-02-18 2011-01-01 Nikon Corp Surface examining device and surface examining method
US8108805B2 (en) * 2010-03-26 2012-01-31 Tokyo Electron Limited Simplified micro-bridging and roughness analysis
US20120053723A1 (en) * 2010-08-30 2012-03-01 Matthias Richter Method of Controlling a Process and Process Control System
US9239522B2 (en) 2010-10-08 2016-01-19 Kla-Tencor Corporation Method of determining an asymmetric property of a structure
WO2012062858A1 (en) * 2010-11-12 2012-05-18 Asml Netherlands B.V. Metrology method and apparatus, lithographic system and device manufacturing method
IL218588A (en) 2011-03-23 2015-09-24 Asml Netherlands Bv A method and system for calculating the electromagnetic scattering properties of a structure and for reconstructing approximate structures
US10890436B2 (en) * 2011-07-19 2021-01-12 Kla Corporation Overlay targets with orthogonal underlayer dummyfill
JP5864752B2 (ja) 2011-08-31 2016-02-17 エーエスエムエル ネザーランズ ビー.ブイ. 焦点補正を決定する方法、リソグラフィ処理セル及びデバイス製造方法
JP5869817B2 (ja) * 2011-09-28 2016-02-24 株式会社日立ハイテクノロジーズ 欠陥検査方法および欠陥検査装置
US8982358B2 (en) * 2012-01-17 2015-03-17 Kla-Tencor Corporation Apparatus and method of measuring roughness and other parameters of a structure
JP5666630B2 (ja) * 2012-02-07 2015-02-12 エーエスエムエル ネザーランズ ビー.ブイ. 基板トポグラフィ認識リソグラフィモデリング
US9952140B2 (en) * 2012-05-29 2018-04-24 Kla-Tencor Corporation Small spot size spectroscopic ellipsometer
US10101670B2 (en) * 2013-03-27 2018-10-16 Kla-Tencor Corporation Statistical model-based metrology
US9875946B2 (en) * 2013-04-19 2018-01-23 Kla-Tencor Corporation On-device metrology
US9383661B2 (en) * 2013-08-10 2016-07-05 Kla-Tencor Corporation Methods and apparatus for determining focus
US10935893B2 (en) * 2013-08-11 2021-03-02 Kla-Tencor Corporation Differential methods and apparatus for metrology of semiconductor targets
US9490182B2 (en) * 2013-12-23 2016-11-08 Kla-Tencor Corporation Measurement of multiple patterning parameters

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007523488A (ja) * 2004-02-23 2007-08-16 エーエスエムエル ネザーランズ ビー.ブイ. 光波散乱測定データに基づいてプロセスパラメータ値を決定する方法
US20110295555A1 (en) * 2008-09-30 2011-12-01 Asml Netherlands B.V. Method and System for Determining a Lithographic Process Parameter
JP2013507604A (ja) * 2009-10-12 2013-03-04 エーエスエムエル ネザーランズ ビー.ブイ. 基板上のオブジェクトの概略構造を決定する方法、検査装置及び基板
US20120062863A1 (en) * 2010-09-13 2012-03-15 Asml Netherlands B.V. Alignment Measurement System, Lithographic Apparatus, and a Method to Determine Alignment in a Lithographic Apparatus

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20190094421A (ko) * 2016-12-16 2019-08-13 에이에스엠엘 네델란즈 비.브이. 기판의 속성을 측정하는 방법, 검사 장치, 리소그래피 시스템 및 디바이스 제조 방법

Also Published As

Publication number Publication date
CN105684127A (zh) 2016-06-15
JP6488301B2 (ja) 2019-03-20
EP3031073A4 (en) 2017-05-24
TWI649628B (zh) 2019-02-01
KR102287785B1 (ko) 2021-08-06
CN105684127B (zh) 2018-10-26
EP3031073B1 (en) 2019-05-22
TW201518871A (zh) 2015-05-16
JP2016529551A (ja) 2016-09-23
US20150046118A1 (en) 2015-02-12
WO2015023605A1 (en) 2015-02-19
US10935893B2 (en) 2021-03-02
EP3031073A1 (en) 2016-06-15

Similar Documents

Publication Publication Date Title
US10101674B2 (en) Methods and apparatus for determining focus
KR102287785B1 (ko) 반도체 타겟의 계측을 위한 차동 방법 및 장치
US10502694B2 (en) Methods and apparatus for patterned wafer characterization
US9412673B2 (en) Multi-model metrology
US20200401054A1 (en) Measuring a Process Parameter for a Manufacturing Process Involving Lithography
KR102332956B1 (ko) 반도체 파라미터들을 측정하기 위한 장치, 기법들, 및 타겟 설계들
TWI621845B (zh) 校準一模型之方法與系統及推斷圖案化程序之參數之方法
JP5389235B2 (ja) オーバーレイエラーを判定するための方法及び装置
KR20180005200A (ko) 모델 기반의 핫 스팟 모니터링
US9360768B2 (en) Inspection method and apparatus
KR20240003439A (ko) 자가 교정 오버레이 계측

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant