KR20140072998A - Apparatus for treating substrate - Google Patents

Apparatus for treating substrate Download PDF

Info

Publication number
KR20140072998A
KR20140072998A KR1020120140479A KR20120140479A KR20140072998A KR 20140072998 A KR20140072998 A KR 20140072998A KR 1020120140479 A KR1020120140479 A KR 1020120140479A KR 20120140479 A KR20120140479 A KR 20120140479A KR 20140072998 A KR20140072998 A KR 20140072998A
Authority
KR
South Korea
Prior art keywords
substrate
chamber
module
buffer
robot
Prior art date
Application number
KR1020120140479A
Other languages
Korean (ko)
Inventor
한기원
Original Assignee
세메스 주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 세메스 주식회사 filed Critical 세메스 주식회사
Priority to KR1020120140479A priority Critical patent/KR20140072998A/en
Publication of KR20140072998A publication Critical patent/KR20140072998A/en

Links

Images

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B03SEPARATION OF SOLID MATERIALS USING LIQUIDS OR USING PNEUMATIC TABLES OR JIGS; MAGNETIC OR ELECTROSTATIC SEPARATION OF SOLID MATERIALS FROM SOLID MATERIALS OR FLUIDS; SEPARATION BY HIGH-VOLTAGE ELECTRIC FIELDS
    • B03CMAGNETIC OR ELECTROSTATIC SEPARATION OF SOLID MATERIALS FROM SOLID MATERIALS OR FLUIDS; SEPARATION BY HIGH-VOLTAGE ELECTRIC FIELDS
    • B03C3/00Separating dispersed particles from gases or vapour, e.g. air, by electrostatic effect
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68707Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a robot blade, or gripped by a gripper for conveyance

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Robotics (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

Provided in the present invention are a substrate carrying unit and an apparatus having the same. The substrate processing apparatus comprises multiple process chambers which provide a space for processing a substrate; and a carrying chamber which is positioned adjacently to the process chambers and having a substrate carrying unit for carrying the substrate among the process chambers. The substrate carrying unit comprises a base; a movable member which is connected with the base in order to relatively move against the base; a driving member which is placed inside the base and provides driving force for the movable member; and a dust collector which collects dust generated from the driving member. Therefore, the dust collector can easily collect particles generated from a metal wire by having magnetism.

Description

기판처리장치{Apparatus for treating substrate}[0001] Apparatus for treating substrate [0002]

본 발명은 기판을 반송하는 장치에 관한 것이다.The present invention relates to an apparatus for transporting a substrate.

반도체 소자 및 평판 디스플레이를 제조하기 위해서는 사진, 식각, 증착, 이온주입, 세정 등 다양한 공정들이 수행된다. 이러한 공정들은 공정 단계별에 따라 서로 독립된 장치 내에서 진행되며, 각각의 장치 내에는 기판을 장치 내에 제공된 각각의 챔버로 반송하는 반송유닛이 제공된다.Various processes such as photolithography, etching, deposition, ion implantation, and cleaning are performed to manufacture semiconductor devices and flat panel displays. These processes are performed in independent apparatuses according to process steps, and each of the apparatuses is provided with a transfer unit for transferring the substrates to the respective chambers provided in the apparatus.

일반적으로 기판의 공정 분위기는 대기에 분진과 같은 파티클이 제거된 클린 상태를 요구한다. 그러나 챔버의 내부가 클린 상태를 유지할지라도, 기판이 반송되는 중에 파티클이 발생된다. 이 같은 파티클은 반송로봇 및 이를 이동시키는 프레임 내에 제공된 컨베이어부재로부터 많이 발생된다. 컨베이어부재는 풀리와 벨트가 서로 조합되고, 이를 회전시켜 반송로봇 및 프레임을 구동시킨다. 이때 풀리와 벨트 간의 마찰로 인해 파티클이 주로 발생된다. 이를 해결하기 위해 선행 특허문헌1: 공개번호 2006-122287호와 같이 반송로봇과 복수의 프레임들 내에는 배기팬이 설치되고, 배기팬은 파티클을 강제배기한다. Generally, the process atmosphere of the substrate requires a clean state in which particles such as dust are removed from the atmosphere. However, even if the inside of the chamber is kept clean, particles are generated while the substrate is being transported. Such particles are generated from a conveying robot and a conveyor member provided in a frame for moving the conveying robot. The conveyor member drives the conveying robot and the frame by rotating the pulley and the belt combined with each other. At this time, particles are mainly generated due to the friction between the pulley and the belt. In order to solve this problem, an exhaust fan is installed in the carrier robot and a plurality of frames as in the prior art reference 1: Publication No. 2006-122287, and the exhaust fan forcibly discharges the particles.

이와 같은 강제배기에도 불구하고, 파티클은 반송로봇 및 프레임의 외부로 유출된다. 예컨대, 선행 특허문헌2: 공개번호 2010-128222호에 의하면, 반송챔버 내에는 반송로봇 및 가이드레일이 제공되고, 기판은 반송로봇 및 가이드레일에 의해 도포챔버들과 베이크챔버, 그리고 현상챔버들과 베이크챔버들로 반송되는 구성을 가진다. 그러나 반송로봇 및 가이드레일의 내부에서 유출된 파티클은 반송챔버에서 도포챔버, 현상챔버, 그리고 베이크챔버로 유입되고, 이는 기판 처리 공정에 불량을 야기한다. In spite of such forced exhaust, the particles flow out of the conveying robot and the frame. For example, according to Prior Art Document 2: Publication No. 2010-128222, a transfer robot and a guide rail are provided in a transfer chamber, and the substrate is transferred to the application chambers, the bake chamber, and the development chambers by the transfer robot and the guide rail And is conveyed to the bake chambers. However, the particles flowing out from the inside of the carrier robot and the guide rail flow into the application chamber, the development chamber, and the bake chamber in the transfer chamber, which causes a defect in the substrate processing process.

본 발명은 기판을 반송 시 발생되는 파티클을 포획할 수 있는 장치를 제공하고자 한다.An object of the present invention is to provide an apparatus capable of capturing particles generated during transportation of a substrate.

본 발명은 기판을 반송하는 유닛 및 이를 가지는 장치를 제공한다. 기판처리장치는 기판의 공정 처리가 진행되는 공간을 제공하는 복수 개의 공정챔버들 및 상기 공정챔버들과 인접하게 위치되고, 상기 공정챔버들 간에 기판을 반송하는 기판반송유닛이 내부에 제공되는 반송챔버를 포함하되, 상기 기판반송유닛은 베이스, 상기 베이스에 대해 상대 이동 가능하도록 상기 베이스에 연결되는 이동부재, 상기 베이스 내에 배치되고, 상기 이동부재에 구동력을 제공하는 구동부재, 그리고 상기 구동부재로부터 발생된 분진을 포획하는 분진포획기를 포함한다.The present invention provides a unit for transporting a substrate and an apparatus having the unit. The substrate processing apparatus includes a plurality of process chambers for providing a space in which processing of substrates is performed, and a substrate transfer unit for transferring substrates between the process chambers, Wherein the substrate transferring unit comprises a base, a movable member connected to the base so as to be movable relative to the base, a driving member disposed in the base, for providing a driving force to the movable member, And a dust catcher for trapping the dust that has been removed.

상기 구동부재는 금속 재질의 부품을 포함하고, 상기 분진포획기는 자성부재를 포함할 수 있다. 상기 구동부재는 서로 이격되게 배치되는 풀리들 및 상기 풀리들을 감싸도록 제공되며, 상기 풀리의 회전에 의해 이동가능한 금속 재질의 와이어를 포함하되, 상기 와이어는 상기 이동부재에 결합될 수 있다. 상기 분진포획기는 상기 와이어와 대향되는 위치에 제공되는 제1포획기를 포함할 수 있다. 상기 베이스에는 개구가 형성되고, 상기 이동부재는 상기 개구를 관통하도록 제공될 수 있다. 상기 분진포획기는 상기 개구와 인접한 영역에 위치되는 제2포획기를 포함할 수 있다. 상기 분진포획기는 상기 베이스의 내부에 위치될 수 있다. The driving member includes a metallic material part, and the dust catcher may include a magnetic member. The driving member includes pulleys arranged to be spaced apart from each other and a metal wire which is provided to wrap the pulleys and is movable by rotation of the pulley, wherein the wires can be coupled to the moving member. The dust catcher may include a first catcher provided at a position opposite to the wire. An opening is formed in the base, and the moving member can be provided to penetrate the opening. The dust catcher may include a second catcher located in an area adjacent to the opening. The dust catcher may be located inside the base.

기판처리장치는 수평프레임, 상기 수평프레임에 결합되고, 상기 수평프레임에 대해 수평방향으로 이동 가능한 수직프레임을 더 포함하되, 상기 베이스는 상기 수평프레임이고, 상기 이동부재는 상기 수직프레임으로 제공될 수 있다. The substrate processing apparatus further includes a horizontal frame, a vertical frame coupled to the horizontal frame and movable in a horizontal direction with respect to the horizontal frame, wherein the base is the horizontal frame, and the moving member can be provided with the vertical frame have.

선택적으로 상기 수직프레임에 결합되고, 상기 수직프레임에 대해 수직방향으로 이동 가능한 지지체를 더 포함하되, 상기 베이스는 상기 수직프레임이고, 상기 이동부재는 상기 지지체로 제공될 수 있다.And a support vertically movable with respect to the vertical frame, wherein the base is the vertical frame, and the movable member is provided with the support.

선택적으로 상기 지지체에 결합되고, 상기 지지체에 대해 수평방향으로 이동 가능하며, 기판을 지지하는 핸드부를 더 포함하되, 상기 베이스는 상기 지지체이고, 상기 핸드부로 제공될 수 있다.And a hand portion coupled to the support and movable in a horizontal direction with respect to the support and supporting the substrate, wherein the base is the support and can be provided with the hand portion.

기판반송유닛은 베이스, 상기 베이스에 대해 상대 이동 가능하도록 상기 베이스에 연결되는 이동부재, 상기 베이스 내에 배치되고, 상기 이동부재에 구동력을 제공하는 구동부재, 그리고 상기 구동부재로부터 발생된 분진을 포획하는 분진포획기를 포함한다.The substrate transporting unit includes a base, a moving member connected to the base so as to be movable relative to the base, a driving member disposed in the base and providing driving force to the moving member, And a dust catcher.

상기 구동부재는 금속 재질의 부품을 포함하고, 상기 분진포획기는 자성부재를 포함할 수 있다. 상기 분진포획기는 상기 부품과 대향되는 위치에 제공되는 제1포획기를 포함할 수 있다. 상기 베이스에는 개구가 형성되고, 상기 이동부재는 상기 개구를 관통하도록 제공되며, 상기 분진포획기는 상기 개구와 인접한 영역에 위치되는 제2포획기를 포함할 수 있다.The driving member includes a metallic material part, and the dust catcher may include a magnetic member. The dust catcher may include a first catcher provided at a position facing the component. An opening is formed in the base, the moving member is provided to penetrate the opening, and the dust catcher may include a second trapping unit located in an area adjacent to the opening.

본 발명의 실시예에 의하면, 분진포획기는 자성을 가지므로, 금속 재질의 와이어로부터 발생되는 파티클을 쉽게 포획할 수 있다.According to the embodiment of the present invention, since the dust catcher has magnetism, particles generated from a wire made of a metal can be easily trapped.

도1은 기판 처리 설비를 상부에서 바라본 도면이다.
도2는 도1의 설비를 A-A 방향에서 바라본 도면이다.
도3은 도1의 설비를 B-B 방향에서 바라본 도면이다.
도4는 도1의 설비를 C-C 방향에서 바라본 도면이다.
도5는 도1의 기판반송유닛을 보여주는 사시도이다.
도6은 도5의 도포부 로봇을 일방향으로 절단한 단면도이다.
도7은 도5의 도포부 로봇을 타방향으로 절단한 단면도이다.
1 is a top view of a substrate processing apparatus.
Fig. 2 is a view of the facility of Fig. 1 viewed from the direction AA.
Fig. 3 is a view of the equipment of Fig. 1 viewed from the BB direction.
Fig. 4 is a view of the facility of Fig. 1 viewed from the CC direction; Fig.
Fig. 5 is a perspective view showing the substrate transport unit of Fig. 1;
6 is a cross-sectional view of the applicator robot of Fig. 5 cut in one direction.
Fig. 7 is a cross-sectional view of the applicator robot of Fig. 5 cut in the other direction. Fig.

이하, 본 발명의 실시 예를 첨부된 도 1 내지 도 7을 참조하여 더욱 상세히 설명한다. 본 발명의 실시 예는 여러 가지 형태로 변형될 수 있으며, 본 발명의 범위가 아래의 실시 예들로 한정되는 것으로 해석되어서는 안 된다. 본 실시 예는 당업계에서 평균적인 지식을 가진 자에게 본 발명을 더욱 완전하게 설명하기 위해 제공되는 것이다. 따라서 도면에서의 요소의 형상은 보다 명확한 설명을 강조하기 위해 과장되었다. Hereinafter, embodiments of the present invention will be described in more detail with reference to FIGS. 1 to 7 attached hereto. The embodiments of the present invention may be modified in various forms, and the scope of the present invention should not be construed as being limited to the following embodiments. This embodiment is provided to more fully describe the present invention to those skilled in the art. Thus, the shape of the elements in the figures has been exaggerated to emphasize a clearer description.

본 실시예의 설비는 반도체 웨이퍼 또는 평판 표시 패널과 같은 기판에 대해 포토리소그래피 공정을 수행하는 데 사용된다. 특히 본 실시예의 설비는 노광장치에 연결되어 기판에 대해 도포 공정 및 현상 공정을 수행하는 데 사용된다. 아래에서는 기판으로 웨이퍼가 사용된 경우를 예로 들어 설명한다.The facility of this embodiment is used to perform a photolithography process on a substrate such as a semiconductor wafer or a flat panel display panel. In particular, the apparatus of this embodiment is connected to an exposure apparatus and is used to perform a coating process and a developing process on a substrate. Hereinafter, a case where a wafer is used as a substrate will be described as an example.

도 1 내지 도 4는 본 발명의 일 실시예에 따른 기판 처리 설비(1)를 개략적으로 보여주는 도면이다. 도 1은 기판 처리 설비(1)를 상부에서 바라본 도면이고, 도 2는 도 1의 설비(1)를 A-A 방향에서 바라본 도면이고, 도 3은 도 1의 설비(1)를 B-B 방향에서 바라본 도면이고, 도 4는 도 1의 설비(1)를 C-C 방향에서 바라본 도면이다. 1 to 4 are views schematically showing a substrate processing apparatus 1 according to an embodiment of the present invention. 2 is a view of the facility 1 of FIG. 1 viewed from the direction AA; FIG. 3 is a view of the facility 1 of FIG. 1 viewed from the direction of the BB; FIG. And Fig. 4 is a view of the facility 1 of Fig. 1 viewed from the CC direction.

도 1 내지 도 4를 참조하면, 기판 처리 설비(1)는 로드 포트(100), 인덱스 모듈(200), 제 1 버퍼 모듈(300), 도포 및 현상 모듈(400), 제 2 버퍼 모듈(500), 노광 전후 처리 모듈(600), 그리고 인터페이스 모듈(700)을 포함한다. 로드 포트(100), 인덱스 모듈(200), 제 1 버퍼 모듈(300), 도포 및 현상 모듈(400), 제 2 버퍼 모듈(500), 노광 전후 처리 모듈(600), 그리고 인터페이스 모듈(700)은 순차적으로 일 방향으로 일렬로 배치된다. 1 to 4, the substrate processing apparatus 1 includes a load port 100, an index module 200, a first buffer module 300, a coating and developing module 400, a second buffer module 500 An exposure pre- and post-processing module 600, and an interface module 700. The load port 100, the index module 200, the first buffer module 300, the application and development module 400, the second buffer module 500, the pre-exposure processing module 600, and the interface module 700, Are sequentially arranged in one direction in a single direction.

이하, 로드 포트(100), 인덱스 모듈(200), 제 1 버퍼 모듈(300), 도포 및 현상 모듈(400), 제 2 버퍼 모듈(500), 노광 전후 처리 모듈(600), 그리고 인터페이스 모듈(700)이 배치된 방향을 제 1 방향(12)이라 칭하고, 상부에서 바라볼 때 제 1 방향(12)과 수직한 방향을 제 2 방향(14)이라 칭하고, 제 1 방향(12) 및 제 2 방향(14)과 각각 수직한 방향을 제 3 방향(16)이라 칭한다. Hereinafter, the load port 100, the index module 200, the first buffer module 300, the coating and developing module 400, the second buffer module 500, the pre-exposure processing module 600, 700 are referred to as a first direction 12 and a direction perpendicular to the first direction 12 as viewed from above is referred to as a second direction 14 and a direction in which the first direction 12 and the second And a direction perpendicular to the direction 14 is referred to as a third direction 16.

기판(W)은 카세트(20) 내에 수납된 상태로 이동된다. 이때 카세트(20)는 외부로부터 밀폐될 수 있는 구조를 가진다. 예컨대, 카세트(20)로는 전방에 도어를 가지는 전면 개방 일체식 포드(Front Open Unified Pod; FOUP)가 사용될 수 있다. The substrate W is moved in a state accommodated in the cassette 20. At this time, the cassette 20 has a structure that can be sealed from the outside. For example, as the cassette 20, a front open unified pod (FOUP) having a door at the front can be used.

이하에서는 로드 포트(100), 인덱스 모듈(200), 제 1 버퍼 모듈(300), 도포 및 현상 모듈(400), 제 2 버퍼 모듈(500), 노광 전후 처리 모듈(600), 그리고 인터페이스 모듈(700)에 대해 상세히 설명한다.Hereinafter, the load port 100, the index module 200, the first buffer module 300, the application and development module 400, the second buffer module 500, the pre-exposure processing module 600, 700 will be described in detail.

로드 포트(100)는 웨이퍼들(W)이 수납된 카세트(20)가 놓여지는 재치대(120)를 가진다. 재치대(120)는 복수개가 제공되며, 재치대들(200)은 제 2 방향(14)을 따라 일렬로 배치된다. 도 1에서는 4개의 재치대(120)가 제공되었다. The load port 100 has a mounting table 120 on which a cassette 20 accommodating wafers W is placed. A plurality of mounts 120 are provided, and the mounts 200 are arranged in a line along the second direction 14. [ In Fig. 1, four placement tables 120 are provided.

인덱스 모듈(200)은 로드 포트(100)의 재치대(120)에 놓인 카세트(20)와 제 1 버퍼 모듈(300) 간에 기판(W)을 이송한다. 인덱스 모듈(200)은 프레임(210), 인덱스 로봇(220), 그리고 가이드 레일(230)을 가진다. 프레임(210)은 대체로 내부가 빈 직육면체의 형상으로 제공되며, 로드 포트(100)와 제 1 버퍼 모듈(300) 사이에 배치된다. 인덱스 모듈(200)의 프레임(210)은 후술하는 제 1 버퍼 모듈(300)의 프레임(310)보다 낮은 높이로 제공될 수 있다. 인덱스 로봇(220)과 가이드 레일(230)은 프레임(210) 내에 배치된다. 인덱스 로봇(220)은 기판(W)을 직접 핸들링하는 핸드(221)가 제 1 방향(12), 제 2 방향(14), 제 3 방향(16)으로 이동 가능하고 회전될 수 있도록 4축 구동이 가능한 구조를 가진다. 인덱스 로봇(220)은 핸드(221), 아암(222), 지지대(223), 그리고 받침대(224)를 가진다. 핸드(221)는 아암(222)에 고정 설치된다. 아암(222)은 신축 가능한 구조 및 회전 가능한 구조로 제공된다. 지지대(223)는 그 길이 방향이 제 3 방향(16)을 따라 배치된다. 아암(222)은 지지대(223)를 따라 이동 가능하도록 지지대(223)에 결합된다. 지지대(223)는 받침대(224)에 고정결합된다. 가이드 레일(230)은 그 길이 방향이 제 2 방향(14)을 따라 배치되도록 제공된다. 받침대(224)는 가이드 레일(230)을 따라 직선 이동 가능하도록 가이드 레일(230)에 결합된다. 또한, 도시되지는 않았지만, 프레임(210)에는 카세트(20)의 도어를 개폐하는 도어 오프너가 더 제공된다.The index module 200 transfers the substrate W between the cassette 20 placed on the table 120 of the load port 100 and the first buffer module 300. The index module 200 has a frame 210, an index robot 220, and a guide rail 230. The frame 210 is provided generally in the shape of an inner rectangular parallelepiped and is disposed between the load port 100 and the first buffer module 300. The frame 210 of the index module 200 may be provided at a lower height than the frame 310 of the first buffer module 300 described later. The index robot 220 and the guide rail 230 are disposed within the frame 210. The index robot 220 is moved in the first direction 12, the second direction 14 and the third direction 16 so that the hand 221 that directly handles the substrate W can be moved and rotated in the first direction 12, the second direction 14, . The index robot 220 has a hand 221, an arm 222, a support 223, and a pedestal 224. The hand 221 is fixed to the arm 222. The arm 222 is provided with a stretchable structure and a rotatable structure. The support base 223 is disposed along the third direction 16 in the longitudinal direction. The arm 222 is coupled to the support 223 to be movable along the support 223. The support 223 is fixedly coupled to the pedestal 224. The guide rails 230 are provided so that their longitudinal direction is arranged along the second direction 14. The pedestal 224 is coupled to the guide rail 230 so as to be linearly movable along the guide rail 230. Further, although not shown, the frame 210 is further provided with a door opener for opening and closing the door of the cassette 20.

제 1 버퍼 모듈(300)은 프레임(310), 제 1 버퍼(320), 제 2 버퍼(330), 냉각 챔버(350), 그리고 제 1 버퍼 로봇(360)을 가진다. 프레임(310)은 내부가 빈 직육면체의 형상으로 제공되며, 인덱스 모듈(200)과 도포 및 현상 모듈(400) 사이에 배치된다. 제 1 버퍼(320), 제 2 버퍼(330), 냉각 챔버(350), 그리고 제 1 버퍼 로봇(360)은 프레임(310) 내에 위치된다. 냉각 챔버(350), 제 2 버퍼(330), 그리고 제 1 버퍼(320)는 순차적으로 아래에서부터 제 3 방향(16)을 따라 배치된다. 제 1 버퍼(320)는 후술하는 도포 및 현상 모듈(400)의 도포 모듈(401)과 대응되는 높이에 위치되고, 제 2 버퍼(330)와 냉각 챔버(350)는 후술하는 도포 및 현상 모듈(400)의 현상 모듈(402)과 대응되는 높이에 위치된다. 제 1 버퍼 로봇(360)은 제 2 버퍼(330), 냉각 챔버(350), 그리고 제 1 버퍼(320)와 제 2 방향(14)으로 일정 거리 이격되게 위치된다. The first buffer module 300 has a frame 310, a first buffer 320, a second buffer 330, a cooling chamber 350, and a first buffer robot 360. The frame 310 is provided in the shape of an inner rectangular parallelepiped and is disposed between the index module 200 and the application and development module 400. The first buffer 320, the second buffer 330, the cooling chamber 350, and the first buffer robot 360 are located within the frame 310. The cooling chamber 350, the second buffer 330, and the first buffer 320 are sequentially disposed in the third direction 16 from below. The second buffer 330 and the cooling chamber 350 are located at a height corresponding to the coating module 401 of the coating and developing module 400 described later and the coating and developing module 400 at a height corresponding to the developing module 402. [ The first buffer robot 360 is spaced apart from the second buffer 330, the cooling chamber 350 and the first buffer 320 by a predetermined distance in the second direction 14.

제 1 버퍼(320)와 제 2 버퍼(330)는 각각 복수의 웨이퍼들(W)을 일시적으로 보관한다. 제 2 버퍼(330)는 하우징(331)과 복수의 지지대들(332)을 가진다. 지지대들(332)은 하우징(331) 내에 배치되며, 서로 간에 제 3 방향(16)을 따라 이격되게 제공된다. 각각의 지지대(332)에는 하나의 기판(W)이 놓인다. 하우징(331)은 인덱스 로봇(220), 제 1 버퍼 로봇(360), 그리고 후술하는 현상 모듈(402)의 현상부 로봇(482)이 하우징(331) 내 지지대(332)에 기판(W)을 반입 또는 반출할 수 있도록 인덱스 로봇(220)이 제공된 방향, 제 1 버퍼 로봇(360)이 제공된 방향, 그리고 현상부 로봇(482)이 제공된 방향에 개구(도시되지 않음)를 가진다. 제 1 버퍼(320)는 제 2 버퍼(330)와 대체로 유사한 구조를 가진다. 다만, 제 1 버퍼(320)의 하우징(321)에는 제 1 버퍼 로봇(360)이 제공된 방향 및 후술하는 도포 모듈(401)에 위치된 도포부 로봇(432)이 제공된 방향에 개구를 가진다. 제 1 버퍼(320)에 제공된 지지대(322)의 수와 제 2 버퍼(330)에 제공된 지지대(332)의 수는 동일하거나 상이할 수 있다. 일 예에 의하면, 제 2 버퍼(330)에 제공된 지지대(332)의 수는 제 1 버퍼(320)에 제공된 지지대(322)의 수보다 많을 수 있다. The first buffer 320 and the second buffer 330 temporarily store a plurality of wafers W, respectively. The second buffer 330 has a housing 331 and a plurality of supports 332. The supports 332 are disposed within the housing 331 and are provided spaced apart from each other in the third direction 16. One substrate W is placed on each support 332. The housing 331 is constructed so that the index robot 220, the first buffer robot 360 and the developing robot 482 of the developing module 402 described later mount the substrate W on the support 332 in the housing 331 (Not shown) in the direction in which the index robot 220 is provided, in the direction in which the first buffer robot 360 is provided, and in the direction in which the developing robot 482 is provided, so that the developing robot 482 can carry it in or out. The first buffer 320 has a structure substantially similar to that of the second buffer 330. The housing 321 of the first buffer 320 has an opening in a direction in which the first buffer robot 360 is provided and in a direction in which the application unit robot 432 located in the application module 401 described later is provided. The number of supports 322 provided in the first buffer 320 and the number of supports 332 provided in the second buffer 330 may be the same or different. According to one example, the number of supports 332 provided in the second buffer 330 may be greater than the number of supports 322 provided in the first buffer 320.

제 1 버퍼 로봇(360)은 제 1 버퍼(320)와 제 2 버퍼(330) 간에 기판(W)을 이송시킨다. 제 1 버퍼 로봇(360)은 핸드(361), 아암(362), 그리고 지지대(363)를 가진다. 핸드(361)는 아암(362)에 고정 설치된다. 아암(362)은 신축 가능한 구조로 제공되어, 핸드(361)가 제 2 방향(14)을 따라 이동 가능하도록 한다. 아암(362)은 지지대(363)를 따라 제 3 방향(16)으로 직선 이동 가능하도록 지지대(363)에 결합된다. 지지대(363)는 제 2 버퍼(330)에 대응되는 위치부터 제 1 버퍼(320)에 대응되는 위치까지 연장된 길이를 가진다. 지지대(363)는 이보다 위 또는 아래 방향으로 더 길게 제공될 수 있다. 제 1 버퍼 로봇(360)은 단순히 핸드(361)가 제 2 방향(14) 및 제 3 방향(16)을 따른 2축 구동만 되도록 제공될 수 있다. The first buffer robot 360 transfers the substrate W between the first buffer 320 and the second buffer 330. The first buffer robot 360 has a hand 361, an arm 362, and a support base 363. The hand 361 is fixed to the arm 362. The arm 362 is provided in a stretchable configuration so that the hand 361 is movable along the second direction 14. The arm 362 is coupled to the support 363 so as to be linearly movable along the support 363 in the third direction 16. The support base 363 has a length extending from a position corresponding to the second buffer 330 to a position corresponding to the first buffer 320. The support member 363 may be provided longer in the upward or downward direction. The first buffer robot 360 may be provided so that the hand 361 is simply driven in two directions along the second direction 14 and the third direction 16.

냉각 챔버(350)는 각각 기판(W)을 냉각한다. 냉각 챔버(350)는 하우징(351)과 냉각 플레이트(352)를 가진다. 냉각 플레이트(352)는 기판(W)이 놓이는 상면 및 기판(W)을 냉각하는 냉각 수단(353)을 가진다. 냉각 수단(353)으로는 냉각수에 의한 냉각이나 열전 소자를 이용한 냉각 등 다양한 방식이 사용될 수 있다. 또한, 냉각 챔버(350)에는 기판(W)을 냉각 플레이트(352) 상에 위치시키는 리프트 핀 어셈블리(도시되지 않음)가 제공될 수 있다. 하우징(351)은 인덱스 로봇(220) 및 후술하는 현상 모듈(402)에 제공된 현상부 로봇(482)이 냉각 플레이트(352)에 기판(W)을 반입 또는 반출할 수 있도록 인덱스 로봇(220)이 제공된 방향 및 현상부 로봇(482)이 제공된 방향에 개구(도시되지 않음)를 가진다. 또한, 냉각 챔버(350)에는 상술한 개구를 개폐하는 도어들(도시되지 않음)이 제공될 수 있다. The cooling chamber 350 cools the substrate W, respectively. The cooling chamber 350 has a housing 351 and a cooling plate 352. The cooling plate 352 has an upper surface on which the substrate W is placed and a cooling means 353 for cooling the substrate W. [ As the cooling means 353, various methods such as cooling with cooling water and cooling using a thermoelectric element can be used. In addition, the cooling chamber 350 may be provided with a lift pin assembly (not shown) for positioning the substrate W on the cooling plate 352. The housing 351 is provided with an index robot 220 so that the developing robot 482 provided in the index robot 220 and a developing module 402 to be described later can carry the substrate W into or out of the cooling plate 352 (Not shown) in the direction provided and the direction in which the developing robot 482 is provided. Further, the cooling chamber 350 may be provided with doors (not shown) for opening and closing the above-described opening.

도포 및 현상 모듈(400)은 노광 공정 전에 기판(W) 상에 포토 레지스트를 도포하는 공정 및 노광 공정 후에 기판(W)을 현상하는 공정을 수행한다. 도포 및 현상 모듈(400)은 대체로 직육면체의 형상을 가진다. 도포 및 현상 모듈(400)은 도포 모듈(401)과 현상 모듈(402)을 가진다. 도포 모듈(401)과 현상 모듈(402)은 서로 간에 층으로 구획되도록 배치된다. 일 예에 의하면, 도포 모듈(401)은 현상 모듈(402)의 상부에 위치된다.The application and development module 400 performs a process of applying a photoresist on the substrate W before the exposure process and a process of developing the substrate W after the exposure process. The application and development module 400 has a generally rectangular parallelepiped shape. The coating and developing module 400 has a coating module 401 and a developing module 402. The application module 401 and the development module 402 are arranged so as to be partitioned into layers with respect to each other. According to one example, the application module 401 is located on top of the development module 402.

도포 모듈(401)은 기판(W)에 대해 포토레지스트와 같은 감광액을 도포하는 공정 및 레지스트 도포 공정 전후에 기판(W)에 대해 가열 및 냉각과 같은 열처리 공정을 포함한다. 도포 모듈(401)은 도포챔버(410), 베이크 챔버(420), 그리고 반송챔버(430)을 가진다. 도포챔버(410), 베이크 챔버(420), 그리고 반송챔버(430)는 제 2 방향(14)을 따라 순차적으로 배치된다. 따라서 도포챔버(410)와 베이크 챔버(420)는 반송챔버(430)를 사이에 두고 제 2 방향(14)으로 서로 이격되게 위치된다. 도포챔버(410)는 복수 개가 제공되며, 제 1 방향(12) 및 제 3 방향(16)으로 각각 복수 개씩 제공된다. 도면에서는 6개의 도포챔버(410)가 제공된 예가 도시되었다. 베이크 챔버(420)는 제 1 방향(12) 및 제 3 방향(16)으로 각각 복수 개씩 제공된다. 도면에서는 6개의 베이크 챔버(420)가 제공된 예가 도시되었다. 그러나 이와 달리 베이크 챔버(420)는 더 많은 수로 제공될 수 있다.The application module 401 includes a process of applying a photosensitive liquid such as a photoresist to the substrate W and a heat treatment process such as heating and cooling for the substrate W before and after the resist application process. The application module 401 has an application chamber 410, a bake chamber 420, and a transfer chamber 430. The application chamber 410, the bake chamber 420, and the transfer chamber 430 are sequentially disposed along the second direction 14. [ Accordingly, the application chamber 410 and the bake chamber 420 are spaced apart from each other in the second direction 14 with the transfer chamber 430 therebetween. A plurality of application chambers 410 are provided, and a plurality of application chambers 410 are provided in the first direction 12 and the third direction 16, respectively. In the drawing, an example in which six application chambers 410 are provided is shown. A plurality of bake chambers 420 are provided in the first direction 12 and the third direction 16, respectively. In the drawing, six bake chambers 420 are provided. Alternatively, however, the bake chamber 420 may be provided in a greater number.

반송챔버(430)에는 기판을 반송하기 위한 기판반송유닛(1000)이 제공된다. 기판반송유닛(1000)은 베이크챔버들(420), 도포챔버들(410), 제 1 버퍼 모듈(310)의 제 1 버퍼(320), 그리고 후술하는 제 2 버퍼 모듈(510)의 제 1 버퍼(520) 간에 기판을 반송한다. 도5는 도1의 기판반송유닛(1000)을 보여주는 사시도이고, 도6은 도5의 지지체(1700) 및 핸드부(1900)를 일방향으로 절단한 단면도이며, 도7은 도5의 지지체(1700) 및 핸드부(1900)를 타방향으로 절단한 단면도이다. 도5 내지 도7을 참조하면 기판반송유닛(1000)은 베이스(1020), 이동부재(1040), 구동부재(1060), 그리고 분진포획기(1080)를 포함한다. The transfer chamber 430 is provided with a substrate transfer unit 1000 for transferring the substrate. The substrate transfer unit 1000 includes the bake chambers 420, the application chambers 410, the first buffer 320 of the first buffer module 310 and the first buffer 320 of the second buffer module 510, (520). 5 is a perspective view showing the substrate transfer unit 1000 of FIG. 1, FIG. 6 is a cross-sectional view of the support 1700 and the hand portion 1900 of FIG. 5 cut in one direction, and FIG. 7 is a cross- And the hand portion 1900 in the other direction. 5 to 7, the substrate transfer unit 1000 includes a base 1020, a moving member 1040, a driving member 1060, and a dust catcher 1080. [

베이스(1020)는 내부에 공간을 제공한다. 베이스(1020)의 일면에는 일방향을 따라 연장되는 슬릿 형상의 개구(1042)가 형성된다. 이동부재(1040)는 베이스(1020)에 연결되고, 베이스(1020)에 대해 상대이동 가능하도록 제공된다. 구동부재(1060)는 베이스(1020) 내에 위치되고, 이동부재(1040)가 일방향을 따라 이동하도록 구동력을 제공한다. 구동부재(1060)는 풀리(1062) 및 와이어(1064)를 포함한다. 풀리(1062)는 2 개로 제공되며, 서로 이격되게 위치된다. 풀리(1062)들은 일방향을 따라 서로 마주보도록 위치된다. 풀리(1062)는 모터와 같은 구동부(1066)에 의해 어느 하나 또는 2 개가 회전될 수 있다. 와이어(1064)는 복수 개의 풀리(1062)들의 외측면을 감싸도록 제공된다. 와이어(1064)는 풀리(1062)의 회전에 의해 회전된다. 와이어(1064)는 이동부재(1040)에 고정 결합된다. 와이어(1064)의 회전에 의해 이동부재(1040)는 일방향을 따라 이동된다. 일 예에 의하면, 와이어(1064)는 금속 재질로 제공될 수 있다.The base 1020 provides space therein. On one side of the base 1020, a slit-shaped opening 1042 extending along one direction is formed. The movable member 1040 is connected to the base 1020 and is provided to be movable relative to the base 1020. The driving member 1060 is located in the base 1020 and provides a driving force to move the moving member 1040 in one direction. The driving member 1060 includes a pulley 1062 and a wire 1064. The pulleys 1062 are provided in two, spaced apart from one another. The pulleys 1062 are positioned to face each other along one direction. The pulley 1062 can be rotated by either one or two by a driving unit 1066 such as a motor. A wire 1064 is provided to enclose the outer surface of the plurality of pulleys 1062. The wire 1064 is rotated by the rotation of the pulley 1062. The wire 1064 is fixedly coupled to the movable member 1040. By the rotation of the wire 1064, the movable member 1040 is moved along one direction. According to one example, the wire 1064 may be provided of a metal material.

분진포획기(1080)는 베이스(1020) 내에 발생된 분진을 포획한다. 분진포획기(1080)는 와이어(1064)와 풀리(1062) 간의 마찰로 인해 발생되는 금속 재질의 분진을 포획한다. 분진포획기(1080)는 베이스(1020) 내에 제공된다. 분진포획기(1080)는 자석을 가진다. 각각의 분진포획기(1080)는 발생된 분진을 자력으로 포획한다. 분진포획기(1080)는 제1포획기(1080a)와 제2포획기(1080b)를 포함한다. 제1포획기(1080a)는 풀리(1062)의 아래에 위치된다. 제1포획기(1080a)는 서로 다른 2 개의 풀리(1062)들과 마주보도록 길게 연장된 바 형상으로 제공된다. 제2포획기(1080b)는 개구(1042)와 인접한 베이스(1020)의 일면에 위치될 수 있다. 제2포획기(1080b)는 베이스(1020)의 내측면에 위치될 수 있다. 제2포획기(1080b)는 개구(1042)의 길이방향과 동일한 방향을 향하도록 연장된 바 형상으로 제공된다. 이로 인해 제1포획기(1080a)는 풀리(1062)와 와이어(1064) 간의 마찰로 인해 발생된 분진을 포획한다. 제2포획기(1080b)는 개구(1042)를 통해 베이스(1020)의 외부로 유출되는 분진을 포획한다. 예컨대, 제1포획기(1080a) 및 제2포획기(1080b)는 베이스(1020) 내에 탈착 가능하도록 제공될 수 있다.The dust catcher 1080 captures dust generated in the base 1020. The dust catcher 1080 captures the dust of the metal material generated by the friction between the wire 1064 and the pulley 1062. A dust trapping device 1080 is provided in the base 1020. The dust catcher 1080 has a magnet. Each dust trapping unit 1080 captures the generated dust by magnetic force. The dust catcher 1080 includes a first catcher 1080a and a second catcher 1080b. The first trapping device 1080a is located under the pulley 1062. [ The first trapping device 1080a is provided in the form of a bar extending so as to face two pulleys 1062 that are different from each other. The second trapping device 1080b may be positioned on one side of the base 1020 adjacent to the opening 1042. [ The second trapping device 1080b may be located on the inner surface of the base 1020. [ The second capturing device 1080b is provided in the shape of a bar extending in the same direction as the longitudinal direction of the opening 1042. [ This causes the first trapping device 1080a to trap dust generated due to the friction between the pulley 1062 and the wire 1064. The second trapping device 1080b captures dust that flows out through the opening 1042 to the outside of the base 1020. For example, the first trapping device 1080a and the second trapping device 1080b may be detachably provided in the base 1020.

다음은 기판반송유닛(1000)의 구체적인 구조에 대해 설명한다. 기판반송유닛(1000)은 프레임, 지지체(1700), 그리고 핸드부(1900)가 서로 결합된 구성을 가진다. 프레임은 수평프레임(1100), 수직프레임(1300), 그리고 보조프레임(1500)을 포함한다. 수평프레임(1100)은 상부수평프레임(1100a) 및 하부수평프레임(1100b)을 포함한다. 상부수평프레임(1100a)과 하부수평프레임(1100b) 각각은 그 길이방향이 제1방향(12)을 향하도록 제공된다. 상부수평프레임(1100a)은 하부수평프레임(1100b)의 위에서 하부수평프레임(1100b)과 대향되도록 위치된다. 수직프레임(1300)은 그 길이방향이 제3방향을 향하도록 제공된다. 수직프레임(1300)은 상부수평프레임(1100a)과 하부수평프레임(1100b) 사이에 위치된다. 수직프레임(1300)의 상단은 상부수평프레임(1100a)에 연결되고, 그 하단은 하부수평프레임(1100b)에 연결된다. 수직프레임(1300)은 상부수평프레임(1100a)과 하부수평프레임(1100b)에 대해 제1방향(12)으로 이동 가능하도록 제공된다. 보조프레임(1500)은 수평프레임(1100)과 수직프레임(1300)이 안정적으로 위치되도록 수평프레임(1100)에 연결된다. 보조프레임(1500)은 복수 개로 제공된다, 보조프레임(1500)의 일부는 상부수평프레임(1100a)과 하부수평프레임(1100b)을 연결한다. 보조프레임(1500)의 다른 일부는 하부수평프레임(1100b)이 고정 위치되도록을 하부수평프레임(1100b)과 제2방향(14)을 따라 이격된 위치에서 하부수평프레임(1100b)에 연결된다.The specific structure of the substrate transfer unit 1000 will be described below. The substrate transfer unit 1000 has a structure in which the frame, the support body 1700, and the hand portion 1900 are coupled to each other. The frame includes a horizontal frame 1100, a vertical frame 1300, and an auxiliary frame 1500. The horizontal frame 1100 includes an upper horizontal frame 1100a and a lower horizontal frame 1100b. Each of the upper horizontal frame 1100a and the lower horizontal frame 1100b is provided such that its longitudinal direction faces the first direction 12. The upper horizontal frame 1100a is positioned to face the lower horizontal frame 1100b from the upper side of the lower horizontal frame 1100b. The vertical frame 1300 is provided such that its longitudinal direction is directed to the third direction. Vertical frame 1300 is positioned between upper horizontal frame 1100a and lower horizontal frame 1100b. The upper end of the vertical frame 1300 is connected to the upper horizontal frame 1100a and the lower end thereof is connected to the lower horizontal frame 1100b. The vertical frame 1300 is provided to be movable in the first direction 12 with respect to the upper horizontal frame 1100a and the lower horizontal frame 1100b. The auxiliary frame 1500 is connected to the horizontal frame 1100 such that the horizontal frame 1100 and the vertical frame 1300 are stably positioned. A plurality of auxiliary frames 1500 are provided. A part of the auxiliary frames 1500 connects the upper horizontal frame 1100a and the lower horizontal frame 1100b. Another portion of the auxiliary frame 1500 is connected to the lower horizontal frame 1100b such that the lower horizontal frame 1100b is fixed and to the lower horizontal frame 1100b at a position spaced along the second direction 14. [

지지체(1700)는 수직프레임(1300)에 연결된다. 지지체(1700)는 수직프레임(1300)에 대해 제3방향으로 이동 가능하도록 제공된다. 지지체(1700)는 모터와 같은 구동부에 의해 수직축을 중심으로 회전 가능하도록 제공된다. 핸드부(1900)는 기판(W)을 지지한다. 핸드부(1900)는 지지체(1700)에 연결된다. 핸드부(1900)는 지지체(1700)에 대해 수평방향으로 이동 가능하도록 제공된다. The support 1700 is connected to the vertical frame 1300. The support 1700 is provided to be movable in the third direction with respect to the vertical frame 1300. The support 1700 is provided to be rotatable about a vertical axis by a driving unit such as a motor. The hand portion 1900 supports the substrate W. The hand portion 1900 is connected to the support body 1700. The hand portion 1900 is provided so as to be movable in the horizontal direction with respect to the support body 1700.

일 예에 의하면, 베이스(1020)는 수평프레임(1100)이고, 이동부재(1040)는 수직프레임(1300)일 수 있다. 이로 인해 수직프레임(1300)은 수평프레임(1100)의 길이방향을 따라 제1방향(12)으로 이동될 수 있다. 선택적으로 베이스(1020)는 수직프레임(1300)이고, 이동부재(1040)는 지지체(1700)일 수 있다. 이로 인해 지지체(1700)는 수직프레임(1300)의 길이방향을 따라 제3방향으로 이동될 수 있다. 선택적으로 베이스(1020)는 지지체(1700)이고, 이동부재(1040)는 핸드부(1900)일 수 있다. 이로 인해 기판(W)을 지지하는 핸드부(1900)는 지지체(1700)의 길이방향을 따라 수평 이동될 수 있다.According to one example, the base 1020 may be a horizontal frame 1100, and the moving member 1040 may be a vertical frame 1300. This allows the vertical frame 1300 to be moved in the first direction 12 along the longitudinal direction of the horizontal frame 1100. Alternatively, the base 1020 may be a vertical frame 1300 and the shifting member 1040 may be a support 1700. This allows the support body 1700 to be moved in the third direction along the longitudinal direction of the vertical frame 1300. Alternatively, the base 1020 may be a support body 1700, and the moving member 1040 may be a hand part 1900. Accordingly, the hand portion 1900 supporting the substrate W can be horizontally moved along the longitudinal direction of the support body 1700.

상술한 실시예와 달리, 제1포획기(1080a) 및 제2포획기(1080b)는 복수 개로 제공될 수 있다. 각각의 제1포획기(1080a)는 복수 개의 풀리(1062)들이 놓여진 방향을 따라 서로 이격되게 배치될 수 있다. 또한 제2포획기(1080b)는 몸체의 개구(1042)의 길이방향을 따라 서로 이격되게 배치될 수 있다.Unlike the above-described embodiment, the first catcher 1080a and the second catcher 1080b may be provided in plural. Each first trapping unit 1080a may be disposed apart from each other along the direction in which the plurality of pulleys 1062 are placed. Further, the second capturing devices 1080b may be disposed apart from each other along the longitudinal direction of the opening 1042 of the body.

또한 제2포획기(1080b)는 개구(1042)와 인접한 베이스(1020)의 외측면에 위치될 수 있다.The second trapping device 1080b may also be located on the outer surface of the base 1020 adjacent the opening 1042. [

도포챔버들(410)은 모두 동일한 구조를 가진다. 다만, 각각의 도포챔버(410)에서 사용되는 포토 레지스트의 종류는 서로 상이할 수 있다. 일 예로서 포토 레지스트로는 화학 증폭형 레지스트(chemical amplification resist)가 사용될 수 있다. 도포챔버(410)는 기판(W) 상에 포토 레지스트를 도포한다. 도포챔버(410)는 하우징(411), 지지 플레이트(412), 그리고 노즐(413)을 가진다. 하우징(411)은 상부가 개방된 컵 형상을 가진다. 지지 플레이트(412)는 하우징(411) 내에 위치되며, 기판(W)을 지지한다. 지지 플레이트(412)는 회전 가능하게 제공된다. 노즐(413)은 지지 플레이트(412)에 놓인 기판(W) 상으로 포토 레지스트를 공급한다. 노즐(413)은 원형의 관 형상을 가지고, 기판(W)의 중심으로 포토 레지스트를 공급할 수 있다. 선택적으로 노즐(413)은 기판(W)의 직경에 상응하는 길이를 가지고, 노즐(413)의 토출구는 슬릿으로 제공될 수 있다. 또한, 추가적으로 도포챔버(410)에는 포토 레지스트가 도포된 기판(W) 표면을 세정하기 위해 탈이온수와 같은 세정액을 공급하는 노즐(414)이 더 제공될 수 있다. The application chambers 410 all have the same structure. However, the kinds of the photoresist used in the respective application chambers 410 may be different from each other. As an example, a chemical amplification resist may be used as the photoresist. The application chamber 410 applies a photoresist on the substrate W. [ The application chamber 410 has a housing 411, a support plate 412, and a nozzle 413. The housing 411 has a cup shape with an open top. The support plate 412 is located in the housing 411 and supports the substrate W. [ The support plate 412 is rotatably provided. The nozzle 413 supplies the photoresist onto the substrate W placed on the support plate 412. The nozzle 413 has a circular tube shape and can supply photoresist to the center of the substrate W. [ Alternatively, the nozzle 413 may have a length corresponding to the diameter of the substrate W, and the discharge port of the nozzle 413 may be provided as a slit. In addition, the application chamber 410 may further be provided with a nozzle 414 for supplying a cleaning liquid such as deionized water to clean the surface of the substrate W to which the photoresist is applied.

베이크 챔버(420)는 기판(W)을 열처리한다. 예컨대, 베이크 챔버들(420)은 포토 레지스트를 도포하기 전에 기판(W)을 소정의 온도로 가열하여 기판(W) 표면의 유기물이나 수분을 제거하는 프리 베이크(prebake) 공정이나 포토레지스트를 기판(W) 상에 도포한 후에 행하는 소프트 베이크(soft bake) 공정 등을 수행하고, 각각의 가열 공정 이후에 기판(W)을 냉각하는 냉각 공정 등을 수행한다. 베이크 챔버(420)는 냉각 플레이트(421) 또는 가열 플레이트(422)를 가진다. 냉각 플레이트(421)에는 냉각수 또는 열전 소자와 같은 냉각 수단(423)이 제공된다. 또한 가열 플레이트(422)에는 열선 또는 열전 소자와 같은 가열 수단(424)이 제공된다. 냉각 플레이트(421)와 가열 플레이트(422)는 하나의 베이크 챔버(420) 내에 각각 제공될 수 있다. 선택적으로 베이크 챔버(420)들 중 일부는 냉각 플레이트(421)만을 구비하고, 다른 일부는 가열 플레이트(422)만을 구비할 수 있다. The bake chamber 420 heat-treats the substrate W. For example, the bake chambers 420 may be formed by a prebake process for heating the substrate W to a predetermined temperature to remove organic substances and moisture on the surface of the substrate W, A soft bake process is performed after coating the substrate W on the substrate W, and a cooling process for cooling the substrate W after each heating process is performed. The bake chamber 420 has a cooling plate 421 or a heating plate 422. The cooling plate 421 is provided with a cooling means 423 such as a cooling water or a thermoelectric element. The heating plate 422 is also provided with a heating means 424, such as a hot wire or a thermoelectric element. The cooling plate 421 and the heating plate 422 may be provided in a single bake chamber 420, respectively. Optionally, some of the bake chambers 420 may include only the cooling plate 421, and the other portions may include only the heating plate 422.

현상 모듈(402)은 기판(W) 상에 패턴을 얻기 위해 현상액을 공급하여 포토 레지스트의 일부를 제거하는 현상 공정, 및 현상 공정 전후에 기판(W)에 대해 수행되는 가열 및 냉각과 같은 열처리 공정을 포함한다. 현상모듈(402)은 현상챔버(460), 베이크 챔버(470), 그리고 반송 챔버(480)를 가진다. 현상챔버(460), 베이크 챔버(470), 그리고 반송 챔버(480)는 제 2 방향(14)을 따라 순차적으로 배치된다. 따라서 현상챔버(460)와 베이크 챔버(470)는 반송 챔버(480)를 사이에 두고 제 2 방향(14)으로 서로 이격되게 위치된다. 현상챔버(460)는 복수 개가 제공되며, 제 1 방향(12) 및 제 3 방향(16)으로 각각 복수 개씩 제공된다. 도면에서는 6개의 현상챔버(460)가 제공된 예가 도시되었다. 베이크 챔버(470)는 제 1 방향(12) 및 제 3 방향(16)으로 각각 복수 개씩 제공된다. 도면에서는 6개의 베이크 챔버(470)가 제공된 예가 도시되었다. 그러나 이와 달리 베이크 챔버(470)는 더 많은 수로 제공될 수 있다.The developing module 402 includes a developing process for supplying a developing solution to obtain a pattern on the substrate W to remove a part of the photoresist and a heat treatment process such as heating and cooling performed on the substrate W before and after the developing process . The development module 402 has a development chamber 460, a bake chamber 470, and a transfer chamber 480. The development chamber 460, the bake chamber 470, and the transfer chamber 480 are sequentially disposed along the second direction 14. The development chamber 460 and the bake chamber 470 are positioned apart from each other in the second direction 14 with the transfer chamber 480 therebetween. A plurality of developing chambers 460 are provided, and a plurality of developing chambers 460 are provided in the first direction 12 and the third direction 16, respectively. In the drawing, six development chambers 460 are provided. A plurality of bake chambers 470 are provided in the first direction 12 and the third direction 16, respectively. In the drawing, six bake chambers 470 are provided. Alternatively, however, the bake chamber 470 can be provided in greater numbers.

반송챔버(480)는 기판(W)을 반송하기 위한 기판반송유닛(1000)이 제공된다. 기판반송유닛(1000)은 베이크 챔버들(470), 현상챔버들(460), 제 1 버퍼 모듈(310)의 제 2 버퍼(330)와 냉각 챔버(350), 그리고 제 2 버퍼 모듈(510)의 제 2 냉각 챔버(540) 간에 기판(W)을 반송한다. 현상모듈(402)의 기판반송유닛(1000)은 도포모듈(401)의 기판반송유닛(1000)의 아래에 위치하며, 동일한 구성을 가진다. 따라서 현상모듈(402)의 기판반송유닛(1000)에 대한 자세한 설명은 생략하기로 한다.The transfer chamber 480 is provided with a substrate transfer unit 1000 for transferring the substrate W. [ The substrate transfer unit 1000 includes bake chambers 470, development chambers 460, a second buffer 330 and a cooling chamber 350 of the first buffer module 310 and a second buffer module 510, And the second cooling chamber 540 of the second cooling chamber 540. The substrate transfer unit 1000 of the development module 402 is located under the substrate transfer unit 1000 of the application module 401 and has the same configuration. Therefore, detailed description of the substrate transfer unit 1000 of the development module 402 will be omitted.

현상챔버들(460)은 모두 동일한 구조를 가진다. 다만, 각각의 현상챔버(460)에서 사용되는 현상액의 종류는 서로 상이할 수 있다. 현상챔버(460)는 기판(W) 상의 포토 레지스트 중 광이 조사된 영역을 제거한다. 이때, 보호막 중 광이 조사된 영역도 같이 제거된다. 선택적으로 사용되는 포토 레지스트의 종류에 따라 포토 레지스트 및 보호막의 영역들 중 광이 조사되지 않은 영역만이 제거될 수 있다. The development chambers 460 all have the same structure. However, the types of developers used in the respective developing chambers 460 may be different from each other. The development chamber 460 removes a region of the photoresist on the substrate W where light is irradiated. At this time, the area of the protective film irradiated with the light is also removed. Depending on the type of selectively used photoresist, only the areas of the photoresist and protective film that are not irradiated with light can be removed.

현상챔버(460)는 하우징(461), 지지 플레이트(462), 그리고 노즐(463)을 가진다. 하우징(461)은 상부가 개방된 컵 형상을 가진다. 지지 플레이트(462)는 하우징(461) 내에 위치되며, 기판(W)을 지지한다. 지지 플레이트(462)는 회전 가능하게 제공된다. 노즐(463)은 지지 플레이트(462)에 놓인 기판(W) 상으로 현상액을 공급한다. 노즐(463)은 원형의 관 형상을 가지고, 기판(W)의 중심으로 현상액 공급할 수 있다. 선택적으로 노즐(463)은 기판(W)의 직경에 상응하는 길이를 가지고, 노즐(463)의 토출구는 슬릿으로 제공될 수 있다. 또한, 현상챔버(460)에는 추가적으로 현상액이 공급된 기판(W) 표면을 세정하기 위해 탈이온수와 같은 세정액을 공급하는 노즐(464)이 더 제공될 수 있다. The development chamber 460 has a housing 461, a support plate 462, and a nozzle 463. The housing 461 has a cup shape with an open top. The support plate 462 is located in the housing 461 and supports the substrate W. [ The support plate 462 is rotatably provided. The nozzle 463 supplies the developer onto the substrate W placed on the support plate 462. The nozzle 463 has a circular tube shape and can supply developer to the center of the substrate W. [ Alternatively, the nozzle 463 may have a length corresponding to the diameter of the substrate W, and the discharge port of the nozzle 463 may be provided with a slit. Further, the developing chamber 460 may further be provided with a nozzle 464 for supplying a cleaning liquid such as deionized water to clean the surface of the substrate W to which the developer is supplied.

베이크 챔버(470)는 기판(W)을 열처리한다. 예컨대, 베이크 챔버들(470)은 현상 공정이 수행되기 전에 기판(W)을 가열하는 포스트 베이크 공정 및 현상 공정이 수행된 후에 기판(W)을 가열하는 하드 베이크 공정 및 각각의 베이크 공정 이후에 가열된 웨이퍼를 냉각하는 냉각 공정 등을 수행한다. 베이크 챔버(470)는 냉각 플레이트(471) 또는 가열 플레이트(472)를 가진다. 냉각 플레이트(471)에는 냉각수 또는 열전 소자와 같은 냉각 수단(473)이 제공된다. 또는 가열 플레이트(472)에는 열선 또는 열전 소자와 같은 가열 수단(474)이 제공된다. 냉각 플레이트(471)와 가열 플레이트(472)는 하나의 베이크 챔버(470) 내에 각각 제공될 수 있다. 선택적으로 베이크 챔버(470)들 중 일부는 냉각 플레이트(471)만을 구비하고, 다른 일부는 가열 플레이트(472)만을 구비할 수 있다. The bake chamber 470 heat-treats the substrate W. For example, the bake chambers 470 may include a post-bake process for heating the substrate W before the development process is performed, a hard bake process for heating the substrate W after the development process is performed, And a cooling step for cooling the wafer. The bake chamber 470 has a cooling plate 471 or a heating plate 472. The cooling plate 471 is provided with a cooling means 473 such as a cooling water or a thermoelectric element. Or the heating plate 472 is provided with a heating means 474 such as a hot wire or a thermoelectric element. The cooling plate 471 and the heating plate 472 may be provided in one bake chamber 470, respectively. Optionally, some of the bake chambers 470 may have only a cooling plate 471, while the other may have only a heating plate 472. [

상술한 바와 같이 도포 및 현상 모듈(400)에서 도포 모듈(401)과 현상 모듈(402)은 서로 간에 분리되도록 제공된다. 또한, 상부에서 바라볼 때 도포 모듈(401)과 현상 모듈(402)은 동일한 챔버 배치를 가질 수 있다. As described above, in the application and development module 400, the application module 401 and the development module 402 are provided to be separated from each other. In addition, the application module 401 and the development module 402 may have the same chamber arrangement as viewed from above.

제 2 버퍼 모듈(500)은 도포 및 현상 모듈(400)과 노광 전후 처리 모듈(600) 사이에 기판(W)이 운반되는 통로로서 제공된다. 또한, 제 2 버퍼 모듈(500)은 기판(W)에 대해 냉각 공정이나 에지 노광 공정 등과 같은 소정의 공정을 수행한다. 제 2 버퍼 모듈(500)은 프레임(510), 버퍼(520), 제 1 냉각 챔버(530), 제 2 냉각 챔버(540), 에지 노광 챔버(550), 그리고 제 2 버퍼 로봇(560)을 가진다. 프레임(510)은 직육면체의 형상을 가진다. 버퍼(520), 제 1 냉각 챔버(530), 제 2 냉각 챔버(540), 에지 노광 챔버(550), 그리고 제 2 버퍼 로봇(560)은 프레임(510) 내에 위치된다. 버퍼(520), 제 1 냉각 챔버(530), 그리고 에지 노광 챔버(550)는 도포 모듈(401)에 대응하는 높이에 배치된다. 제 2 냉각 챔버(540)는 현상 모듈(402)에 대응하는 높이에 배치된다. 버퍼(520), 제 1 냉각 챔버(530), 그리고 제 2 냉각 챔버(540)는 순차적으로 제 3 방향(16)을 따라 일렬로 배치된다. 상부에서 바라볼 때 버퍼(520)은 도포 모듈(401)의 반송 챔버(430)와 제 1 방향(12)을 따라 배치된다. 에지 노광 챔버(550)는 버퍼(520) 또는 제 1 냉각 챔버(530)와 제 2 방향(14)으로 일정 거리 이격되게 배치된다. The second buffer module 500 is provided as a path through which the substrate W is transferred between the coating and developing module 400 and the pre- and post-exposure processing module 600. The second buffer module 500 performs a predetermined process on the substrate W such as a cooling process or an edge exposure process. The second buffer module 500 includes a frame 510, a buffer 520, a first cooling chamber 530, a second cooling chamber 540, an edge exposure chamber 550, and a second buffer robot 560 I have. The frame 510 has a rectangular parallelepiped shape. The buffer 520, the first cooling chamber 530, the second cooling chamber 540, the edge exposure chamber 550, and the second buffer robot 560 are located within the frame 510. The buffer 520, the first cooling chamber 530, and the edge exposure chamber 550 are disposed at a height corresponding to the application module 401. The second cooling chamber 540 is disposed at a height corresponding to the development module 402. The buffer 520, the first cooling chamber 530, and the second cooling chamber 540 are sequentially arranged in a row along the third direction 16. The buffer 520 is disposed along the first direction 12 with the transfer chamber 430 of the application module 401. [ The edge exposure chamber 550 is spaced a certain distance in the second direction 14 from the buffer 520 or the first cooling chamber 530.

제 2 버퍼 로봇(560)은 버퍼(520), 제 1 냉각 챔버(530), 그리고 에지 노광 챔버(550) 간에 기판(W)을 운반한다. 제 2 버퍼 로봇(560)은 에지 노광 챔버(550)와 버퍼(520) 사이에 위치된다. 제 2 버퍼 로봇(560)은 제 1 버퍼 로봇(360)과 유사한 구조로 제공될 수 있다. 제 1 냉각 챔버(530)와 에지 노광 챔버(550)는 도포 모듈(401)에서 공정이 수행된 웨이퍼들(W)에 대해 후속 공정을 수행한다. 제 1 냉각 챔버(530)는 도포 모듈(401)에서 공정이 수행된 기판(W)을 냉각한다. 제 1 냉각 챔버(530)는 제 1 버퍼 모듈(300)의 냉각 챔버(350)과 유사한 구조를 가진다. 에지 노광 챔버(550)는 제 1 냉각 챔버(530)에서 냉각 공정이 수행된 웨이퍼들(W)에 대해 그 가장자리를 노광한다. 버퍼(520)는 에지 노광 챔버(550)에서 공정이 수행된 기판(W)들이 후술하는 전처리 모듈(601)로 운반되기 전에 기판(W)을 일시적으로 보관한다. 제 2 냉각 챔버(540)는 후술하는 후처리 모듈(602)에서 공정이 수행된 웨이퍼들(W)이 현상 모듈(402)로 운반되기 전에 웨이퍼들(W)을 냉각한다. 제 2 버퍼 모듈(500)은 현상 모듈(402)와 대응되는 높이에 추가된 버퍼를 더 가질 수 있다. 이 경우, 후처리 모듈(602)에서 공정이 수행된 웨이퍼들(W)은 추가된 버퍼에 일시적으로 보관된 후 현상 모듈(402)로 운반될 수 있다.The second buffer robot 560 carries the substrate W between the buffer 520, the first cooling chamber 530, and the edge exposure chamber 550. A second buffer robot 560 is positioned between the edge exposure chamber 550 and the buffer 520. The second buffer robot 560 may be provided in a structure similar to that of the first buffer robot 360. The first cooling chamber 530 and the edge exposure chamber 550 perform a subsequent process on the wafers W that have been processed in the application module 401. The first cooling chamber 530 cools the substrate W processed in the application module 401. The first cooling chamber 530 has a structure similar to the cooling chamber 350 of the first buffer module 300. The edge exposure chamber 550 exposes its edge to the wafers W that have undergone the cooling process in the first cooling chamber 530. The buffer 520 temporarily stores the substrate W before the substrates W processed in the edge exposure chamber 550 are transported to a preprocessing module 601 described later. The second cooling chamber 540 cools the wafers W before the wafers W processed in the post-processing module 602 described below are conveyed to the developing module 402. The second buffer module 500 may further have a buffer added to the height corresponding to the development module 402. In this case, the wafers W processed in the post-processing module 602 may be temporarily stored in the added buffer and then transferred to the developing module 402. [

노광 전후 처리 모듈(600)은, 노광 장치(900)가 액침 노광 공정을 수행하는 경우, 액침 노광시에 기판(W)에 도포된 포토레지스트 막을 보호하는 보호막을 도포하는 공정을 처리할 수 있다. 또한, 노광 전후 처리 모듈(600)은 노광 이후에 기판(W)을 세정하는 공정을 수행할 수 있다. 또한, 화학증폭형 레지스트를 사용하여 도포 공정이 수행된 경우, 노광 전후 처리 모듈(600)은 노광 후 베이크 공정을 처리할 수 있다. The pre- and post-exposure processing module 600 may process a process of applying a protective film for protecting the photoresist film applied to the substrate W during liquid immersion exposure, when the exposure apparatus 900 performs the liquid immersion exposure process. In addition, the pre- and post-exposure processing module 600 may perform a process of cleaning the substrate W after exposure. In addition, when the coating process is performed using the chemically amplified resist, the pre- and post-exposure processing module 600 can process the post-exposure bake process.

노광 전후 처리 모듈(600)은 전처리 모듈(601)과 후처리 모듈(602)을 가진다. 전처리 모듈(601)은 노광 공정 수행 전에 기판(W)을 처리하는 공정을 수행하고, 후처리 모듈(602)은 노광 공정 이후에 기판(W)을 처리하는 공정을 수행한다. 전처리 모듈(601)과 후처리 모듈(602)은 서로 간에 층으로 구획되도록 배치된다. 일 예에 의하면, 전처리 모듈(601)은 후처리 모듈(602)의 상부에 위치된다. 전처리 모듈(601)은 도포 모듈(401)과 동일한 높이로 제공된다. 후처리 모듈(602)은 현상 모듈(402)과 동일한 높이로 제공된다. 전처리 모듈(601)은 보호막 도포 챔버(610), 베이크 챔버(620), 그리고 반송 챔버(630)를 가진다. 보호막 도포 챔버(610), 반송 챔버(630), 그리고 베이크 챔버(620)는 제 2 방향(14)을 따라 순차적으로 배치된다. 따라서 보호막 도포 챔버(610)와 베이크 챔버(620)는 반송 챔버(630)를 사이에 두고 제 2 방향(14)으로 서로 이격되게 위치된다. 보호막 도포 챔버(610)는 복수 개가 제공되며, 서로 층을 이루도록 제 3 방향(16)을 따라 배치된다. 선택적으로 보호막 도포 챔버(610)는 제 1 방향(12) 및 제 3 방향(16)으로 각각 복수 개씩 제공될 수 있다. 베이크 챔버(620)는 복수 개가 제공되며, 서로 층을 이루도록 제 3 방향(16)을 따라 배치된다. 선택적으로 베이크 챔버(620)는 제 1 방향(12) 및 제 3 방향(16)으로 각각 복수 개씩 제공될 수 있다. The pre-exposure post-processing module 600 has a pre-processing module 601 and a post-processing module 602. The pre-processing module 601 performs a process of processing the substrate W before the exposure process, and the post-process module 602 performs a process of processing the substrate W after the exposure process. The pre-processing module 601 and the post-processing module 602 are arranged so as to be partitioned into layers with respect to each other. According to one example, the preprocessing module 601 is located on top of the post-processing module 602. The preprocessing module 601 is provided at the same height as the application module 401. The post-processing module 602 is provided at the same height as the developing module 402. The pretreatment module 601 has a protective film application chamber 610, a bake chamber 620, and a transfer chamber 630. The protective film application chamber 610, the transfer chamber 630, and the bake chamber 620 are sequentially disposed along the second direction 14. The protective film application chamber 610 and the bake chamber 620 are positioned apart from each other in the second direction 14 with the transfer chamber 630 therebetween. A plurality of protective film application chambers 610 are provided and are arranged along the third direction 16 to form layers. Alternatively, a plurality of protective film application chambers 610 may be provided in the first direction 12 and the third direction 16, respectively. A plurality of bake chambers 620 are provided and are disposed along the third direction 16 to form layers. Alternatively, a plurality of bake chambers 620 may be provided in the first direction 12 and the third direction 16, respectively.

반송 챔버(630)는 제 2 버퍼 모듈(500)의 제 1 냉각 챔버(530)와 제 1 방향(12)으로 나란하게 위치된다. 반송 챔버(630) 내에는 전처리 로봇(632)이 위치된다. 반송 챔버(630)는 대체로 정사각 또는 직사각의 형상을 가진다. 전처리 로봇(632)은 보호막 도포 챔버들(610), 베이크 챔버들(620), 제 2 버퍼 모듈(500)의 버퍼(520), 그리고 후술하는 인터페이스 모듈(700)의 제 1 버퍼(720) 간에 기판(W)을 이송한다. 전처리 로봇(632)은 핸드(633), 아암(634), 그리고 지지대(635)를 가진다. 핸드(633)는 아암(634)에 고정 설치된다. 아암(634)은 신축 가능한 구조 및 회전 가능한 구조로 제공된다. 아암(634)은 지지대(635)를 따라 제 3 방향(16)으로 직선 이동 가능하도록 지지대(635)에 결합된다. The transfer chamber 630 is positioned in parallel with the first cooling chamber 530 of the second buffer module 500 in the first direction 12. In the transfer chamber 630, a pre-processing robot 632 is located. The transfer chamber 630 has a generally square or rectangular shape. The preprocessing robot 632 is connected between the protective film application chambers 610, the bake chambers 620, the buffer 520 of the second buffer module 500 and the first buffer 720 of the interface module 700, The substrate W is transferred. The preprocessing robot 632 has a hand 633, an arm 634, and a support 635. The hand 633 is fixed to the arm 634. The arm 634 is provided with a retractable structure and a rotatable structure. The arm 634 is coupled to the support 635 so as to be linearly movable along the support 635 in the third direction 16.

보호막 도포 챔버(610)는 액침 노광 시에 레지스트 막을 보호하는 보호막을 기판(W) 상에 도포한다. 보호막 도포 챔버(610)는 하우징(611), 지지 플레이트(612), 그리고 노즐(613)을 가진다. 하우징(611)은 상부가 개방된 컵 형상을 가진다. 지지 플레이트(612)는 하우징(611) 내에 위치되며, 기판(W)을 지지한다. 지지 플레이트(612)는 회전 가능하게 제공된다. 노즐(613)은 지지 플레이트(612)에 놓인 기판(W) 상으로 보호막 형성을 위한 보호액을 공급한다. 노즐(613)은 원형의 관 형상을 가지고, 기판(W)의 중심으로 보호액을 공급할 수 있다. 선택적으로 노즐(613)은 기판(W)의 직경에 상응하는 길이를 가지고, 노즐(613)의 토출구는 슬릿으로 제공될 수 있다. 이 경우, 지지 플레이트(612)는 고정된 상태로 제공될 수 있다. 보호액은 발포성 재료를 포함한다. 보호액은 포토 레지스터 및 물과의 친화력이 낮은 재료가 사용될 수 있다. 예컨대, 보호액은 불소계의 용제를 포함할 수 있다. 보호막 도포 챔버(610)는 지지 플레이트(612)에 놓인 기판(W)을 회전시키면서 기판(W)의 중심 영역으로 보호액을 공급한다. The protective film applying chamber 610 applies a protective film for protecting the resist film on the substrate W during liquid immersion exposure. The protective film application chamber 610 has a housing 611, a support plate 612, and a nozzle 613. The housing 611 has a cup shape with its top opened. The support plate 612 is located in the housing 611 and supports the substrate W. [ The support plate 612 is rotatably provided. The nozzle 613 supplies a protective liquid for forming a protective film onto the substrate W placed on the supporting plate 612. The nozzle 613 has a circular tube shape and can supply the protective liquid to the center of the substrate W. [ Alternatively, the nozzle 613 may have a length corresponding to the diameter of the substrate W, and the discharge port of the nozzle 613 may be provided with a slit. In this case, the support plate 612 may be provided in a fixed state. The protective liquid includes a foamable material. The protective liquid may be a photoresist and a material having a low affinity for water. For example, the protective liquid may contain a fluorine-based solvent. The protective film application chamber 610 supplies the protective liquid to the central region of the substrate W while rotating the substrate W placed on the support plate 612.

베이크 챔버(620)는 보호막이 도포된 기판(W)을 열처리한다. 베이크 챔버(620)는 냉각 플레이트(621) 또는 가열 플레이트(622)를 가진다. 냉각 플레이트(621)에는 냉각수 또는 열전 소자와 같은 냉각 수단(623)이 제공된다. 또는 가열 플레이트(622)에는 열선 또는 열전 소자와 같은 가열 수단(624)이 제공된다. 가열 플레이트(622)와 냉각 플레이트(621)는 하나의 베이크 챔버(620) 내에 각각 제공될 수 있다. 선택적으로 베이크 챔버들(620) 중 일부는 가열 플레이트(622) 만을 구비하고, 다른 일부는 냉각 플레이트(621) 만을 구비할 수 있다. The bake chamber 620 heat-treats the substrate W coated with the protective film. The bake chamber 620 has a cooling plate 621 or a heating plate 622. The cooling plate 621 is provided with a cooling means 623 such as a cooling water or a thermoelectric element. Or heating plate 622 is provided with a heating means 624, such as a hot wire or a thermoelectric element. The heating plate 622 and the cooling plate 621 may be provided in a single bake chamber 620, respectively. Optionally, some of the bake chambers 620 may have only the heating plate 622, while others may only have the cooling plate 621.

후처리 모듈(602)은 세정 챔버(660), 노광 후 베이크 챔버(670), 그리고 반송 챔버(680)를 가진다. 세정 챔버(660), 반송 챔버(680), 그리고 노광 후 베이크 챔버(670)는 제 2 방향(14)을 따라 순차적으로 배치된다. 따라서 세정 챔버(660)와 노광 후 베이크 챔버(670)는 반송 챔버(680)를 사이에 두고 제 2 방향(14)으로 서로 이격되게 위치된다. 세정 챔버(660)는 복수 개가 제공되며, 서로 층을 이루도록 제 3 방향(16)을 따라 배치될 수 있다. 선택적으로 세정 챔버(660)는 제 1 방향(12) 및 제 3 방향(16)으로 각각 복수 개씩 제공될 수 있다. 노광 후 베이크 챔버(670)는 복수 개가 제공되며, 서로 층을 이루도록 제 3 방향(16)을 따라 배치될 수 있다. 선택적으로 노광 후 베이크 챔버(670)는 제 1 방향(12) 및 제 3 방향(16)으로 각각 복수 개씩 제공될 수 있다. The post-processing module 602 has a cleaning chamber 660, a post-exposure bake chamber 670, and a delivery chamber 680. The cleaning chamber 660, the transfer chamber 680, and the post-exposure bake chamber 670 are sequentially disposed along the second direction 14. Accordingly, the cleaning chamber 660 and the post-exposure baking chamber 670 are positioned apart from each other in the second direction 14 with the transfer chamber 680 therebetween. A plurality of cleaning chambers 660 are provided and may be disposed along the third direction 16 to form layers. Alternatively, a plurality of cleaning chambers 660 may be provided in the first direction 12 and the third direction 16, respectively. A plurality of post-exposure bake chambers 670 are provided and may be disposed along the third direction 16 to form layers. Alternatively, a plurality of post-exposure bake chambers 670 may be provided in the first direction 12 and the third direction 16, respectively.

반송 챔버(680)는 상부에서 바라볼 때 제 2 버퍼 모듈(500)의 제 2 냉각 챔버(540)와 제 1 방향(12)으로 나란하게 위치된다. 반송 챔버(680)는 대체로 정사각 또는 직사각의 형상을 가진다. 반송 챔버(680) 내에는 후처리 로봇(682)이 위치된다. 후처리 로봇(682)은 세정 챔버들(660), 노광 후 베이크 챔버들(670), 제 2 버퍼 모듈(500)의 제 2 냉각 챔버(540), 그리고 후술하는 인터페이스 모듈(700)의 제 2 버퍼(730) 간에 기판(W)을 운반한다. 후처리 모듈(602)에 제공된 후처리 로봇(682)은 전처리 모듈(601)에 제공된 전처리 로봇(632)과 동일한 구조로 제공될 수 있다. The transfer chamber 680 is positioned in parallel with the second cooling chamber 540 of the second buffer module 500 in the first direction 12 as viewed from above. The transfer chamber 680 has a generally square or rectangular shape. A post processing robot 682 is located in the transfer chamber 680. The post-processing robot 682 is connected to the cleaning chambers 660, post-exposure bake chambers 670, the second cooling chamber 540 of the second buffer module 500, and the second And transfers the substrate W between the buffers 730. The postprocessing robot 682 provided in the postprocessing module 602 may be provided with the same structure as the preprocessing robot 632 provided in the preprocessing module 601. [

세정 챔버(660)는 노광 공정 이후에 기판(W)을 세정한다. 세정 챔버(660)는 하우징(661), 지지 플레이트(662), 그리고 노즐(663)을 가진다. 하우징(661)는 상부가 개방된 컵 형상을 가진다. 지지 플레이트(662)는 하우징(661) 내에 위치되며, 기판(W)을 지지한다. 지지 플레이트(662)는 회전 가능하게 제공된다. 노즐(663)은 지지 플레이트(662)에 놓인 기판(W) 상으로 세정액을 공급한다. 세정액으로는 탈이온수와 같은 물이 사용될 수 있다. 세정 챔버(660)는 지지 플레이트(662)에 놓인 기판(W)을 회전시키면서 기판(W)의 중심 영역으로 세정액을 공급한다. 선택적으로 기판(W)이 회전되는 동안 노즐(663)은 기판(W)의 중심 영역에서 가장자리 영역까지 직선 이동 또는 회전 이동할 수 있다. The cleaning chamber 660 cleans the substrate W after the exposure process. The cleaning chamber 660 has a housing 661, a support plate 662, and a nozzle 663. The housing 661 has a cup shape with an open top. The support plate 662 is located in the housing 661 and supports the substrate W. [ The support plate 662 is rotatably provided. The nozzle 663 supplies the cleaning liquid onto the substrate W placed on the support plate 662. As the cleaning liquid, water such as deionized water may be used. The cleaning chamber 660 supplies the cleaning liquid to the central region of the substrate W while rotating the substrate W placed on the support plate 662. Optionally, while the substrate W is rotating, the nozzle 663 may move linearly or rotationally from the central region of the substrate W to the edge region.

노광 후 베이크 챔버(670)는 원자외선을 이용하여 노광 공정이 수행된 기판(W)을 가열한다. 노광 후 베이크 공정은 기판(W)을 가열하여 노광에 의해 포토 레지스트에 생성된 산(acid)을 증폭시켜 포토 레지스트의 성질 변화를 완성시킨다. 노광 후 베이크 챔버(670)는 가열 플레이트(672)를 가진다. 가열 플레이트(672)에는 열선 또는 열전 소자와 같은 가열 수단(674)이 제공된다. 노광 후 베이크 챔버(670)는 그 내부에 냉각 플레이트(671)를 더 구비할 수 있다. 냉각 플레이트(671)에는 냉각수 또는 열전 소자와 같은 냉각 수단(673)이 제공된다. 또한, 선택적으로 냉각 플레이트(671)만을 가진 베이크 챔버가 더 제공될 수 있다. The post-exposure bake chamber 670 heats the substrate W subjected to the exposure process using deep UV light. The post-exposure baking step heats the substrate W and amplifies the acid generated in the photoresist by exposure to complete the property change of the photoresist. The post-exposure bake chamber 670 has a heating plate 672. The heating plate 672 is provided with a heating means 674 such as a hot wire or a thermoelectric element. The post-exposure bake chamber 670 may further include a cooling plate 671 therein. The cooling plate 671 is provided with a cooling means 673 such as a cooling water or a thermoelectric element. Further, a bake chamber having only the cooling plate 671 may be further provided.

상술한 바와 같이 노광 전후 처리 모듈(600)에서 전처리 모듈(601)과 후처리 모듈(602)은 서로 간에 완전히 분리되도록 제공된다. 또한, 전처리 모듈(601)의 반송 챔버(630)와 후처리 모듈(602)의 반송 챔버(680)는 동일한 크기로 제공되어, 상부에서 바라볼 때 서로 간에 완전히 중첩되도록 제공될 수 있다. 또한, 보호막 도포 챔버(610)와 세정 챔버(660)는 서로 동일한 크기로 제공되어 상부에서 바라볼 때 서로 간에 완전히 중첩되도록 제공될 수 있다. 또한, 베이크 챔버(620)와 노광 후 베이크 챔버(670)는 동일한 크기로 제공되어, 상부에서 바라볼 때 서로 간에 완전히 중첩되도록 제공될 수 있다.As described above, the pre-processing module 601 and the post-processing module 602 in the pre-exposure processing module 600 are provided to be completely separated from each other. The transfer chamber 630 of the preprocessing module 601 and the transfer chamber 680 of the postprocessing module 602 are provided in the same size and can be provided so as to completely overlap each other when viewed from above. Further, the protective film application chamber 610 and the cleaning chamber 660 may be provided to have the same size as each other and be provided so as to completely overlap with each other when viewed from above. Further, the bake chamber 620 and the post-exposure bake chamber 670 are provided in the same size, and can be provided so as to completely overlap each other when viewed from above.

인터페이스 모듈(700)은 노광 전후 처리 모듈(600), 및 노광 장치(900) 간에 기판(W)을 이송한다. 인터페이스 모듈(700)은 프레임(710), 제 1 버퍼(720), 제 2 버퍼(730), 그리고 인터페이스 로봇(740)를 가진다. 제 1 버퍼(720), 제 2 버퍼(730), 그리고 인터페이스 로봇(740)은 프레임(710) 내에 위치된다. 제 1 버퍼(720)와 제 2 버퍼(730)는 서로 간에 일정거리 이격되며, 서로 적층되도록 배치된다. 제 1 버퍼(720)는 제 2 버퍼(730)보다 높게 배치된다. 제 1 버퍼(720)는 전처리 모듈(601)과 대응되는 높이에 위치되고, 제 2 버퍼(730)는 후처리 모듈(602)에 대응되는 높이에 배치된다. 상부에서 바라볼 때 제 1 버퍼(720)는 전처리 모듈(601)의 반송 챔버(630)와 제 1 방향(12)을 따라 일렬로 배치되고, 제 2 버퍼(730)는 후처리 모듈(602)의 반송 챔버(630)와 제 1 방향(12)을 따라 일렬로 배치되게 위치된다. The interface module 700 transfers the substrate W between the exposure pre- and post-processing module 600 and the exposure apparatus 900. The interface module 700 has a frame 710, a first buffer 720, a second buffer 730, and an interface robot 740. The first buffer 720, the second buffer 730, and the interface robot 740 are located within the frame 710. The first buffer 720 and the second buffer 730 are spaced apart from each other by a predetermined distance and are stacked on each other. The first buffer 720 is disposed higher than the second buffer 730. The first buffer 720 is positioned at a height corresponding to the preprocessing module 601 and the second buffer 730 is positioned at a height corresponding to the postprocessing module 602. The first buffer 720 is arranged in a line along the first direction 12 with the transfer chamber 630 of the preprocessing module 601 while the second buffer 730 is arranged in the postprocessing module 602, Are arranged in a line along the first direction 12 with the transfer chamber 630 of the transfer chamber 630. [

인터페이스 로봇(740)은 제 1 버퍼(720) 및 제 2 버퍼(730)와 제 2 방향(14)으로 이격되게 위치된다. 인터페이스 로봇(740)은 제 1 버퍼(720), 제 2 버퍼(730), 그리고 노광 장치(900) 간에 기판(W)을 운반한다. 인터페이스 로봇(740)은 제 2 버퍼 로봇(560)과 대체로 유사한 구조를 가진다.The interface robot 740 is spaced apart from the first buffer 720 and the second buffer 730 in the second direction 14. The interface robot 740 carries the substrate W between the first buffer 720, the second buffer 730 and the exposure apparatus 900. The interface robot 740 has a structure substantially similar to that of the second buffer robot 560.

제 1 버퍼(720)는 전처리 모듈(601)에서 공정이 수행된 기판(W)들이 노광 장치(900)로 이동되기 전에 이들을 일시적으로 보관한다. 그리고 제 2 버퍼(730)는 노광 장치(900)에서 공정이 완료된 기판(W)들이 후처리 모듈(602)로 이동되기 전에 이들을 일시적으로 보관한다. 제 1 버퍼(720)는 하우징(721)과 복수의 지지대들(722)을 가진다. 지지대들(722)은 하우징(721) 내에 배치되며, 서로 간에 제 3 방향(16)을 따라 이격되게 제공된다. 각각의 지지대(722)에는 하나의 기판(W)이 놓인다. 하우징(721)은 인터페이스 로봇(740) 및 전처리 로봇(632)이 하우징(721) 내로 지지대(722)에 기판(W)을 반입 또는 반출할 수 있도록 인터페이스 로봇(740)이 제공된 방향 및 전처리 로봇(632)이 제공된 방향에 개구(도시되지 않음)를 가진다. 제 2 버퍼(730)는 제 1 버퍼(720)와 대체로 유사한 구조를 가진다. 다만, 제 2 버퍼(730)의 하우징(731)에는 인터페이스 로봇(740)이 제공된 방향 및 후처리 로봇(682)이 제공된 방향에 개구(도시되지 않음)를 가진다. 인터페이스 모듈에는 웨이퍼에 대해 소정의 공정을 수행하는 챔버의 제공 없이 상술한 바와 같이 버퍼들 및 로봇만 제공될 수 있다.The first buffer 720 temporarily stores the substrates W processed in the preprocessing module 601 before they are transferred to the exposure apparatus 900. The second buffer 730 temporarily stores the processed substrates W in the exposure apparatus 900 before they are transferred to the post-processing module 602. The first buffer 720 has a housing 721 and a plurality of supports 722. The supports 722 are disposed within the housing 721 and are provided spaced apart from each other in the third direction 16. One substrate W is placed on each support 722. The housing 721 is movable in the direction in which the interface robot 740 is provided and in the direction in which the interface robot 740 and the preprocessing robot 632 transfer the substrate W to and from the support table 722, 632 are provided with openings (not shown) in the direction in which they are provided. The second buffer 730 has a structure substantially similar to that of the first buffer 720. However, the housing 731 of the second buffer 730 has an opening (not shown) in the direction in which the interface robot 740 is provided and in a direction in which the postprocessing robot 682 is provided. The interface module may be provided with only buffers and robots as described above without providing a chamber to perform a predetermined process on the wafer.

상술한 실시예에는 기판반송유닛(1000)이 도포모듈(401)의 반송챔버(430)와 현상모듈(402)의 반송챔버(480)에 제공되는 것으로 설명하였다. 그러나 기판반송유닛(1000)은 이에 한정되지 않고, 기판(W)을 반송하기 위한 반송부재에 더 적용 가능하다. 예컨대, 기판반송유닛(1000)의 베이스(1020), 구동부재(1060), 그리고 이동부재(1040)는 제1버퍼로봇(360), 제2버퍼로봇(560), 그리고 인터페이스로봇(740)에 적용 가능하다.It has been described that the substrate transfer unit 1000 is provided in the transfer chamber 430 of the application module 401 and the transfer chamber 480 of the development module 402 in the above- However, the substrate transfer unit 1000 is not limited to this, and is further applicable to a transfer member for transferring the substrate W. For example, the base 1020, the driving member 1060, and the moving member 1040 of the substrate transfer unit 1000 are connected to the first buffer robot 360, the second buffer robot 560, and the interface robot 740 Applicable.

또한 도포모듈(401)과 현상모듈(402)은 복층 구조를 가지는 것으로 설명하였다. 그러나 도포모듈(401)과 현상모듈(402)은 서로 간에 동일 높이에 위치될 수 있다. 복수 개의 도포챔버들(410)은 제1방향(12)을 따라 나란히 배치되고, 복수 개의 현상챔버들(460)은 도포모듈(401)의 끝단에 위치한 도포챔버(410)로부터 제1방향(12)을 따라 연장되게 배치될 수 있다.The application module 401 and the development module 402 are described as having a multi-layer structure. However, the application module 401 and the development module 402 can be positioned at the same height with each other. The plurality of application chambers 410 are arranged along the first direction 12 and the plurality of development chambers 460 extend from the application chamber 410 located at the end of the application module 401 in the first direction 12 As shown in FIG.

1020: 베이스 1040: 이동부재
1060: 구동부재 1080: 분진포획기
1080a: 제1포획기 1080b: 제2포획기
1020: Base 1040: Movable member
1060: driving member 1080: dust catching machine
1080a: first capturing machine 1080b: second capturing machine

Claims (2)

기판의 공정 처리가 진행되는 공간을 제공하는 복수 개의 공정챔버들과;
상기 공정챔버들과 인접하게 위치되고, 상기 공정챔버들 간에 기판을 반송하는 기판반송유닛이 내부에 제공되는 반송챔버를 포함하되,
상기 기판반송유닛은,
베이스와;
상기 베이스에 대해 상대 이동 가능하도록 상기 베이스에 연결되는 이동부재와;
상기 베이스 내에 배치되고, 상기 이동부재에 구동력을 제공하는 구동부재와;
상기 구동부재로부터 발생된 분진을 포획하는 분진포획기를 포함하는 기판처리장치.
A plurality of process chambers for providing a space in which processing of the substrate proceeds;
And a transfer chamber in which a substrate transfer unit is provided, the transfer chamber being positioned adjacent to the process chambers and carrying a substrate between the process chambers,
Wherein the substrate transfer unit comprises:
A base;
A moving member connected to the base so as to be movable relative to the base;
A driving member disposed in the base and providing a driving force to the moving member;
And a dust catcher for trapping dust generated from the driving member.
제1항에 있어서,
상기 구동부재는 금속 재질의 부품을 포함하고,
상기 분진포획기는 자성부재를 포함하는 기판처리장치.
The method according to claim 1,
Wherein the driving member comprises a metallic part,
Wherein the dust catcher comprises a magnetic member.
KR1020120140479A 2012-12-05 2012-12-05 Apparatus for treating substrate KR20140072998A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020120140479A KR20140072998A (en) 2012-12-05 2012-12-05 Apparatus for treating substrate

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020120140479A KR20140072998A (en) 2012-12-05 2012-12-05 Apparatus for treating substrate

Publications (1)

Publication Number Publication Date
KR20140072998A true KR20140072998A (en) 2014-06-16

Family

ID=51126647

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020120140479A KR20140072998A (en) 2012-12-05 2012-12-05 Apparatus for treating substrate

Country Status (1)

Country Link
KR (1) KR20140072998A (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN112420555A (en) * 2019-08-23 2021-02-26 细美事有限公司 Transfer unit and substrate processing apparatus including the same

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN112420555A (en) * 2019-08-23 2021-02-26 细美事有限公司 Transfer unit and substrate processing apparatus including the same
KR20210023448A (en) * 2019-08-23 2021-03-04 세메스 주식회사 Transger unit and apparatus for treating substrate with the transfer unit
US11456198B2 (en) 2019-08-23 2022-09-27 Semes Co., Ltd. Transfer unit and substrate treating apparatus including ihe same

Similar Documents

Publication Publication Date Title
KR101605721B1 (en) Bake apparatus and Apparatus for treating substrate
KR101166109B1 (en) Facility for treating substrates
KR20160017699A (en) Bake unit, substrate treating apparatus including the unit, and substrate treating method
KR20150076818A (en) Apparatus for treating substrate
KR101915479B1 (en) Substrate treating apparatus and substrate treating method
KR102366179B1 (en) Transger unit and apparatus for treating substrate with the transfer unit
KR102000023B1 (en) Substrate treating apparatus
KR101895410B1 (en) Apparatus for treating substrate
KR102046869B1 (en) Member for suppliyng a substrate, Buffer unit, and Apparatus for treating a substrate
KR102054221B1 (en) Apparatus for transferring substrate and Apparatus for treating substrate with it
KR20160072545A (en) Apparatus for treating substrate
KR101757814B1 (en) Standby port and Apparatus for treating substrate with the port
KR20140072998A (en) Apparatus for treating substrate
KR20150078629A (en) Apparatus for treating substrate
KR101768518B1 (en) Transfer chamber, Apparatus for treating substrate, and method for trasnferring substrate
KR101582569B1 (en) Substrate treating apparatus, substrate treating facility including the apparatus, and substrate treating method using the apparatus
KR101895403B1 (en) Transfer robot and Apparatus for treating substrate with the robot
KR101721148B1 (en) Nozzle, Apparatus for treating substrate and method for applying chemicals
KR101914482B1 (en) Substrate treating apparatus and substrate treating method
KR102156897B1 (en) Apparatus and Method for treating substrate
KR101870669B1 (en) Injection unit, Apparatus and Method for treating substrate with the unit
KR102343640B1 (en) Apparatus for treating substrate
KR20180061536A (en) Substrate treating apparatus and substrate treating method
KR20160081010A (en) Bake unit, substrate treating apparatus including the unit, and substrate treating method
KR101776014B1 (en) Aparatus for treating substrate and Method for treating substrate

Legal Events

Date Code Title Description
A201 Request for examination
AMND Amendment
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
X091 Application refused [patent]
AMND Amendment
X601 Decision of rejection after re-examination