KR20140052244A - 증착 장치 - Google Patents

증착 장치 Download PDF

Info

Publication number
KR20140052244A
KR20140052244A KR1020120118062A KR20120118062A KR20140052244A KR 20140052244 A KR20140052244 A KR 20140052244A KR 1020120118062 A KR1020120118062 A KR 1020120118062A KR 20120118062 A KR20120118062 A KR 20120118062A KR 20140052244 A KR20140052244 A KR 20140052244A
Authority
KR
South Korea
Prior art keywords
plasma
connection terminals
electrode
deposition apparatus
present
Prior art date
Application number
KR1020120118062A
Other languages
English (en)
Inventor
김기종
김대연
장현수
Original Assignee
에이에스엠 아이피 홀딩 비.브이.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이에스엠 아이피 홀딩 비.브이. filed Critical 에이에스엠 아이피 홀딩 비.브이.
Priority to KR1020120118062A priority Critical patent/KR20140052244A/ko
Priority to US14/057,160 priority patent/US20140109832A1/en
Publication of KR20140052244A publication Critical patent/KR20140052244A/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/452Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32577Electrical connecting means

Abstract

본 발명의 실시예에 따른 증착 장치는 플라즈마 전극에 플라즈마 전원을 전달하는 복수 개의 플라즈마 접속 단자를 플라즈마 전극과 병렬로 연결함으로써, 플라즈마 접속 단자에 의한 저항을 줄이고 전류를 분배시킴으로써, 플라즈마 접속 단자에 발생하는 발열 양도 분배시킬 수 있다. 따라서, 고주파 전원을 이용하더라도, 플라즈마 접속 단자 등의 산화를 방지하여, 플라즈마를 안정적으로 공급하여, 증착 장치의 안정성도 높이고, 공정의 정확도를 높일 수 있다.

Description

증착 장치{DEPOSITION APPARATUS}
본 발명은 증착 장치에 관한 것이다.
실리콘기판상에 얇은 박막을 증착하기 위한 방법으로 물리기상증착(PVD), 화학기상증착(CVD) 방식 등이 이용되어 왔다.
그러나 반도체 소자크기의 감소 등으로 인하여 기존의 증착 방법은 점차 그 한계를 드러내게 되었고 이를 대체할 차세대 증착 방법으로 원자층 증착(Atomic Layer Deposition; ALD)방법이 도입되었으며, 현재 원자층 증착 방법이 폭넓게 적용되고 있다.
원자층 증착법은 자기제어 방식(self-limiting process)으로 기판 상에 박막이 증착되며 열분해되지 않으면서 반응기체간 화학반응이 발생하는 온도영역에서 공정이 진행되게 된다. 따라서 기존의 화학기상증착법에 비하여 저온에서 박막 증착이 가능하다는 장점이 있다.
원자층 증착법은 기판을 지지하고 있는 히터(heater)로부터 기판에 열에너지가 공급되어 기판상에서 반응기체간의 반응을 활성화 시키는 열반응 원자층 증착법(thermal ALD)방식과 히터 열원 외에 반응공간에 플라즈마를 공급하여 반응기체간 반응을 유도하는 플라즈마 원자층 증착법(plasma enhanced ALD)이 있다. 기존 열반응 원자층 증착 공정에서 반응이 이루어지기 어려운 반응기체에 플라즈마를 공급하면 반응을 유도할 수 있기 때문에 열반응 원자층 증착 방법에서 구현하기 어려운 공정도 플라즈마 원자층 증착 공정을 통해 다양한 막질을 갖는 박막을 증착할 수 있고 최근에 이에 대한 연구와 적용이 활발해지고 있다.
한편, 플라즈마를 이용한 증착 장치 중, 원격으로 플라즈마가 공급되는 기존의 방법에 비하여, 플라즈마의 효율을 높일 수 있는 방법으로, 플라즈마를 전달하는 플라즈마 전극이 반응기 내부의 반응 공간을 정의하여, 반응 공간 내에서 직접 플라즈마가 발생되는 인시츄(in-situ) 플라즈마 증착 장치가 개발되었다.
플라즈마 원자층 증착 공정에서는 플라즈마의 안정적인 공급이 매우 중요하다. 플라즈마 원자층 증착 공정에서, 플라즈마는 반응기체가 공급되는 수초 이내의 짧은 시간 동안 반복적으로(intermittently) 공급된다. 이러한 과정이 반복되면서 플라즈마 생성기(RF plasma generator)에서 생성된 플라즈마를 반응공간 상단에 설치된 플라즈마 전극에 전달해 주는 고주파 접속 단자(RF rod)가 과열(overheating)되는 문제가 발생하게 되는데, 이는 증착 장치의 안정성 문제뿐만 아니라 공정의 정확도가 낮아지는 문제점을 가져온다.
또한, 고주파 전원(High RF power)이 필요한 공정에서, 고주파 전원의 크기가 커짐에 따라, 고주파 접속 단자, 그리고 고주파 접속 단자와 플라즈마 전극 사이의 연결부에 높은 전류가 흐르면서, 고주파 접속 단자와 연결부 등이 산화될 수 있다.
따라서 본 발명이 해결하고자 하는 기술적 과제는 플라즈마 전원을 이용하는 증착 장치에서, 고주파 전원을 이용하더라도, 플라즈마 접속 단자 등의 산화를 방지하여, 플라즈마를 안정적으로 공급하여, 증착 장치의 안정성도 높이고, 공정의 정확도를 높일 수 있는 증착 장치를 제공하는 것이다.
본 발명의 한 실시예에 따른 증착 장치는 기판 지지대, 상기 기판 지지대와 결합하여 반응 공간을 정의하는 플라즈마 전극, 상기 플라즈마 전극에 플라즈마 전원을 공급하는 플라즈마 전원 인가부, 그리고 상기 플라즈마 전원 인가부와 상기 플라즈마 전극 사이에 연결되어 있는 복수의 플라즈마 접속 단자를 포함한다.
상기 복수의 플라즈마 접속 단자는 상기 플라즈마 전원부와 상기 플라즈마 전극 사이에 병렬로 연결될 수 있다.
상기 복수의 플라즈마 접속 단자의 각 저항은 동일할 수 있다.
상기 복수의 플라즈마 접속 단자는 상기 플라즈마 전극을 기준으로 대칭적으로 배치될 수 있다.
상기 복수의 플라즈마 접속 단자는 두 개일 수 있다.
본 발명의 실시예에 따른 증착 장치는 플라즈마 전극에 플라즈마 전원을 전달하는 복수 개의 플라즈마 접속 단자를 플라즈마 전극과 병렬로 연결함으로써, 플라즈마 접속 단자에 의한 저항을 줄이고 전류를 분배시킴으로써, 플라즈마 접속 단자에 발생하는 발열 양도 분배시킬 수 있다. 따라서, 고주파 전원을 이용하더라도, 플라즈마 접속 단자 등의 산화를 방지하여, 플라즈마를 안정적으로 공급하여, 증착 장치의 안정성도 높이고, 공정의 정확도를 높일 수 있다.
도 1은 본 발명의 실시예에 따른 증착 장치를 도시한 단면도이다.
도 2는 본 발명의 플라즈마 접속 단자에 가해지는 저항을 설명하기 위한 도면이다.
도 3은 본 발명의 한 실험예의 결과를 나타내는 도면이다.
이하, 첨부한 도면을 참조하여 본 발명의 실시예에 대하여 본 발명이 속하는 기술분야에서 통상의 지식을 가진 자가 용이하게 실시할 수 있도록 상세히 설명한다. 그러나 본 발명은 여러 가지 상이한 형태로 구현될 수 있으며 여기에서 설명하는 실시예에 한정되지 않는다.
도면에서 여러 층 및 영역을 명확하게 표현하기 위하여 두께를 확대하여 나타내었다. 명세서 전체를 통하여 유사한 부분에 대해서는 동일한 도면 부호를 붙였다. 층, 막, 영역, 판 등의 부분이 다른 부분 "위에" 있다고 할 때, 이는 다른 부분 "바로 위에" 있는 경우 뿐만 아니라 그 중간에 또 다른 부분이 있는 경우도 포함한다. 반대로 어떤 부분이 다른 부분 "바로 위에" 있다고 할 때에는 중간에 다른 부분이 없는 것을 뜻한다.
먼저, 도 1을 참고하여, 본 발명의 실시예에 따른 증착 장치에 대하여 설명한다. 도 1은 본 발명의 실시예에 따른 증착 장치를 도시한 단면도이다.
도 1을 참고하면, 본 발명의 실시예에 따른 증착 장치는 외벽(100), 기체 통로관(110), 반응실 벽(120), 기판 지지대(130), 기판 지지대(130)와 함께 반응 공간을 정의하는 플라즈마 전극(140), 플라즈마 전극(140)에 고주파 전원을 전달하는 복수의 플라즈마 접속 단자(150a, 150b), 플라즈마 접속 단자(150)에 연결되어 있는 플라즈마 전원(50)을 포함한다.
각 구성 요소에 대하여 좀 더 구체적으로 설명한다.
증착 장치의 외벽(100)은 반응실 내부의 열이 외부로 전도되어 유실되는 것을 방지한다.
기판 지지대(130)에 증착 대상인 기판(135)이 배치되어 있고, 기판 지지대(130) 아래에는 히터(160)가 배치되어 있다. 히터(160)는 기판(135)의 온도를 공정에 필요한 온도까지 상승시키는 역할을 한다.
반응실벽(120)과 기판 지지대(130)는 증착 공정 동안 서로 밀착되어 반응실을 규정한다.
플라즈마 전극(140)에는 기체 유입관(110)이 삽입되어 있으며, 플라즈마 전극(140)에는 플라즈마 접속 단자(150)가 연결되어 있다. 본 실시예에서는 하나의 기체 유입관(110)에 대하여 도시하였으나, 복수의 기체 유입관을 포함하여, 복수의 기체 유입관을 통해 서로 다른 공정 기체를 각기 유입할 수도 있다.
증착 공정 동안, 기판 지지대(130) 및 기판(135)은 플라즈마 전극(140)의 대응극(opposite electrode)으로서 역할을 한다. 도시하지는 않았지만, 기판 지지대(130)에도 추가적인 플라즈마 접속 단자(도시하지 않음)를 통해 전력이 공급될 수 있다.
본 발명의 실시예에 따른 증착 장치는 플라즈마 전극(140)에 연결되어 있는 복수 개의 플라즈마 접속 단자(150a, 150b)를 포함하고, 복수 개의 플라즈마 접속 단자(150a, 150b)는 고주파 전원(50)에 병렬로 연결되어 있다. 복수 개의 플라즈마 접속 단자(150a, 150b)는 플라즈마 전극(140)을 기준으로 대칭적으로 배치될 수 있다. 복수 개의 플라즈마 접속 단자(150a, 150b)는 두 개 또는 세 개 이상일 수 있다.
기체 유입관(110)을 통해 공정 기체가 유입되고, 고주파 전원(50)의 전압이 복수 개의 플라즈마 접속 단자(150a, 150b)를 통해 플라즈마 전극(140)에 인가되면, 플라즈마 전극(140)과 기판 지지대(130)의 전압 차이에 의해 반응실 내에 유입된 공정 기체가 플라즈마로 변하여 기판(135) 위에 증착되게 된다.
그러면, 도 1과 함께 도 2를 참고하여, 본 발명의 실시예에 따른 증착 장치의 플라즈마 전원(50), 플라즈마 접속 단자(150a, 150b), 그리고 플라즈마 전극(140) 사이의 연결 관계 및 이에 따른 플라즈마 전원 공급에 따른 전압 및 전류 값에 대하여 설명한다. 도 2는 본 발명의 플라즈마 접속 단자에 가해지는 저항을 설명하기 위한 도면이다.
앞서 도 1을 참고로 설명한 바와 같이, 본 발명의 실시예에 따른 증착 장치는 플라즈마 전극(140)에 연결되어 있는 복수 개의 플라즈마 접속 단자(150a, 150b)를 포함하고, 복수 개의 플라즈마 접속 단자(150a, 150b)는 고주파 전원(50)에 병렬로 연결되어 있다.
도 2를 참고하면, 본 발명의 실시예에 따른 증착 장치의 플라즈마 전극(140)에 연결되어 있는 복수 개의 플라즈마 접속 단자(150a, 150b)의 저항의 원리를 나타낸다. 본 발명의 실시예에 따른 증착 장치의 플라즈마 전극(140)에 연결되어 있는 복수 개의 플라즈마 접속 단자(150a, 150b)는 플라즈마 전원(50)에 병렬로 연결되어 있다. 플라즈마 전원(50)에 연결된 복수의 플라즈마 접속 단자(150a, 150b)의 저항이 각기 R1과 R2이라면, 병렬로 연결된 복수의 플라즈마 접속 단자(150a, 150b)가 플라즈마 전원(50)에 미치는 총 저항의 값(RT)은 아래와 같이, 감소하게 된다.
Figure pat00001
따라서, 플라즈마 전원(50)에 연결된 복수의 플라즈마 접속 단자(150a, 150b)의 저항(R)의 값이 같다면, 총 저항의 값(RT)은 복수의 플라즈마 접속 단자(150a, 150b)의 수(N)에 따라, R/N이 된다.
전류는 I=V/R (V: 전압, I: 전류, R: 저항)의 공식에 의하여, 입력단(In)에 가해지는 전압에 비례하고, 저항 값에 반비례한다. 저항은 전류를 흐르지 못하게 하며, 전류가 흐를 때 열을 발생 시킨다. 본 실시예에 따른 장치의 플라즈마 전극(140)에 연결되어 있는 복수 개의 플라즈마 접속 단자(150a, 150b)를 병렬로 연결함으로써, 플라즈마 접속 단자(150a, 150b) 자체의 단면적 등을 변화시키지 않으면서도, 플라즈마 전원(50)에 가해지는 저항의 값을 줄임으로써, 입력단(In)의 전압의 크기가 동일하더라도, 입력단(In)에서 출력단(Out)으로 흐르는 전류의 크기는 커지게 된다.
또한 아래의 전력법칙의 공식에 따르면,
P=VIcosθ, (P: RF power, V:전압, I:전류, cosθ:역률(0~1))
플라즈마 전원이 증가할수록 전압과 전류는 증가하게 되는데, 플라즈마 접속 단자(150a, 150b)를 통해 충분한 양의 전류가 흐를 수 없다면, 플라즈마 접속 단자(150a, 150b)와 플라즈마 전극(140) 사이의 접촉 저항(contact resistance)이 증가하게 되고, 이에 따라 많은 열이 발생하게 된다.
그러나, 본 발명의 실시예에 따른 증착 장치는 플라즈마 전극(140)과 플라즈마 전원(50) 사이에 병렬로 연결되어 있는 복수 개의 플라즈마 접속 단자(150a, 150b)를 포함함으로써, 복수 개의 플라즈마 접속 단자(150a, 150b)에 따른 저항 값을 줄이고, 전체 전류를 복수 개의 플라즈마 접속 단자(150a, 150b)를 통해 분배할 수 있다. 따라서, 발생하는 열도 복수 개의 플라즈마 접속 단자(150a, 150b)로 분배시킬 수 있고, 이에 따라 각 플라즈마 접속 단자(150a, 150b)에 발생하는 열을 감소시키게 된다.
그러면, 도 3을 참고하여, 본 발명의 한 실험예에 따른 결과를 설명한다. 도 3은 본 발명의 한 실험예의 결과를 나타내는 도면이다.
본 실험예에서는 플라즈마 전원과 플라즈마 전극 사이에 하나의 플라즈마 접속 단자를 연결한 경우(Single)와 두 개의 플라즈마 접속 단자를 병렬로 연결한 경우(Dual)로 나누어, 반응기의 온도를 약 100℃로 설정한 경우(RC 100C), 각각 600W, 800W의 플라즈마 전원을 공급하고, 반응기의 온도를 약 300℃로 설정한 경우(RC 300C), 각각 400W, 600W의 플라즈마 전원을 공급하여, 플라즈마 전원 인가 전과 인가 후의 플라즈마 접속 단자의 온도 차이(Temp. variation)를 측정하여, 도 3에 나타내었다.
도 3을 참고하면, 기존의 증착 장치와 같이, 플라즈마 전원과 플라즈마 전극 사이에 하나의 플라즈마 접속 단자를 연결한 경우(Single), 플라즈마 전원 인가 전과 플라즈마 전원 인가 후의 플라즈마 접속 단자의 온도 차이(Temp. variation)는 반응기 온도가 상승할수록 커졌으며, 같은 반응기 온도를 유지한 경우, 공급되는 플라즈마 전원의 세기가 높아질수록 커졌음을 알 수 있었다.
본 발명의 실시예에 따른 증착 장치와 같이, 두 개의 플라즈마 접속 단자를 병렬로 연결한 경우(Dual), 플라즈마 전원 인가 전과 플라즈마 전원 인가 후의 플라즈마 접속 단자의 온도 차이(Temp. variation)는 기존의 증착 장치와 같이 플라즈마 전원과 플라즈마 전극 사이에 하나의 플라즈마 접속 단자를 연결한 경우(Single)에 비하여, 감소하였음을 알 수 있었다.
또한, 본 발명의 실시예에 따른 증착 장치와 같이, 두 개의 플라즈마 접속 단자를 병렬로 연결한 경우(Dual), 플라즈마 전원 인가 전과 플라즈마 전원 인가 후의 플라즈마 접속 단자의 온도 차이(Temp. variation)는 기존의 증착 장치와 같이 플라즈마 전원과 플라즈마 전극 사이에 하나의 플라즈마 접속 단자를 연결한 경우(Single)와 비교하여, 플라즈마 전원의 값이 증가할수록, 크게 감소하였음을 알 수 있었다.
이처럼, 본 발명의 실시예에 따른 증착 장치는 플라즈마 전극에 플라즈마 전원을 전달하는 복수 개의 플라즈마 접속 단자를 플라즈마 전극과 병렬로 연결함으로써, 플라즈마 접속 단자에 의한 저항을 줄이고 전류를 분배시킴으로써, 플라즈마 접속 단자에 발생하는 발열 양도 분배시킬 수 있다. 따라서, 고주파 전원을 이용하더라도, 플라즈마 접속 단자 등의 산화를 방지하여, 플라즈마를 안정적으로 공급하여, 증착 장치의 안정성도 높이고, 공정의 정확도를 높일 수 있다.
위에서 설명한 실시예들에 따른 증착 장치의 기판 지지 핀 및 기판 지지 핀 보호 부재, 기판 지지 핀 가이드 부재의 형태 및 배치 등은 본 발명을 설명하기 위한 예에 불과하며, 본 발명은 이에 한정되는 것이 아니며, 여러 형태로 변화될 수 있다.
이상에서 본 발명의 바람직한 실시예에 대하여 상세하게 설명하였지만 본 발명의 권리범위는 이에 한정되는 것은 아니고 다음의 청구범위에서 정의하고 있는 본 발명의 기본 개념을 이용한 당업자의 여러 변형 및 개량 형태 또한 본 발명의 권리범위에 속하는 것이다.

Claims (10)

  1. 기판 지지대,
    상기 기판 지지대와 결합하여 반응 공간을 정의하는 플라즈마 전극,
    상기 플라즈마 전극에 플라즈마 전원을 공급하는 플라즈마 전원 인가부, 그리고
    상기 플라즈마 전원 인가부와 상기 플라즈마 전극 사이에 연결되어 있는 복수의 플라즈마 접속 단자를 포함하는 증착 장치.
  2. 제1항에서,
    상기 복수의 플라즈마 접속 단자는 상기 플라즈마 전원부와 상기 플라즈마 전극 사이에 병렬로 연결되어 있는 증착 장치.
  3. 제2항에서,
    상기 복수의 플라즈마 접속 단자의 각 저항은 동일한 증착 장치.
  4. 제3항에서,
    상기 복수의 플라즈마 접속 단자는 상기 플라즈마 전극을 기준으로 대칭적으로 배치되어 있는 증착 장치.
  5. 제4항에서,
    상기 복수의 플라즈마 접속 단자는 두 개인 증착 장치.
  6. 제1항에서,
    상기 복수의 플라즈마 접속 단자의 각 저항은 동일한 증착 장치.
  7. 제6항에서,
    상기 복수의 플라즈마 접속 단자는 상기 플라즈마 전극을 기준으로 대칭적으로 배치되어 있는 증착 장치.
  8. 제7항에서,
    상기 복수의 플라즈마 접속 단자는 두 개인 증착 장치.
  9. 제1항에서,
    상기 복수의 플라즈마 접속 단자는 상기 플라즈마 전극을 기준으로 대칭적으로 배치되어 있는 증착 장치.
  10. 제9항에서,
    상기 복수의 플라즈마 접속 단자는 두 개인 증착 장치.
KR1020120118062A 2012-10-23 2012-10-23 증착 장치 KR20140052244A (ko)

Priority Applications (2)

Application Number Priority Date Filing Date Title
KR1020120118062A KR20140052244A (ko) 2012-10-23 2012-10-23 증착 장치
US14/057,160 US20140109832A1 (en) 2012-10-23 2013-10-18 Deposition apparatus

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020120118062A KR20140052244A (ko) 2012-10-23 2012-10-23 증착 장치

Publications (1)

Publication Number Publication Date
KR20140052244A true KR20140052244A (ko) 2014-05-07

Family

ID=50484181

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020120118062A KR20140052244A (ko) 2012-10-23 2012-10-23 증착 장치

Country Status (2)

Country Link
US (1) US20140109832A1 (ko)
KR (1) KR20140052244A (ko)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10580623B2 (en) * 2013-11-19 2020-03-03 Applied Materials, Inc. Plasma processing using multiple radio frequency power feeds for improved uniformity

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
AU2003195A (en) * 1994-06-21 1996-01-04 Boc Group, Inc., The Improved power distribution for multiple electrode plasma systems using quarter wavelength transmission lines
JP2000260598A (ja) * 1999-03-12 2000-09-22 Sharp Corp プラズマ発生装置
JP3846881B2 (ja) * 2003-04-04 2006-11-15 日本エー・エス・エム株式会社 プラズマ処理装置及びシリコン酸化膜を形成する方法
CN100562209C (zh) * 2004-02-09 2009-11-18 周星工程股份有限公司 用于产生等离子的电源供应器及包括其的等离子设备
JP2006244903A (ja) * 2005-03-04 2006-09-14 Fuji Xerox Co Ltd 基体表面処理方法およびこれを用いた基体表面処理装置
TW200834671A (en) * 2007-02-12 2008-08-16 Innolux Display Corp Plasma enhanced chemical vapor deposition device
US8343592B2 (en) * 2007-12-25 2013-01-01 Applied Materials, Inc. Asymmetrical RF drive for electrode of plasma chamber
KR101627297B1 (ko) * 2008-10-13 2016-06-03 한국에이에스엠지니텍 주식회사 플라즈마 처리부 및 이를 포함하는 증착 장치 및 증착 방법
JP5591573B2 (ja) * 2009-03-30 2014-09-17 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
US20110120375A1 (en) * 2009-11-23 2011-05-26 Jusung Engineering Co., Ltd. Apparatus for processing substrate
TW201206254A (en) * 2010-01-12 2012-02-01 Applied Materials Inc Phase-modulated RF power for plasma chamber electrode

Also Published As

Publication number Publication date
US20140109832A1 (en) 2014-04-24

Similar Documents

Publication Publication Date Title
US20210040615A1 (en) Temperature-controlled chemical delivery system and reactor system including same
US10941490B2 (en) Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
CN102105253B (zh) 高温静电卡盘和使用方法
TWI536492B (zh) 具有徑向熱扼流器的高溫靜電夾具
TWI608549B (zh) 射頻功率、溫度控制的氣體擴散器
TW202036645A (zh) 藉由電阻式熱量測之噴淋頭加熱的控制
JP2008085329A (ja) 基板処理システムに用いられる耐浸食性絶縁層を有する温度制御された基板ホルダ
KR20090068117A (ko) 기판 온도 조정 및 고정 장치
US20120135609A1 (en) Apparatus and Process for Atomic Layer Deposition
JP6606547B2 (ja) 複数の液体または固体の原材料からcvdまたはpvd装置のために蒸気を生成する蒸気発生装置および蒸気発生方法
US20220037170A1 (en) Progressive heating of components of substrate processing systems using tcr element-based heaters
TW202114095A (zh) 多站半導體處理中的可獨立調整流路傳導性
KR20140052244A (ko) 증착 장치
TWM492529U (zh) 使用具有加熱器的基板支撐台的基板支撐組件
KR102141678B1 (ko) 가열식 기판 지지부
JP2019094516A (ja) 熱フィラメントcvd装置
TWI815971B (zh) 多工式基於高電阻溫度係數的安瓿加熱器
KR101244364B1 (ko) 파우더 제거 장치
CN103540913B (zh) 应用于气相沉积的反应器
RU2012114734A (ru) Технологическое устройство для осаждения материала и электрод для использования в таком устройстве
JP6045035B2 (ja) 基板処理装置およびシステム
CN111074237A (zh) 源瓶
JP2013065792A (ja) ヒータおよび成膜装置
KR101033163B1 (ko) 폴리실리콘 증착장치
KR20160000700A (ko) Cvd 공정을 위한 서셉터

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E601 Decision to refuse application