KR20140036224A - Semiconductor processing system having multiple decoupled plasma sources - Google Patents

Semiconductor processing system having multiple decoupled plasma sources Download PDF

Info

Publication number
KR20140036224A
KR20140036224A KR1020137032848A KR20137032848A KR20140036224A KR 20140036224 A KR20140036224 A KR 20140036224A KR 1020137032848 A KR1020137032848 A KR 1020137032848A KR 20137032848 A KR20137032848 A KR 20137032848A KR 20140036224 A KR20140036224 A KR 20140036224A
Authority
KR
South Korea
Prior art keywords
plasma
power
microchambers
processing region
supply
Prior art date
Application number
KR1020137032848A
Other languages
Korean (ko)
Other versions
KR101947844B1 (en
Inventor
패트릭 홀랜드 존
엘. 지. 벤트젝 피터
하미트 싱
리차드 고트쵸
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US13/104,923 external-priority patent/US8900402B2/en
Priority claimed from US13/104,925 external-priority patent/US8900403B2/en
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20140036224A publication Critical patent/KR20140036224A/en
Application granted granted Critical
Publication of KR101947844B1 publication Critical patent/KR101947844B1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • H01J37/32633Baffles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32899Multiple chambers, e.g. cluster tools

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma Technology (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

반도체 기판 프로세싱 시스템은 프로세싱 영역에 대한 노출부에서 기판을 지지하도록 정의된 기판 지지부를 포함한다. 시스템은 또한, 제 1 플라즈마를 생성하고, 제 1 플라즈마의 반응성 성분들을 프로세싱 영역에 공급하도록 정의된 제 1 플라즈마 챔버를 포함한다. 시스템은 또한, 제 2 플라즈마를 생성하고, 제 2 플라즈마의 반응성 성분들을 프로세싱 영역에 공급하도록 정의된 제 2 플라즈마 챔버를 포함한다. 제 1 및 제 2 플라즈마 챔버들은 독립적으로 제어되도록 정의된다.The semiconductor substrate processing system includes a substrate support defined to support the substrate at an exposure to the processing region. The system also includes a first plasma chamber defined to generate a first plasma and to supply reactive components of the first plasma to the processing region. The system also includes a second plasma chamber defined to generate a second plasma and to supply reactive components of the second plasma to the processing region. The first and second plasma chambers are defined to be controlled independently.

Description

다수의 디커플링된 플라즈마 소스들을 갖는 반도체 프로세싱 시스템{Semiconductor Processing System Having Multiple Decoupled Plasma Sources}Semiconductor Processing System Having Multiple Decoupled Plasma Sources

반도체 디바이스 제조에서 박막 프로세싱을 위해 이용되는 플라즈마 소스들은 종종, 플라즈마에서 이온 및 라디컬 농도들을 별개로 제어하기 위한 무능력으로 인해 건조 에칭에 대한 가장 바람직한 조건을 달성할 수 없다. 예를 들어, 몇몇 애플리케이션들에서, 플라즈마 에칭에 대한 바람직한 조건들은, 플라즈마에서 이온 농도를 증가시키면서, 동시에 라디컬 농도를 일정한 레벨로 유지시킴으로써 달성될 것이다. 그러나, 라디컬 농도 대 이온 농도의 이러한 타입의 독립적인 제어는, 박막 프로세싱을 위해 통상적으로 사용되는 공통 플라즈마 소스를 사용하여 달성될 수 없다. 이러한 맥락 내에서 본 발명이 등장했다.Plasma sources used for thin film processing in semiconductor device manufacturing often do not achieve the most desirable conditions for dry etching due to their inability to separately control ionic and radical concentrations in the plasma. For example, in some applications, preferred conditions for plasma etching will be achieved by increasing the ion concentration in the plasma while simultaneously maintaining the radical concentration at a constant level. However, independent control of this type of radical concentration versus ion concentration cannot be achieved using a common plasma source that is commonly used for thin film processing. Within this context, the present invention has emerged.

일 실시형태에서, 반도체 기판 프로세싱 시스템이 기재된다. 시스템은 프로세싱 영역에 대한 노출부 (exposure) 내에 기판을 지지하도록 정의된 기판 지지부를 포함한다. 시스템은 또한, 제 1 플라즈마를 생성하고, 제 1 플라즈마의 반응성 성분들을 프로세싱 영역에 공급하도록 정의된 제 1 플라즈마 챔버를 포함한다. 시스템은 또한, 제 2 플라즈마를 생성하고, 제 2 플라즈마의 반응성 성분들을 프로세싱 영역에 공급하도록 정의된 제 2 플라즈마 챔버를 포함한다. 제 1 및 제 2 플라즈마 챔버들은 독립적으로 제어되도록 정의된다.In one embodiment, a semiconductor substrate processing system is described. The system includes a substrate support defined to support the substrate in an exposure to the processing area. The system also includes a first plasma chamber defined to generate a first plasma and to supply reactive components of the first plasma to the processing region. The system also includes a second plasma chamber defined to generate a second plasma and to supply reactive components of the second plasma to the processing region. The first and second plasma chambers are defined to be controlled independently.

다른 실시형태에서, 반도체 기판 프로세싱 시스템이 기재된다. 시스템은, 상단 구조, 바닥 구조, 및 상단 구조와 바닥 구조 사이에서 연장하는 측벽들을 포함한다. 챔버는 프로세싱 영역을 둘러싼다. 기판 지지부는 챔버 내에 배치되며, 프로세싱 영역에 대한 노출부에서 기판을 지지하도록 정의된다. 시스템은 또한, 기판 지지부 위의 챔버 내에 배치된 상단 플레이트 어셈블리를 포함한다. 상단 플레이트 어셈블리는, 프로세싱 영역에 노출되고 기판 지지부의 상단 표면 반대쪽에 있는 하부 표면을 갖는다. 상단 플레이트 어셈블리는, 제 1 플라즈마의 반응성 성분들을 프로세싱 영역에 공급하도록 접속된 제 1 복수의 플라즈마 포트들을 포함한다. 상단 플레이트 어셈블리는 또한, 제 2 플라즈마의 반응성 성분들을 프로세싱 영역에 공급하도록 접속된 제 2 복수의 플라즈마 포트들을 포함한다.In another embodiment, a semiconductor substrate processing system is described. The system includes a top structure, a bottom structure, and sidewalls extending between the top structure and the bottom structure. The chamber surrounds the processing area. The substrate support is disposed in the chamber and is defined to support the substrate at an exposure to the processing area. The system also includes a top plate assembly disposed in the chamber above the substrate support. The top plate assembly has a bottom surface exposed to the processing area and opposite the top surface of the substrate support. The top plate assembly includes a first plurality of plasma ports connected to supply reactive components of the first plasma to the processing region. The top plate assembly also includes a second plurality of plasma ports connected to supply reactive components of the second plasma to the processing region.

또 다른 실시형태에서, 반도체 기판을 프로세싱하기 위한 방법이 기재된다. 방법은, 프로세싱 영역에 대한 노출부 내의 기판 지지부 상에 기판을 배치시키기 위한 동작을 포함한다. 방법은 또한, 제 1 플라즈마 타입의 제 1 플라즈마를 생성하기 위한 동작을 포함한다. 방법은 또한, 제 1 플라즈마 타입과 상이한 제 2 플라즈마 타입의 제 2 플라즈마를 생성하기 위한 동작을 포함한다. 방법은, 기판의 프로세싱에 영향을 주기 위해 제 1 및 제 2 플라즈마들 양자의 반응성 성분들을 프로세싱 영역에 공급하기 위한 동작을 더 포함한다.In yet another embodiment, a method for processing a semiconductor substrate is described. The method includes an operation for placing a substrate on a substrate support in an exposure to the processing area. The method also includes an operation for generating a first plasma of a first plasma type. The method also includes an operation for generating a second plasma of a second plasma type different from the first plasma type. The method further includes an operation for supplying reactive components of both the first and second plasmas to the processing region to affect processing of the substrate.

일 실시형태에서, 반도체 기판 프로세싱 시스템이 기재된다. 시스템은, 플라즈마 프로세싱 영역에 노출된 프로세스-측 표면을 갖는 플레이트 어셈블리를 포함한다. 배출 채널은, 플라즈마 프로세싱 영역으로부터의 배출 가스들의 제거를 제공하기 위하여 플레이트 어셈블리의 프로세스-측 표면을 통해 형성된다. 플라즈마 마이크로챔버는 배출 채널 내부에 형성된다. 또한, 가스 공급 채널은, 배출 채널에서 플라즈마 마이크로챔버로 프로세스 가스를 흐르게 하도록 플레이트 어셈블리를 통해 형성된다. 그리고, 전력 전달 컴포넌트는, 배출 챔버에서 플라즈마 마이크로챔버 내부의 플라즈마로 프로세스 가스를 변환시키기 위해, 전력을 플라즈마 마이크로챔버에 송신하도록 플레이트 어셈블리 내에 형성된다.In one embodiment, a semiconductor substrate processing system is described. The system includes a plate assembly having a process-side surface exposed to a plasma processing region. An exhaust channel is formed through the process-side surface of the plate assembly to provide removal of exhaust gases from the plasma processing region. The plasma microchamber is formed inside the discharge channel. In addition, a gas supply channel is formed through the plate assembly to flow the process gas from the discharge channel into the plasma microchamber. The power delivery component is then formed in the plate assembly to transmit power to the plasma microchamber to convert the process gas from the discharge chamber to the plasma inside the plasma microchamber.

다른 실시형태에서, 반도체 기판 프로세싱 시스템이 기재된다. 시스템은, 상단 구조, 바닥 구조, 및 상단 구조와 바닥 구조 사이에서 연장하는 측벽들을 갖는 챔버를 포함한다. 챔버는 프로세싱 영역을 포함한다. 기판 지지부는 챔버 내에 배치된다. 기판 지지부는 프로세싱 영역에 대한 노출부에서 기판을 지지하도록 정의된 상단 표면을 갖는다. 시스템은 또한, 기판 지지부 위의 챔버 내에 배치된 상단 플레이트 어셈블리를 포함한다. 상단 플레이트 어셈블리는, 프로세싱 영역에 노출되고 기판 지지부의 상단 표면 반대쪽에 있는 하부 표면을 갖는다. 상단 플레이트 어셈블리는 상단 플레이트 어셈블리의 하부 표면으로 각각 형성된 제 1 세트의 플라즈마 마이크로챔버들을 포함한다. 상단 플레이트 어셈블리는 또한, 제 1 세트의 플라즈마 마이크로챔버들의 각각에 제 1 프로세스 가스를 흐르게 하도록 형성된 가스 공급 채널들의 제 1 네트워크를 포함한다. 제 1 세트의 플라즈마 마이크로챔버들의 각각은, 프로세싱 영역에 대한 노출부에서 제 1 플라즈마로 제 1 프로세스 가스를 변환시키도록 정의된다. 상단 플레이트 어셈블리는 또한, 프로세싱 영역으로부터의 배출 가스들의 제거를 제공하도록 상단 플레이트 어셈블리의 하부 표면을 통해 형성된 일 세트의 배출 채널들을 포함한다. 상단 플레이트 어셈블리는 또한, 일 세트의 배출 채널들 내부에 각각 형성된 제 2 세트의 플라즈마 마이크로챔버들을 포함한다. 상단 플레이트 어셈블리는, 제 2 세트의 플라즈마 마이크로챔버들의 각각에 제 2 프로세스 가스를 흐르게 하도록 형성된 가스 공급 채널들의 제 2 네트워크를 더 포함한다. 제 2 세트의 플라즈마 마이크로챔버들의 각각은, 프로세싱 영역에 대한 노출부에서 제 2 플라즈마로 제 2 프로세스 가스를 변환시키도록 정의된다.In another embodiment, a semiconductor substrate processing system is described. The system includes a top structure, a bottom structure, and a chamber having sidewalls extending between the top structure and the bottom structure. The chamber includes a processing region. The substrate support is disposed in the chamber. The substrate support has a top surface defined to support the substrate at an exposure to the processing area. The system also includes a top plate assembly disposed in the chamber above the substrate support. The top plate assembly has a bottom surface exposed to the processing area and opposite the top surface of the substrate support. The top plate assembly includes a first set of plasma microchambers each formed with a bottom surface of the top plate assembly. The top plate assembly also includes a first network of gas supply channels configured to flow a first process gas into each of the first set of plasma microchambers. Each of the first set of plasma microchambers is defined to convert the first process gas into a first plasma at an exposure to the processing region. The top plate assembly also includes a set of outlet channels formed through the bottom surface of the top plate assembly to provide removal of the exhaust gases from the processing region. The top plate assembly also includes a second set of plasma microchambers each formed inside a set of outlet channels. The top plate assembly further includes a second network of gas supply channels configured to flow a second process gas into each of the second set of plasma microchambers. Each of the second set of plasma microchambers is defined to convert a second process gas into a second plasma at an exposure to the processing region.

다른 실시형태에서, 반도체 기판을 프로세싱하기 위한 방법이 기재된다. 방법은, 프로세싱 영역에 대한 노출부 내의 기판 지지부 상에 기판을 배치시키기 위한 동작을 포함한다. 방법은 또한, 프로세싱 영역에 대한 노출부에서 제 1 세트의 플라즈마 마이크로챔버들을 동작시키는 단계를 포함하며, 그에 의해, 제 1 세트의 플라즈마 마이크로챔버들의 각각은 제 1 플라즈마를 생성하고, 제 1 플라즈마의 반응성 성분들을 프로세싱 영역에 공급한다. 제 1 세트의 플라즈마 마이크로챔버들은 기판 지지부로부터 반대쪽인 프로세싱 영역 위에 위치된다. 방법은 또한, 프로세싱 영역에 대한 노출부에서 제 2 세트의 플라즈마 마이크로챔버들을 동작시키는 단계를 포함하며, 그에 의해, 제 2 세트의 플라즈마 마이크로챔버들의 각각은 제 2 플라즈마를 생성하고, 제 2 플라즈마의 반응성 성분들을 플라즈마 영역에 공급한다. 제 2 플라즈마는 제 1 플라즈마와 상이하다. 그리고, 제 2 세트의 플라즈마 마이크로챔버들은, 기판 지지부로부터 반대쪽인 프로세싱 영역 위에 위치된다. 제 2 세트의 플라즈마 마이크로챔버들은 제 1 세트의 플라즈마 마이크로챔버들 사이에 실질적으로 균일한 방식으로 산재 (intersperse) 된다.In another embodiment, a method for processing a semiconductor substrate is described. The method includes an operation for placing a substrate on a substrate support in an exposure to the processing area. The method also includes operating the first set of plasma microchambers in an exposure to the processing region, whereby each of the first set of plasma microchambers produces a first plasma and Reactive components are fed to the processing region. The first set of plasma microchambers is located above the processing region opposite from the substrate support. The method also includes operating a second set of plasma microchambers in an exposure to the processing region, whereby each of the second set of plasma microchambers generates a second plasma, Reactive components are supplied to the plasma region. The second plasma is different from the first plasma. And, the second set of plasma microchambers is located above the processing region opposite from the substrate support. The second set of plasma microchambers are interspered in a substantially uniform manner between the first set of plasma microchambers.

본 발명의 다른 양태들 및 이점들은, 본 발명을 예로서 도시하는 첨부한 도면들과 함께 취해진 다음의 상세한 설명으로부터 더 명백해질 것이다.Other aspects and advantages of the present invention will become more apparent from the following detailed description taken in conjunction with the accompanying drawings which illustrate the invention by way of example.

도 1은 본 발명의 일 실시형태에 따른, 공통 기판 프로세싱 영역에 대한 노출부에서의 다수의 플라즈마 챔버들의 사용을 이용하여 달성가능한 이온 농도와 라디컬 농도 사이의 관계들을 도시한다.
도 2a는 본 발명의 일 실시형태에 따른, 반도체 기판 프로세싱 시스템을 도시한다.
도 2b는 본 발명의 일 실시형태에 따른, 반도체 기판 프로세싱 시스템을 도시한다.
도 2c는 본 발명의 일 실시형태에 따른, 반도체 기판 프로세싱 시스템을 도시한다.
도 2d는 본 발명의 일 실시형태에 따른, 이온 추출을 향상시키기 위한 에너자이징된 유출구 영역을 갖는 제 2 플라즈마 챔버의 변형을 도시한다.
도 2e는 본 발명의 일 실시형태에 따른, 제 1 및 제 2 플라즈마 챔버들이 유전체 재료에 의해 분리되는 시스템의 변형을 도시한다.
도 2fa는 본 발명의 일 실시형태에 따른, 제 1 및 제 2 플라즈마 챔버들의 전력 전달 컴포넌트들이 제 1 및 제 2 플라즈마 챔버들 내의 측벽들 상에 배치된 전극들로서 구현되는 도 2a의 시스템의 다른 변형을 도시한다.
도 2fb는 본 발명의 일 실시형태에 따른, 제 1 및 제 2 플라즈마 챔버들의 전력 전달 컴포넌트들이 제 1 및 제 2 플라즈마 챔버들 내의 상부 및 하부 표면들 상에 배치된 전극들로서 구현되는 도 2a의 시스템의 또 다른 변형을 도시한다.
도 2g는 본 발명의 일 실시형태에 따른, 제 1 및 제 2 플라즈마 챔버들의 전력 전달 컴포넌트들이 제 1 및 제 2 플라즈마 챔버들에 근접하게 배치된 코일들로서 구현되는 도 2a의 시스템의 또 다른 변형을 도시한다.
도 3a는 본 발명의 일 실시형태에 따른, 반도체 기판 프로세싱 시스템의 수직 단면을 도시한다.
도 3b는 본 발명의 일 실시형태에 따른, 도 3a에서 참조된 바와 같은 수평 단면도 A-A를 도시한다.
도 3c는 본 발명의 일 실시형태에 따른, 상단 플레이트 어셈블리에 걸친 제 1 및 제 2 플라즈마 마이크로챔버들 사이의 간격이 감소하는 도 3b의 수평 단면도의 변형을 도시한다.
도 3d는 본 발명의 일 실시형태에 따른, 상단 플레이트 어셈블리에 걸친 제 1 및 제 2 플라즈마 마이크로챔버들 사이의 간격이 증가하는 도 3b의 수평 단면도의 변형을 도시한다.
도 3e는 본 발명의 일 실시형태에 따른, 상단 플레이트 어셈블리에 걸친 제 1 및 제 2 플라즈마 마이크로챔버들 사이의 간격이 비균일한 도 3b의 수평 단면도의 변형을 도시한다.
도 4a는 본 발명의 일 실시형태에 따른, 기판 플라즈마 프로세싱을 위한 다른 시스템을 도시한다.
도 4b는 본 발명의 일 실시형태에 따른, 도 4a에서 참조된 바와 같은 수평 단면도 B-B를 도시한다.
도 4c는 본 발명의 일 실시형태에 따른, 상단 플레이트 어셈블리에 걸친 제 1 및 제 2 플라즈마 챔버들과 연관된 플라즈마 포트들 사이의 간격이 감소되는 도 4b의 수평 단면도의 변형을 도시한다.
도 4d는 본 발명의 일 실시형태에 따른, 상단 플레이트 어셈블리에 걸친 제 1 및 제 2 플라즈마 챔버들과 연관된 플라즈마 포트들 사이의 간격이 증가되는 도 4b의 수평 단면도의 변형을 도시한다.
도 4e는 본 발명의 일 실시형태에 따른, 상단 플레이트 어셈블리에 걸친 제 1 및 제 2 플라즈마 챔버들과 연관된 플라즈마 포트들 사이의 간격이 비균일한 도 4b의 수평 단면도의 변형을 도시한다.
도 5a는 본 발명의 일 실시형태에 따른, 기판 플라즈마 프로세싱을 위한 다른 시스템을 도시한다.
도 5b는 본 발명의 일 실시형태에 따른, 도 5a에서 참조된 바와 같은 수평 단면도 C-C를 도시한다.
도 5c는 본 발명의 일 실시형태에 따른, 상단 플레이트 어셈블리의 하부 표면에 걸친 제 1 및 제 2 세트들의 플라즈마 마이크로챔버들 사이의 간격이 감소되는 도 5b의 수평 단면도의 변형을 도시한다.
도 5d는 본 발명의 일 실시형태에 따른, 상단 플레이트 어셈블리의 하부 표면에 걸친 제 1 및 제 2 세트들의 플라즈마 마이크로챔버들 사이의 간격이 증가되는 도 5b의 수평 단면도의 변형을 도시한다.
도 5e는 본 발명의 일 실시형태에 따른, 상단 플레이트 어셈블리의 하부 표면에 걸친 제 1 및 제 2 세트들의 플라즈마 마이크로챔버들 사이의 간격이 비균일한 도 5b의 수평 단면도의 변형을 도시한다.
도 6은 본 발명의 일 실시형태에 따른, 반도체 기판을 프로세싱하기 위한 방법의 흐름도를 도시한다.
도 7은 본 발명의 일 실시형태에 따른, 반도체 기판을 프로세싱하기 위한 방법의 흐름도를 도시한다.
1 illustrates the relationships between ion concentration and radical concentration achievable using the use of multiple plasma chambers in an exposure to a common substrate processing region, according to one embodiment of the invention.
2A illustrates a semiconductor substrate processing system, in accordance with an embodiment of the present invention.
2B illustrates a semiconductor substrate processing system, in accordance with an embodiment of the present invention.
2C illustrates a semiconductor substrate processing system, in accordance with an embodiment of the present invention.
FIG. 2D illustrates a variation of the second plasma chamber having an energized outlet region for enhancing ion extraction, in accordance with an embodiment of the present invention.
2E illustrates a variation of a system in which the first and second plasma chambers are separated by a dielectric material, in accordance with an embodiment of the present invention.
FIG. 2F is another variation of the system of FIG. 2A in which power delivery components of the first and second plasma chambers are implemented as electrodes disposed on sidewalls in the first and second plasma chambers, in accordance with an embodiment of the present invention; To show.
FIG. 2FB is the system of FIG. 2A in which power delivery components of the first and second plasma chambers are implemented as electrodes disposed on upper and lower surfaces in the first and second plasma chambers, in accordance with an embodiment of the present invention. Another variant of is shown.
FIG. 2G illustrates another variation of the system of FIG. 2A in which power delivery components of the first and second plasma chambers are implemented as coils disposed proximate the first and second plasma chambers, in accordance with an embodiment of the present invention. Illustrated.
3A illustrates a vertical cross section of a semiconductor substrate processing system, in accordance with an embodiment of the present invention.
FIG. 3B shows a horizontal cross sectional view AA as referenced in FIG. 3A, in accordance with an embodiment of the present invention.
FIG. 3C illustrates a variation of the horizontal cross-sectional view of FIG. 3B in which the spacing between the first and second plasma microchambers across the top plate assembly is reduced, according to one embodiment of the invention.
FIG. 3D illustrates a variation of the horizontal cross sectional view of FIG. 3B with increasing spacing between the first and second plasma microchambers across the top plate assembly, according to one embodiment of the invention.
FIG. 3E illustrates a variation of the horizontal cross-sectional view of FIG. 3B in which the spacing between the first and second plasma microchambers across the top plate assembly is non-uniform, in accordance with an embodiment of the present invention.
4A illustrates another system for substrate plasma processing, in accordance with an embodiment of the present invention.
4B illustrates a horizontal cross sectional view BB as referenced in FIG. 4A, in accordance with an embodiment of the present invention.
4C illustrates a variation of the horizontal cross-sectional view of FIG. 4B in which the spacing between the plasma ports associated with the first and second plasma chambers across the top plate assembly is reduced, in accordance with one embodiment of the present invention.
4D illustrates a variation of the horizontal cross-sectional view of FIG. 4B in which the spacing between the plasma ports associated with the first and second plasma chambers across the top plate assembly is increased according to one embodiment of the present invention.
4E illustrates a variation of the horizontal cross-sectional view of FIG. 4B in which the spacing between the plasma ports associated with the first and second plasma chambers across the top plate assembly is non-uniform, in accordance with an embodiment of the present invention.
5A illustrates another system for substrate plasma processing, in accordance with an embodiment of the present invention.
5B illustrates a horizontal cross sectional view CC as referenced in FIG. 5A, in accordance with an embodiment of the present invention.
FIG. 5C illustrates a variation of the horizontal cross-sectional view of FIG. 5B in which the spacing between the first and second sets of plasma microchambers across the bottom surface of the top plate assembly is reduced, in accordance with an embodiment of the present invention.
FIG. 5D illustrates a variation of the horizontal cross-sectional view of FIG. 5B in which the spacing between the first and second sets of plasma microchambers across the bottom surface of the top plate assembly is increased in accordance with one embodiment of the present invention.
FIG. 5E illustrates a variation of the horizontal cross-sectional view of FIG. 5B in which the spacing between the first and second sets of plasma microchambers across the bottom surface of the top plate assembly is non-uniform, in accordance with an embodiment of the present invention.
6 shows a flowchart of a method for processing a semiconductor substrate, in accordance with an embodiment of the present invention.
7 shows a flowchart of a method for processing a semiconductor substrate, in accordance with an embodiment of the present invention.

다음의 설명에서, 다수의 특정한 세부사항들은 본 발명의 완전한 이해를 제공하기 위해 기재된다. 그러나, 본 발명이 이들 특정한 세부사항들 중 일부 또는 전부 없이 실시될 수도 있음은 당업자에게 명백할 것이다. 다른 예시들에서, 잘 알려진 프로세스 동작들은 본 발명을 불필요하게 불명료하게 하지 않기 위해 상세히 설명되지 않는다.In the following description, numerous specific details are set forth in order to provide a thorough understanding of the present invention. However, it will be apparent to one skilled in the art that the present invention may be practiced without some or all of these specific details. In other instances, well-known process operations are not described in detail in order not to unnecessarily obscure the present invention.

본 발명의 다양한 실시형태들은, 2개 이상의 타입들의 플라즈마 생성 디바이스들이 유동으로 (fluidly) 접속되는 플라즈마 프로세싱 영역 내에서 이온 및 라디컬 농도들의 디커플링된 제어를 달성하기 위해 별개의 제어 파라미터들을 사용하여 독립적으로 동작될 수 있는 플라즈마 챔버들과 같은 2개 이상의 타입들의 플라즈마 생성 디바이스들을 포함하며, 프로세싱될 기판은 플라즈마 프로세싱 영역 내에 배치된다. 예를 들어, 일 실시형태에서, 제 1 플라즈마 챔버는 이온 농도보다 더 높은 라디컬 농도를 갖는 제 1 플라즈마를 생성하도록 동작될 수 있다. 또한, 이러한 예시적인 실시형태에서, 제 2 플라즈마 챔버는, 라디컬 농도보다 더 높은 이온 농도를 갖는 제 2 플라즈마를 생성하도록 동작될 수 있다. 제 1 및 제 2 플라즈마 챔버들은 동일한 기판 프로세싱 영역에 유동적으로 접속되므로, 제 1 플라즈마 챔버는 기판 프로세싱 영역 내의 라디컬 성분들의 양을 제어하도록 동작되고, 제 2 플라즈마 챔버는 기판 프로세싱 영역 내의 이온 성분들의 양을 제어하도록 동작된다. 이러한 방식으로, 제 1 플라즈마 챔버는 기판 프로세싱 영역에서 이온 농도를 튜닝하도록 제어되고, 제 2 플라즈마 챔버는 기판 프로세싱 영역에서 라디컬 농도를 튜닝하도록 제어된다.Various embodiments of the invention are independent using separate control parameters to achieve decoupled control of ion and radical concentrations within a plasma processing region in which two or more types of plasma generation devices are fluidly connected. And two or more types of plasma generating devices, such as plasma chambers, which can be operated with a substrate, wherein the substrate to be processed is disposed within a plasma processing region. For example, in one embodiment, the first plasma chamber can be operated to produce a first plasma having a radical concentration higher than the ion concentration. In addition, in this exemplary embodiment, the second plasma chamber may be operated to produce a second plasma having an ion concentration higher than the radical concentration. Since the first and second plasma chambers are fluidly connected to the same substrate processing region, the first plasma chamber is operated to control the amount of radical components in the substrate processing region, and the second plasma chamber is configured to control the amount of ionic components in the substrate processing region. It is operated to control the amount. In this way, the first plasma chamber is controlled to tune the ion concentration in the substrate processing region and the second plasma chamber is controlled to tune the radical concentration in the substrate processing region.

일 실시형태에서, 여기에 사용된 바와 같이, "기판" 이라는 용어는 반도체 웨이퍼를 지칭한다. 그러나, 다른 실시형태들에서, 여기에 사용된 바와 같은 "기판" 이라는 용어가 사파이어, GaN, GaAs 또는 SiC, 또는 다른 기판 재료들로 형성된 기판들을 지칭할 수 있고, 유리 패널들/기판들, 금속 포일들, 금속 시트들, 폴리머 재료들 등을 포함할 수 있음을 이해해야 한다. 또한, 다양한 실시형태들에서, 여기에 지칭되는 바와 같은 "기판" 이라는 용어는 형태, 형상, 및/또는 사이즈에서 변할 수도 있다. 예를 들어, 몇몇 실시형태들에서, 여기에 지칭되는 바와 같은 "기판" 은 200mm(밀리미터) 반도체 웨이퍼, 300mm 반도체 웨이퍼, 또는 450mm 반도체 웨이퍼에 대응할 수도 있다. 또한, 몇몇 실시형태들에서, 여기에 지칭되는 바와 같은 "기판" 은 다른 형상들 중에서, 평판 디스플레이 등에 대한 직사각형 기판과 같은 비-원형 기판에 대응할 수도 있다. 여기에 지칭되는 "기판" 은 기판 (105) 로서 다양한 예시적인 실시형태의 도면들에 도시되어 있다.In one embodiment, as used herein, the term "substrate" refers to a semiconductor wafer. However, in other embodiments, the term “substrate” as used herein may refer to substrates formed of sapphire, GaN, GaAs or SiC, or other substrate materials, and may include glass panels / substrates, metal It should be understood that the foils may include foils, metal sheets, polymeric materials, and the like. In various embodiments, the term “substrate” as referred to herein may also vary in form, shape, and / or size. For example, in some embodiments, a “substrate” as referred to herein may correspond to a 200 mm (millimeter) semiconductor wafer, a 300 mm semiconductor wafer, or a 450 mm semiconductor wafer. In addition, in some embodiments, a “substrate” as referred to herein, may correspond to, among other shapes, a non-circular substrate, such as a rectangular substrate for a flat panel display or the like. A “substrate”, as referred to herein, is shown in the drawings of various exemplary embodiments as the substrate 105.

공통 기판 프로세싱 영역에 반응성 성분들을 제공하기 위한 다수의 플라즈마 챔버들의 독립적인 동작은, 공통 기판 프로세싱 영역 내의 라디컬 농도에 관한 이온 농도의 실질적으로 디커플링된 조정을 제공한다. 다양한 실시형태들에서, 다수의 플라즈마 챔버들 내의 상이한 타입들의 플라즈마들의 생성은, 다수의 플라즈마 챔버들과 연관된 전력 공급부들 및/또는 가스 공급부들의 독립적인 제어를 통해 달성된다. 또한, 몇몇 실시형태들에서, 다수의 플라즈마 챔버들의 출력들은 기판 프로세싱 영역과 유체 통신되게 공간 어레이로 배치될 수 있다. 기판 프로세싱 영역 내의 기판의 실질적으로 균일한 프로세싱에 영향을 주기 위해, 다수의 플라즈마 챔버들 내에 형성된 상이한 타입들의 플라즈마들의 상이한 반응성 성분들이 실질적으로 균일한 방식으로 기판 프로세싱 영역에 공급되도록, 다수의 플라즈마 챔버들의 출력들은 서로 산재되고 서로 충분히 근접하게 이격될 수 있다.Independent operation of the multiple plasma chambers to provide reactive components to the common substrate processing region provides a substantially decoupled adjustment of the ion concentration relative to the radical concentration within the common substrate processing region. In various embodiments, the generation of different types of plasmas in the plurality of plasma chambers is achieved through independent control of power supplies and / or gas supplies associated with the plurality of plasma chambers. Further, in some embodiments, the outputs of the multiple plasma chambers can be placed in a spatial array in fluid communication with the substrate processing region. Multiple plasma chambers such that different reactive components of different types of plasmas formed in the multiple plasma chambers are supplied to the substrate processing region in a substantially uniform manner to affect substantially uniform processing of the substrate in the substrate processing region. Outputs are interspersed with each other and can be spaced close enough to each other.

도 1은 본 발명의 일 실시형태에 따른, 공통 기판 프로세싱 영역에 대한 노출부에서 다수의 플라즈마 챔버들의 사용으로 달성가능한 이온 농도와 라디컬 농도 사이의 관계를 도시한다. 제 1 라인 (301) 은 공통 기판 프로세싱 영역에 유체 접속된 제 1 플라즈마 챔버에서 생성되는 제 1 플라즈마 내의 이온 농도 대 라디컬 농도의 변형을 도시한다. 이러한 예에서, 제 1 플라즈마는 이온 농도보다 높은 라디컬 농도를 갖는다. 제 2 라인 (303) 은, 공통 기판 프로세싱 영역에 유체 접속된 제 2 플라즈마 챔버에서 생성되는 제 2 플라즈마에서의 이온 농도 대 라디컬 농도의 변형을 도시한다. 이러한 예에서, 제 2 플라즈마는 라디컬 농도보다 높은 이온 농도를 갖는다. 따라서, 제 1 플라즈마는 기판 프로세싱 영역에 라디컬 성분들을 주로 공급하도록 생성되고, 제 2 플라즈마는 기판 프로세싱 영역에 이온 성분들을 주로 공급하도록 생성된다.1 illustrates the relationship between ion concentration and radical concentration achievable with the use of multiple plasma chambers in an exposure to a common substrate processing region, according to one embodiment of the invention. The first line 301 shows a variation of the ion concentration versus radical concentration in the first plasma generated in the first plasma chamber fluidly connected to the common substrate processing region. In this example, the first plasma has a higher radical concentration than the ion concentration. The second line 303 shows a variation of the ion concentration versus radical concentration in the second plasma generated in the second plasma chamber fluidly connected to the common substrate processing region. In this example, the second plasma has an ion concentration higher than the radical concentration. Thus, the first plasma is generated to mainly supply radical components to the substrate processing region, and the second plasma is generated to mainly supply ionic components to the substrate processing region.

제 1 및 제 2 플라즈마 챔버들의 독립적인 제어를 통해, 제 1 라인 (301) 과 제 2 라인 (303) 사이에서 연장하는 도메인 내의 본질적으로 임의의 이온 농도 대 라디컬 농도는 기판 프로세싱 영역 내에서 달성가능하다. 예를 들어, 제 2 플라즈마 챔버는 기판 프로세싱 영역 내의 제 1 이온-대-라디컬 농도 비율 (305) 을 공급하도록 단독으로 동작될 수 있다. 함께 사용된 경우, 제 1 플라즈마 챔버는 기판 프로세싱 영역 내의 라디컬 농도를 증가시키도록 동작될 수 있지만, 제 2 플라즈마 챔버는 기판 프로세싱 영역 내의 실질적으로 정상상태 (steady) 인 이온 농도를 유지시키도록 동작되며, 그에 의해, 제 1 또는 제 2 플라즈마 챔버 단독으로 달성가능하지 않은 기판 프로세싱 영역 내의 제 2 이온-대-라디컬 농도 비율 (307) 을 생성한다. 유사하게, 함께 사용된 경우, 제 2 플라즈마 챔버는 기판 프로세싱 영역 내의 이온 농도를 감소시키도록 동작될 수 있지만, 제 1 플라즈마 챔버는 기판 프로세싱 영역 내의 실질적으로 정상상태인 라디컬 농도를 유지시키도록 동작되며, 그에 의해, 제 1 또는 제 2 플라즈마 챔버 단독으로 달성가능하지 않은 기판 프로세싱 영역 내의 제 3 이온-대-라디컬 농도 비율 (309) 을 생성한다.Through independent control of the first and second plasma chambers, essentially any ion concentration versus radical concentration in the domain extending between the first line 301 and the second line 303 is achieved within the substrate processing region. It is possible. For example, the second plasma chamber may be operated alone to supply the first ion-to-radical concentration ratio 305 in the substrate processing region. When used together, the first plasma chamber may be operated to increase the radical concentration in the substrate processing region, while the second plasma chamber may be operated to maintain a substantially steady ion concentration in the substrate processing region. Thereby creating a second ion-to-radical concentration ratio 307 in the substrate processing region that is not achievable by the first or second plasma chamber alone. Similarly, when used together, the second plasma chamber may be operated to reduce ion concentration in the substrate processing region, while the first plasma chamber may be operated to maintain a substantially steady radical concentration in the substrate processing region. Thereby creating a third ion-to-radical concentration ratio 309 in the substrate processing region that is not achievable by the first or second plasma chamber alone.

도 1에 관해 추가적으로, 플라즈마 챔버는 기판 프로세싱 영역 내의 제 4 이온-대-라디컬 농도 비율 (311) 을 공급하도록 단독으로 동작될 수 있다. 함께 사용된 경우, 제 2 플라즈마 챔버는 기판 프로세싱 영역 내의 이온 농도를 증가시키도록 동작될 수 있지만, 제 1 플라즈마 챔버는 기판 프로세싱 영역 내의 실질적으로 정상상태인 라디컬 농도를 유지시키도록 동작되며, 그에 의해, 제 1 또는 제 2 플라즈마 챔버 단독으로 달성가능하지 않은 기판 프로세싱 영역 내의 제 5 이온-대-라디컬 농도 비율 (313) 을 생성한다. 유사하게, 함께 사용된 경우, 제 1 플라즈마 챔버는 기판 프로세싱 영역 내의 라디컬 농도를 감소시키도록 동작될 수 있지만, 제 2 플라즈마 챔버는 기판 프로세싱 영역 내의 실질적으로 정상상태인 이온 농도를 유지시키도록 동작되며, 그에 의해, 제 1 또는 제 2 플라즈마 챔버 단독으로 달성가능하지 않은 기판 프로세싱 영역 내의 제 6 이온-대-라디컬 농도 비율 (315) 을 생성한다.In addition to FIG. 1, the plasma chamber may be operated alone to supply a fourth ion-to-radical concentration ratio 311 in the substrate processing region. When used together, the second plasma chamber can be operated to increase the ion concentration in the substrate processing region, while the first plasma chamber is operated to maintain a substantially steady radical concentration in the substrate processing region, Thereby creating a fifth ion-to-radical concentration ratio 313 in the substrate processing region that is not achievable with the first or second plasma chamber alone. Similarly, when used together, the first plasma chamber may be operated to reduce the radical concentration in the substrate processing region, while the second plasma chamber may be operated to maintain a substantially steady ion concentration in the substrate processing region. Thereby creating a sixth ion-to-radical concentration ratio 315 in the substrate processing region that is not achievable by the first or second plasma chamber alone.

전술한 것에 기초하여, 본 발명의 일 실시형태에서, 다수의 독립적으로 제어된 플라즈마 챔버들이, 단일 플라즈마 챔버 단독의 동작을 통해 달성가능하지 않은 기판 프로세싱 영역 내의 이온-대-라디컬 농도 비율들을 제공하기 위하여, 공통 기판 프로세싱 영역에 반응성 성분들을 공급하는데 사용됨을 이해해야 한다. 도 1에 관한 설명에 기초하여, 다수의 플라즈마들의 반응성 성분들이 결합된 경우, 상당히 상이한 이온-대-라디컬 농도 비율들을 갖는 다수의 플라즈마들의 생성이 기판 프로세싱 영역 내의 이온-대-라디컬 농도 비율의 더 광범위한 범위를 제공함을 추가적으로 인식해야 한다. 단일 플라즈마 챔버 단독으로 달성가능하지 않은 기판 프로세싱 영역 내의 반응성 성분들의 결합을 생성하기 위해 다수의 독립적으로 제어된 플라즈마 챔버들로부터의 반응성 성분 출력들의 공간 결합을 제공하는 다수의 반도체 기판 프로세싱 시스템들이 여기에 기재된다.Based on the foregoing, in one embodiment of the present invention, multiple independently controlled plasma chambers provide ion-to-radical concentration ratios in the substrate processing region that are not achievable through operation of a single plasma chamber alone. In order to understand this, it should be understood that it is used to supply reactive components to the common substrate processing region. Based on the description with respect to FIG. 1, when the reactive components of multiple plasmas are combined, the generation of multiple plasmas with significantly different ion-to-radical concentration ratios results in an ion-to-radical concentration ratio in the substrate processing region. It should be further recognized that it provides a broader range of. There are a number of semiconductor substrate processing systems here providing spatial coupling of reactive component outputs from multiple independently controlled plasma chambers to create a combination of reactive components in a substrate processing region that is not achievable with a single plasma chamber alone. Described.

도 2a는 본 발명의 일 실시형태에 따른, 반도체 기판 프로세싱 시스템 (200A) 을 도시한다. 시스템 (200A) 은 프로세싱 영역 (106) 에 대한 노출부에서 기판 (105) 을 지지하도록 정의된 기판 지지부 (107) 를 포함한다. 시스템 (200A) 은 또한, 제 1 플라즈마 (101A) 를 생성하고, 제 1 플라즈마 (101A) 의 반응성 성분들 (108A) 을 제 1 플라즈마 챔버 (101) 내의 개구를 통해 프로세싱 영역 (106) 으로 공급하도록 정의된 제 1 플라즈마 챔버 (101) 를 포함한다. 시스템 (200A) 은 또한, 제 2 플라즈마 (102A) 를 생성하고, 제 2 플라즈마 (102A) 의 반응성 성분들 (108B) 을 제 2 플라즈마 챔버 (102) 내의 개구를 통해 프로세싱 영역 (106) 으로 공급하도록 정의된 제 2 플라즈마 챔버 (102) 를 포함한다. 제 1 플라즈마 챔버 (101) 및 제 2 플라즈마 챔버 (102) 는 독립적으로 제어되도록 정의된다.2A illustrates a semiconductor substrate processing system 200A, in accordance with an embodiment of the present invention. System 200A includes a substrate support 107 defined to support substrate 105 at an exposure to processing region 106. System 200A also generates a first plasma 101A and supplies reactive components 108A of the first plasma 101A to the processing region 106 through an opening in the first plasma chamber 101. A first plasma chamber 101 defined. The system 200A also generates a second plasma 102A and supplies reactive components 108B of the second plasma 102A to the processing region 106 through an opening in the second plasma chamber 102. A second plasma chamber 102 defined. The first plasma chamber 101 and the second plasma chamber 102 are defined to be controlled independently.

더 상세하게, 제 1 플라즈마 챔버 (101) 는 제 1 전력 공급부 (103A) 에 전기적으로 접속된다. 제 1 전력 공급부 (103A) 는 제 1 전력을 제 1 플라즈마 챔버 (101) 에 공급하도록 정의된다. 제 1 플라즈마 챔버 (101) 는 또한, 제 1 프로세스 가스를 제 1 플라즈마 챔버 (101) 에 공급하도록 정의된 제 1 프로세스 가스 공급부 (104A) 에 유동적으로 접속된다. 제 1 플라즈마 챔버 (101) 는 제 1 플라즈마 챔버 (101) 내에서 제 1 플라즈마 (101A) 를 생성하도록 제 1 프로세스 가스에 제 1 전력을 인가하도록 정의된다.More specifically, the first plasma chamber 101 is electrically connected to the first power supply 103A. The first power supply 103A is defined to supply the first power to the first plasma chamber 101. The first plasma chamber 101 is also fluidly connected to a first process gas supply 104A defined to supply the first process gas to the first plasma chamber 101. The first plasma chamber 101 is defined to apply first power to the first process gas to generate the first plasma 101A in the first plasma chamber 101.

제 2 플라즈마 챔버 (102) 는 제 2 전력 공급부 (103B) 에 전기적으로 접속된다. 제 2 전력 공급부 (103B) 는 제 2 플라즈마 챔버 (102) 에 제 2 전력을 공급하도록 정의된다. 제 2 플라즈마 챔버 (102) 는 또한, 제 2 플라즈마 챔버 (102) 에 제 2 프로세스 가스를 공급하도록 정의된 제 2 프로세스 가스 공급부 (104B) 에 유동적으로 접속된다. 제 2 플라즈마 챔버 (102) 는 제 2 플라즈마 챔버 (102) 내에서 제 2 플라즈마 (102A) 를 생성하도록 제 2 프로세스 가스에 제 2 전력을 인가하도록 정의된다.The second plasma chamber 102 is electrically connected to the second power supply 103B. The second power supply 103B is defined to supply second power to the second plasma chamber 102. The second plasma chamber 102 is also fluidly connected to a second process gas supply 104B defined to supply a second process gas to the second plasma chamber 102. The second plasma chamber 102 is defined to apply a second power to the second process gas to produce the second plasma 102A in the second plasma chamber 102.

인가된 전력 및 사용된 프로세스 가스에 의존하여, 제 1 및 제 2 플라즈마 챔버들 (101/102) 이 상당히 상이한 타입들의 플라즈마들 (101A/102A) 을 생성할 수 있음을 이해해야 한다. 일 실시형태에서, 제 1 및 제 2 전력 공급부들 (103A/103B) 은 독립적으로 제어가능하다. 또한, 일 실시형태에서, 제 1 및 제 2 프로세스 가스 공급부들 (104A/104B) 은 독립적으로 제어가능하다. 그리고, 다른 실시형태에서, 제 1 및 제 2 전력 공급부들 (103A/103B) 및 제 1 및 제 2 프로세스 가스 공급부들 (104A/104B) 은 독립적으로 제어가능하다.It should be understood that, depending on the applied power and the process gas used, the first and second plasma chambers 101/102 can generate significantly different types of plasmas 101A / 102A. In one embodiment, the first and second power supplies 103A / 103B are independently controllable. Also, in one embodiment, the first and second process gas supplies 104A / 104B are independently controllable. And in another embodiment, the first and second power supplies 103A / 103B and the first and second process gas supplies 104A / 104B are independently controllable.

제 1 및 제 2 프로세스 가스 공급부들 (104A/104B) 의 독립적인 제어가 본질적으로 임의의 다른 프로세스 가스 관련 파라미터 중에서, 가스 타입/혼합물, 가스 유동율, 가스 온도, 및 가스 압력 중 하나 이상에 관한 것일 수 있음을 이해해야 한다. 또한, 제 1 및 제 2 전력 공급부들 (103A/103B) 의 독립적인 제어가 본질적으로 임의의 다른 전력 관련 파라미터 중에서, 무선주파수 (RF) 진폭, RF 주파수, 전압 레벨, 및 전류 레벨 중 하나 이상에 관한 것일 수 있음을 이해해야 한다.Independent control of the first and second process gas supplies 104A / 104B is essentially related to one or more of the gas type / mixture, gas flow rate, gas temperature, and gas pressure, among any other process gas related parameters. It should be understood. In addition, independent control of the first and second power supplies 103A / 103B is essentially dependent on one or more of radio frequency (RF) amplitude, RF frequency, voltage level, and current level, among any other power related parameters. It should be understood that it may be about.

일 실시형태에서, 제 1 전력 공급부 (103A) 에 의해 제 1 플라즈마 챔버 (101) 에 공급된 제 1 전력은, 직류 (DC) 전력, RF 전력, 또는 DC 및 RF 전력의 조합 중 어느 하나이다. 유사하게, 일 실시형태에서, 제 2 전력 공급부 (103B) 에 의해 제 2 플라즈마 챔버 (102) 로 공급된 제 2 전력은 DC 전력, RF 전력, 또는 DC 및 RF 전력의 조합 중 어느 하나이다. 일 실시형태에서, 제 1 전력 공급부 (103A) 에 의해 제 1 플라즈마 챔버 (101) 로 공급된 제 1 전력은, 2메가헤르츠 (MHz), 27MHz, 60MHz, 400킬로헤르츠 (kHz), 또는 이들의 조합의 주파수를 갖는 RF 전력이고, 제 2 전력 공급부 (103B) 에 의해 제 2 플라즈마 챔버 (102) 로 공급된 제 2 전력은 2MHz, 27MHz, 60MHz, 400kHz, 또는 이들의 조합 중 어느 하나의 주파수를 갖는 RF 전력이다. 이러한 실시형태의 일 버전에서, 제 1 및 제 2 전력들의 주파수들은 상이하다. 그러나, 이러한 실시형태의 다른 버전에서, 제 1 및 제 2 전력들의 주파수들은, 제 1 및 제 2 플라즈마 챔버들 (101/102) 에 공급된 프로세스 가스들이 제 1 및 제 2 플라즈마들 (101A/102A) 사이의 차이를 제공하면, 동일할 수 있다.In one embodiment, the first power supplied to the first plasma chamber 101 by the first power supply 103A is any one of direct current (DC) power, RF power, or a combination of DC and RF power. Similarly, in one embodiment, the second power supplied by the second power supply 103B to the second plasma chamber 102 is either DC power, RF power, or a combination of DC and RF power. In one embodiment, the first power supplied by the first power supply 103A to the first plasma chamber 101 is 2 megahertz (MHz), 27 MHz, 60 MHz, 400 kilohertz (kHz), or their RF power having a combination of frequencies, and the second power supplied by the second power supply 103B to the second plasma chamber 102 may be a frequency of any one of 2 MHz, 27 MHz, 60 MHz, 400 kHz, or a combination thereof. RF power to have. In one version of this embodiment, the frequencies of the first and second powers are different. However, in another version of this embodiment, the frequencies of the first and second powers are such that the process gases supplied to the first and second plasma chambers 101/102 are not the first and second plasmas 101A / 102A. Providing the difference between) may be the same.

제 1 및 제 2 플라즈마 챔버들 (101/102) 에 인가된 전력의 타입은 사용된 플라즈마 챔버의 타입에 부분적으로 의존한다. 몇몇 예시적인 실시형태들에서, 제 1 및 제 2 플라즈마 챔버들 (101/102) 의 각각은 중공 캐소드 챔버, 전자 사이클로트론 공진 챔버, 또는 마이크로파 구동 챔버, 또는 유도성 커플링된 챔버, 또는 용량성 커플링된 챔버 중 어느 하나이다. 또한, 일 실시형태에서, 제 1 및 제 2 플라즈마 챔버들 (101/102) 은 동일한 타입의 플라즈마 챔버이다. 그러나, 다른 실시형태에서, 제 1 및 제 2 플라즈마 챔버들 (101/102) 은 상이한 타입들의 플라즈마 챔버들이다.The type of power applied to the first and second plasma chambers 101/102 depends in part on the type of plasma chamber used. In some exemplary embodiments, each of the first and second plasma chambers 101/102 may be a hollow cathode chamber, an electron cyclotron resonant chamber, or a microwave driven chamber, or inductively coupled chamber, or capacitive coupling. Any one of the ringed chambers. Also, in one embodiment, the first and second plasma chambers 101/102 are plasma chambers of the same type. However, in another embodiment, the first and second plasma chambers 101/102 are different types of plasma chambers.

또한, 상이한 실시형태들에서, 제 1 및 제 2 플라즈마 챔버들 (101/102) 이 상이한 형태들의 전력 전달 컴포넌트들을 포함할 수 있음을 이해해야 한다. 전력 전달 컴포넌트들은 제 1/제 2 플라즈마 챔버 (101/102) 내부에서 프로세스 가스에 전력을 운반하는 것을 담당한다. 예를 들어, 일 실시형태에서, 제 1/제 2 플라즈마 챔버 (101/102) 의 벽들은 전기적으로 도전성이며, 전력 전달 컴포넌트들의 기능을 제공한다. 이러한 실시형태에서, 제 1 및 제 2 플라즈마 챔버들 (101/102) 은, 하나의 플라즈마 챔버 (101/102) 에 전달된 전력이 이웃한 플라즈마 챔버 (101/102) 에 의해 역으로 (adversely) 수신되지 않는다는 것을 보장하기 위해, 유전체 재료 및 도전성 쉴드에 의해 서로 분리될 수 있다. 도 2e는 본 발명의 일 실시형태에 따른, 제 1 및 제 2 플라즈마 챔버들 (101/102) 이 유전체 재료 (150) 사이에 배치된 도전성 쉴드 (151) 에 의해 분리되는 시스템 (200A) 의 변형을 도시한다. 일 실시형태에서, 도전성 쉴드 (151) 는 기준 접지 전위에 전기적으로 접속된다.In addition, it should be understood that in different embodiments, the first and second plasma chambers 101/102 may include different forms of power delivery components. The power delivery components are responsible for delivering power to the process gas inside the first / second plasma chamber 101/102. For example, in one embodiment, the walls of the first / second plasma chambers 101/102 are electrically conductive and provide the functionality of power delivery components. In this embodiment, the first and second plasma chambers 101/102 are reversed by the power delivered to one plasma chamber 101/102 by the neighboring plasma chambers 101/102. To ensure that they are not received, they can be separated from each other by the dielectric material and the conductive shield. 2E illustrates a variation of system 200A in which first and second plasma chambers 101/102 are separated by conductive shield 151 disposed between dielectric material 150, according to one embodiment of the present invention. To show. In one embodiment, the conductive shield 151 is electrically connected to a reference ground potential.

도 2fa 및 도 2fb는, 제 1 및 제 2 플라즈마 챔버들 (101/102) 의 전력 전달 컴포넌트들이 제 1 및 제 2 플라즈마 챔버들 내에 배치된 전극들 (160) 로서 구현되는 도 2a의 시스템 (200A) 의 다른 변형을 도시한다. 도 2fa는, 전극들 (160) 이 제 1 및 제 2 플라즈마 챔버들 (101/102) 의 측벽들 상에 배치된 일 예시적인 실시형태를 도시한다. 도 2fb는, 전극들 (160) 이 제 1 및 제 2 플라즈마 챔버들 (101/102) 의 내부 내의 상부 및 하부 표면들 상에 배치되는 일 예시적인 실시형태를 도시한다. 이러한 실시형태에서, 플라즈마 챔버들 (101/102) 의 내부 내의 상부 표면 상의 전극 (160) 은, 제 1 및 제 2 플라즈마 챔버들 (101/102) 의 내부 볼륨을 갖는 제 1 및 제 2 프로세스 가스 공급부들 (104A/104B) 의 유체 통신을 가능하게 하기 위해 그것을 통해 정의된 하나 이상의 홀들을 포함한다. 또한, 이러한 실시형태에서, 제 1 및 제 2 플라즈마 챔버들 (101/102) 의 내부 내의 하부 표면 상의 전극 (160) 은, 제 1 및 제 2 플라즈마들 (101A/102A) 의 반응성 성분들의 각각의 전달을 가능하게 하기 위해 그것을 통해 정의된 하나 이상의 홀들을 포함한다. 도 2fa 및 도 2fb의 전극들 (160) 의 배치들이 예로서 도시됨을 이해해야 한다. 다른 실시형태들에서, 전극들 (160) 은 제 1/제 2 플라즈마 챔버 (101/102) 의 플라즈마 생성 볼륨 내의 임의의 하나의 표면들 상에 배치될 수 있다.2fa and 2fb show the system 200A of FIG. 2A in which power delivery components of the first and second plasma chambers 101/102 are implemented as electrodes 160 disposed in the first and second plasma chambers. Another variation of) is shown. 2F shows one exemplary embodiment in which electrodes 160 are disposed on sidewalls of the first and second plasma chambers 101/102. 2FB shows one exemplary embodiment in which electrodes 160 are disposed on upper and lower surfaces within the interior of the first and second plasma chambers 101/102. In this embodiment, the electrode 160 on the upper surface in the interior of the plasma chambers 101/102 has a first and second process gas having an internal volume of the first and second plasma chambers 101/102. One or more holes defined therethrough to enable fluid communication of the supplies 104A / 104B. Furthermore, in this embodiment, the electrode 160 on the lower surface in the interior of the first and second plasma chambers 101/102 is characterized by the effect of each of the reactive components of the first and second plasmas 101 A / 102 A. It includes one or more holes defined through it to enable delivery. It should be understood that the arrangements of the electrodes 160 of FIGS. 2fa and 2fb are shown by way of example. In other embodiments, the electrodes 160 may be disposed on any one surfaces in the plasma generation volume of the first / second plasma chamber 101/102.

도 2g는 본 발명의 일 실시형태에 따른, 제 1 및 제 2 플라즈마 챔버들 (101/102) 의 전력 전달 컴포넌트들이 제 1 및 제 2 플라즈마 챔버들 (101/102) 에 근접하게 배치된 코일들 (170) 로서 구현되는 도 2a의 시스템 (200A) 의 다른 변형을 도시한다. 도 2g의 코일들 (170) 의 상단 배치가 예로서 도시됨을 이해해야 한다. 다른 실시형태들에서, 코일들 (170) 은 제 1/제 2 플라즈마 챔버 (101/102) 의 임의의 하나 이상의 외부 표면들에 근접하게 배치될 수 있다. 도 2a, 2e, 2f, 및 2g의 상이한 전력 전달 컴포넌트 실시형태들이 예로서 도시됨을 이해해야 한다. 다른 실시형태들에서, 제 1 및 제 2 플라즈마 챔버들 (101/102) 은 도 2a, 2e, 2f, 및 2g에 예시되는 것과는 상이한 전력 전달 컴포넌트들을 구현할 수 있다.2G shows coils in which power delivery components of the first and second plasma chambers 101/102 are disposed proximate to the first and second plasma chambers 101/102, according to one embodiment of the invention. Another variation of the system 200A of FIG. 2A implemented as 170 is shown. It should be understood that the top arrangement of the coils 170 of FIG. 2G is shown by way of example. In other embodiments, the coils 170 may be disposed proximate any one or more outer surfaces of the first / second plasma chamber 101/102. It should be understood that the different power delivery component embodiments of FIGS. 2A, 2E, 2F, and 2G are shown by way of example. In other embodiments, the first and second plasma chambers 101/102 may implement different power delivery components than those illustrated in FIGS. 2A, 2E, 2F, and 2G.

전술한 것이 주어지면, 하나의 플라즈마가 라디컬들에 비해 더 높은 농도의 이온들을 제공하고, 다른 플라즈마가 이온들에 비해 더 높은 농도의 라디컬들을 제공하는 조건을 달성하기 위해 제 1 및 제 2 플라즈마 챔버들 (101/102) 이 상이한 프로세스 가스들 및/또는 상이한 전력들을 사용하여 동작될 수 있음을 이해해야 한다. 또한, 제 1 및 제 2 플라즈마 챔버들 (101/102) 은, 기판 지지부 (107) 위의 프로세싱 영역 (106) 내에서 실질적으로 균일한 방식으로 제 1 및 제 2 플라즈마들 (101A/102A) 의 반응성 성분들 (108A/108B) 을 각각 분배하도록 정의된다.Given the foregoing, the first and the second to achieve conditions in which one plasma provides higher concentrations of ions relative to radicals and the other plasma provides higher concentrations of radicals than ions. It should be understood that the plasma chambers 101/102 may be operated using different process gases and / or different powers. In addition, the first and second plasma chambers 101/102 may be configured to provide the first and second plasma chambers 101 / 102A in a substantially uniform manner within the processing region 106 above the substrate support 107. It is defined to dispense the reactive components 108A / 108B, respectively.

일 실시형태에서, 제 1 및 제 2 플라즈마 챔버들 (101/102) 은 약 1 토르 (T) 까지의 내부 압력들로 동작하도록 정의된다. 또한, 일 실시형태에서, 프로세싱 영역 (106) 은 약 1밀리토르 (mT) 내지 약 100mT 까지 연장하는 압력 범위 내에서 동작된다. 제 1 및 제 2 플라즈마 챔버들 (101/102) 의 유출구들은, 제 1 및 제 2 플라즈마 챔버들 (101/102) 및 프로세싱 영역 (106) 의 내부들 사이에서의 압력 드롭을 제공 및 제어하도록 정의된다. 또한, 필요하다면, 일 실시형태에서, 라디컬 성분들은, 기판 (105) 에 걸친 에칭 생성 분포를 관리하기 위해, 크로스-흐름 (cross-flow) 배열로 제 1 및 제 2 플라즈마 챔버들 (101/102) 중 하나로부터 공급되거나 프로세싱 영역 (106) 내에서 크로스-흐름을 사용할 수 있다.In one embodiment, the first and second plasma chambers 101/102 are defined to operate at internal pressures up to about 1 Torr (T). In addition, in one embodiment, the processing region 106 is operated within a pressure range extending from about 1 millitorr (mT) to about 100 mT. Outlets of the first and second plasma chambers 101/102 are defined to provide and control a pressure drop between the first and second plasma chambers 101/102 and the interiors of the processing region 106. do. Also, if desired, in one embodiment, the radical components are arranged in a cross-flow arrangement in order to manage the etch generation distribution across the substrate 105. Cross-flow may be used from within one of the 102 or within the processing region 106.

일 예시적인 실시형태에서, 시스템 (200A) 은, 약 1000 scc/sec (초당 표준 제곱 센티미터) 의 프로세스 가스 스루풋 유동율, 및 약 10밀리초 (ms) 의 프로세싱 영역 (106) 내의 반응성 성분 (108A/108B) 잔류 시간을 이용하여, 약 10mT의 압력으로 프로세싱 영역 (106) 을 제공하도록 동작된다. 상기 예시적인 동작 조건들이 시스템 (200A) 를 이용하여 달성될 수 있는 본질적으로 제한없는 수의 동작 조건들 중 하나를 나타냄을 이해 및 인식해야 한다. 상기 예시적인 동작 조건들은, 시스템 (200A) 의 가능한 동작 조건들에 관한 임의의 제한을 나타내거나 암시하지 않는다.In one exemplary embodiment, system 200A includes a process gas throughput flow rate of about 1000 scc / sec (standard square centimeters per second), and a reactive component 108A / in processing region 106 of about 10 milliseconds (ms). 108B) using the residence time, is operated to provide the processing region 106 at a pressure of about 10 mT. It should be understood and appreciated that the exemplary operating conditions represent one of an essentially unlimited number of operating conditions that can be achieved using system 200A. The example operating conditions do not indicate or imply any limitation as to the possible operating conditions of the system 200A.

일 실시형태에서, 기판 지지부 (107) 는, 기판 (105) 이 지지될 기판 지지부 (107) 의 상단 표면에 실질적으로 수직한 방향 (110) 으로 이동가능하도록 정의되며, 그에 의해, 프로세스 갭 거리 (113) 의 조정을 가능하게 한다. 프로세스 갭 거리 (113) 는, 기판 지지부 (107) 의 상단 표면과 제 1 및 제 2 플라즈마 챔버들 (101/102) 사이에서 수직으로 연장한다. 일 실시형태에서, 기판 지지부 (107) 는, 프로세스 갭 거리가 약 2cm 로부터 약 10cm까지 연장하는 범위 내에서 조정가능하도록 하는 방향 (110) 으로 이동가능하다. 일 실시형태에서, 기판 지지부 (107) 는 약 5cm의 프로세스 갭 거리 (113) 를 제공하도록 조정된다. 대안적인 실시형태에서, 프로세스 갭 거리 (113) 의 조정은, 기판 지지부 (107) 에 관해 방향 (110) 으로의 제 1 및 제 2 플라즈마 챔버들 (101/102) 의 이동을 통해 달성될 수 있다.In one embodiment, the substrate support 107 is defined to be movable in a direction 110 substantially perpendicular to the top surface of the substrate support 107 on which the substrate 105 is to be supported, whereby the process gap distance ( 113) is made possible. The process gap distance 113 extends vertically between the top surface of the substrate support 107 and the first and second plasma chambers 101/102. In one embodiment, the substrate support 107 is movable in the direction 110 such that the process gap distance is adjustable within a range extending from about 2 cm to about 10 cm. In one embodiment, the substrate support 107 is adjusted to provide a process gap distance 113 of about 5 cm. In an alternative embodiment, adjustment of the process gap distance 113 may be achieved through the movement of the first and second plasma chambers 101/102 in the direction 110 with respect to the substrate support 107. .

프로세스 갭 거리 (113) 의 조정은, 제 1 및 제 2 플라즈마 챔버들 (101/102) 중 어느 하나 또는 양자로부터 발산하는 이온 플럭스의 동적 범위의 조정을 제공한다. 상세하게, 기판 (105) 에 도달하는 이온 플럭스는 프로세스 갭 거리 (113) 를 증가시킴으로써 감소될 수 있거나, 그 역도 가능하다. 일 실시형태에서, 프로세스 갭 거리 (113) 가 기판 (105) 에서 이온 플럭스에서의 조정을 달성하도록 조정된 경우, 더 높은 라디컬-공급 플라즈마 챔버 (101/102) 를 통한 프로세스 가스 유동율은, 기판 (105) 에서의 라디컬 플럭스의 독립적인 제어를 제공하도록 제공될 수 있다. 부가적으로, 제 1 및 제 2 플라즈마 챔버들로부터 발산하는 이온 및 라디컬 플럭스들과 결합하여 프로세스 갭 거리 (113) 가 기판 (105) 에서의 실질적으로 균일한 이온 밀도 및 라디컬 밀도를 제공하도록 제어됨을 인식해야 한다.Adjustment of the process gap distance 113 provides adjustment of the dynamic range of ion flux emanating from either or both of the first and second plasma chambers 101/102. In particular, the ion flux reaching the substrate 105 can be reduced by increasing the process gap distance 113, or vice versa. In one embodiment, when the process gap distance 113 is adjusted to achieve adjustment in the ion flux at the substrate 105, the process gas flow rate through the higher radical-supply plasma chamber 101/102 is greater than the substrate. It can be provided to provide independent control of the radical flux at 105. Additionally, in combination with the ion and radical fluxes emanating from the first and second plasma chambers, the process gap distance 113 provides a substantially uniform ion density and radical density in the substrate 105. Be aware of being controlled.

일 실시형태에서, 기판 지지부 (107) 는, 기판 지지부 (107) 를 향해, 및 그에 의해 기판 지지부 (107) 상에 홀딩된 기판 (105) 을 향해 이온들을 끌어당기기 위한 전기장을 생성하기 위한 바이어스 전극 (112) 을 포함한다. 또한, 일 실시형태에서, 기판 지지부 (107) 는, 냉각 유체가 기판 (105) 의 온도 제어를 유지시키기 위해 플라즈마 프로세싱 동작들 동안 흐르게 될 수 있는 다수의 냉각 채널들 (116) 을 포함한다. 또한, 일 실시형태에서, 기판 지지부 (107) 는 기판 지지부 (107) 에 관해 기판 (105) 을 리프트 및 하강시키도록 정의된 다수의 리프팅 핀들을 포함할 수 있다. 일 실시형태에서, 기판 지지부 (107) 는, 플라즈마 프로세싱 동작들 동안 기판 지지부 (107) 상에 기판 (105) 을 단단하게 홀딩하기 위한 정전장을 생성하도록 장착된 정전척으로서 정의된다.In one embodiment, the substrate support 107 is a bias electrode for generating an electric field for attracting ions towards the substrate support 107 and thereby towards the substrate 105 held on the substrate support 107. 112. In addition, in one embodiment, the substrate support 107 includes a number of cooling channels 116 through which cooling fluid can be flowed during plasma processing operations to maintain temperature control of the substrate 105. Further, in one embodiment, the substrate support 107 can include a number of lifting pins defined to lift and lower the substrate 105 relative to the substrate support 107. In one embodiment, the substrate support 107 is defined as an electrostatic chuck mounted to generate an electrostatic field for rigidly holding the substrate 105 on the substrate support 107 during plasma processing operations.

다양한 실시형태들에서, 제 1 및 제 2 플라즈마 챔버들 (101/102) 은 동시 방식 또는 펄싱된 방식 중 어느 하나로 동작하도록 정의된다. 펄싱된 방식의 제 1 및 제 2 플라즈마 챔버들 (101/102) 의 동작은, 주어진 시간 및 교번하는 시퀀스로 동작하는 제 1 플라즈마 챔버 (101) 또는 제 2 플라즈마 챔버 (102) 중 어느 하늘 포함한다. 상세하게, 제 1 플라즈마 챔버 (101) 는 제 2 플라즈마 챔버 (102) 가 유휴인 제 1 시간 기간 동안 동작할 것이고, 그 후, 제 2 플라즈마 챔버 (102) 는 제 1 플라즈마 챔버 (101) 가 유휴인 제 2 시간 기간 동안 동작할 것이며, 제 1 및 제 2 플라즈마 챔버들 (101/102) 은 미리 규정된 총 시간 기간 동안 이러한 교번하는 방식으로 동작한다.In various embodiments, the first and second plasma chambers 101/102 are defined to operate in either a simultaneous manner or a pulsed manner. Operation of the first and second plasma chambers 101/102 in the pulsed manner includes either the first plasma chamber 101 or the second plasma chamber 102 operating in a given time and alternating sequence. . In detail, the first plasma chamber 101 will operate for a first time period during which the second plasma chamber 102 is idle, and then the second plasma chamber 102 is idle when the first plasma chamber 101 is idle. The first and second plasma chambers 101/102 operate in this alternating manner for a predefined total time period.

펄싱된 방식의 제 1 및 제 2 플라즈마 챔버들 (101/102) 의 동작은, 프로세스 가스 및/또는 전력에 관해 제 1 및 제 2 플라즈마들 (101A/102A) 사이의 바람직하지 않은 통신을 방지/제한하도록 기능할 수 있다. 제 1 및 제 2 플라즈마 챔버들 (101/102) 사이의 바람직하지 않은 통신의 방지는, 제 1 플라즈마 (101A) 의 프로세스 가스들/종들이 제 2 플라즈마 챔버 (102) 에 진입하지 않는다는 것을 보장하는 것, 및 제 2 플라즈마 (102A) 의 프로세스 가스들/종들이 제 1 플라즈마 챔버 (101) 에 진입하지 않는다는 것을 보장하는 것을 포함한다. 제 1 및 제 2 플라즈마 챔버들 (101/102) 사이의 바람직하지 않은 통신의 방지는 또한, 제 1 플라즈마 챔버 (101) 에 공급된 전력이 제 2 플라즈마 챔버 내의 제 2 플라즈마 (102A) 로 흐르지 않는다는 것을 보장하는 것, 및 제 2 플라즈마 챔버 (102) 에 공급된 전력이 제 1 플라즈마 챔버 (101) 내의 제 1 플라즈마 (101A) 로 흐르지 않는다는 것을 보장하는 것을 포함한다.Operation of the first and second plasma chambers 101/102 in the pulsed manner prevents / undesired communication between the first and second plasmas 101A / 102A with respect to process gas and / or power. Can function to restrict Prevention of undesirable communication between the first and second plasma chambers 101/102 ensures that process gases / species of the first plasma 101A do not enter the second plasma chamber 102. And ensuring that process gases / species of the second plasma 102A do not enter the first plasma chamber 101. The prevention of undesired communication between the first and second plasma chambers 101/102 also indicates that power supplied to the first plasma chamber 101 does not flow to the second plasma 102A in the second plasma chamber. And ensuring that the power supplied to the second plasma chamber 102 does not flow into the first plasma 101A in the first plasma chamber 101.

제 1 및 제 2 플라즈마 챔버들 (101/102) 이 동시 방식으로 동작되는 실시형태들에서, 제 1 및 제 2 플라즈마 챔버들 (101/102) 은, 그들 사이의 바람직하지 않은 통신이 방지/제한된다는 것을 보장하도록 정의된다. 예를 들어, 프로세싱 영역 (106) 에 대한 노출부에서의 제 1 및 제 2 플라즈마 챔버들 (101/102) 의 각각의 개구들은, 프로세스 가스 및/또는 전력에 관해 제 1 및 제 2 플라즈마 챔버들 (101/102) 사이의 크로스-통신을 회피하는데 충분히 작게 사이징 (size) 되고 충분히 더 멀리 이격된다. 전술한 것에 기초하여, 제 1 및 제 2 플라즈마 챔버들 (101/102) 이 프로세스 가스 유동율, 프로세스 가스 압력, 전력 주파수, 전력 진폭, 온/오프 지속기간, 및 동작 타이밍 시퀀스 중 하나 이상에 관해 기판 플라즈마 프로세스 동안 독립적으로 제어될 수 있음을 이해해야 한다.In embodiments in which the first and second plasma chambers 101/102 are operated in a simultaneous manner, the first and second plasma chambers 101/102 are prevented / restricted from undesirable communication between them. Is defined to ensure that For example, the openings of the first and second plasma chambers 101/102 in the exposed portion to the processing region 106 may be defined by the first and second plasma chambers in terms of process gas and / or power. It is sized small enough and spaced far enough apart to avoid cross-communication between 101/102. Based on the foregoing, the first and second plasma chambers 101/102 may be subjected to a substrate with respect to one or more of process gas flow rate, process gas pressure, power frequency, power amplitude, on / off duration, and operation timing sequence. It should be understood that it can be controlled independently during the plasma process.

도 2b는 본 발명의 일 실시형태에 따른, 반도체 기판 프로세싱 시스템 (200B) 을 도시한다. 시스템 (200B) 은 도 2a의 시스템 (200A) 의 변형이다. 상세하게, 시스템 (200B) 은 제 1 및 제 2 플라즈마 챔버들 (101/102) 로부터 기판 지지부 (107) 를 향해 연장하도록 제 1 및 제 2 플라즈마 챔버들 (101/102) 사이에 배치된 배플 (baffle) 구조 (109) 를 포함한다. 배플 구조 (109) 는 제 1 및 제 2 플라즈마 챔버들 (101/102) 사이의 유체 통신을 감소시키도록 정의된다. 또한, 일 실시형태에서, 배플 구조 (109) 는 제 1 및 제 2 플라즈마 챔버들 (101/102) 사이의 전력 통신을 감소시키기 위해 유전체 재료들로부터 형성된다. 일 실시형태에서, 배플 구조 (109) 는, 기판 (105) 이 지지될 기판 지지부 (107) 의 상단 표면에 실질적으로 수직한 방향 (114) 으로 이동가능하도록 정의된다.2B illustrates a semiconductor substrate processing system 200B, in accordance with an embodiment of the present invention. System 200B is a variation of system 200A of FIG. 2A. Specifically, system 200B includes a baffle disposed between the first and second plasma chambers 101/102 to extend from the first and second plasma chambers 101/102 toward the substrate support 107. baffle) structure 109. The baffle structure 109 is defined to reduce fluid communication between the first and second plasma chambers 101/102. Further, in one embodiment, the baffle structure 109 is formed from dielectric materials to reduce power communication between the first and second plasma chambers 101/102. In one embodiment, the baffle structure 109 is defined to be movable in a direction 114 that is substantially perpendicular to the top surface of the substrate support 107 on which the substrate 105 is to be supported.

도 2c는 본 발명의 일 실시형태에 따른, 반도체 기판 프로세싱 시스템 (200C) 을 도시한다. 시스템 (200C) 은 도 2b의 시스템 (200B) 의 변형이다. 상세하게, 시스템 (200C) 은, 기판 (105) 이 지지될 기판 지지부 (107) 의 상단 표면에 실질적으로 수직한 방향으로 프로세싱 영역 (106) 으로부터 떨어져 연장하도록 제 1 및 제 2 플라즈마 챔버들 (101/102) 사이에 형성되는 배출 채널 (111) 을 포함한다. 일 실시형태에서, 배출 채널 (111) 은 프로세싱 영역 (106) 으로부터의 가스들의 배출을 제공하도록 오픈 (open) 및 클리어 (clear) 된다. 그러나, 다른 실시형태에서, 배플 구조 (109) 는, 기판 지지부 (107) 를 향해 제 1 및 제 2 플라즈마 챔버들 (101/102) 로부터 연장하기 위해, 제 1 및 제 2 플라즈마 챔버들 (101/102) 사이의 배출 채널 (111) 내에 배치된다. 배출 채널 (111) 내에 배치된 배플 구조 (109) 는 제 1 및 제 2 플라즈마 챔버들 (101/102) 사이의 유체 통신을 감소시키도록 정의된다. 또한, 일 실시형태에서, 배출 채널 (111) 내에 배치된 배플 구조 (109) 는, 제 1 및 제 2 플라즈마 채널들 (101/102) 사이의 전력 통신을 감소시키기 위해, 유전체 재료로부터 형성된다. 또한, 배플 구조 (109) 는, 배플 구조 (109) 주변의 배출 채널 (111) 을 통한 배출 흐름 (116) 을 제공하기 위해 배출 채널 (111) 보다 더 작게 사이징된다.2C illustrates a semiconductor substrate processing system 200C, in accordance with an embodiment of the present invention. System 200C is a variation of system 200B of FIG. 2B. In particular, the system 200C includes first and second plasma chambers 101 such that the substrate 105 extends away from the processing region 106 in a direction substantially perpendicular to the top surface of the substrate support 107 to be supported. A discharge channel 111 formed between the < RTI ID = 0.0 > In one embodiment, the discharge channel 111 is open and clear to provide for the release of gases from the processing region 106. However, in another embodiment, the baffle structure 109 is adapted to extend from the first and second plasma chambers 101/102 toward the substrate support 107. It is disposed in the discharge channel 111 between the 102. The baffle structure 109 disposed in the discharge channel 111 is defined to reduce fluid communication between the first and second plasma chambers 101/102. Further, in one embodiment, the baffle structure 109 disposed in the discharge channel 111 is formed from the dielectric material to reduce power communication between the first and second plasma channels 101/102. In addition, the baffle structure 109 is sized smaller than the outlet channel 111 to provide an outlet flow 116 through the outlet channel 111 around the baffle structure 109.

도 2b 및 도 2c의 예시적인 실시형태들에서, 배플 구조 (109) 는 인접한 플라즈마 채널들 (예를 들어, 101, 102) 사이의 유체 및/또는 전력 통신을 제한하는데 사용될 수 있다. 부가적으로, 배플 구조 (109) 는 기판 (105) 에 걸친 이온들 및 라디컬들의 균일도를 달성하는데 도움을 주기 위해 사용될 수 있다. 도 2b 및 도 2c에 관해 언급된 바와 같이, 배플 구조 (109) 는 기판 지지부 (107) 에 실질적으로 수직한 방향 (114) 으로 이동가능하다. 방향 (114) 으로의 배플 구조 (109) 의 이러한 이동은, 배플 구조 (109) 와 기판 (105) 사이에서 수직하게 측정된 바와 같은 거리 (115) 의 조정을 가능하게 한다.In the example embodiments of FIGS. 2B and 2C, the baffle structure 109 can be used to limit fluid and / or power communication between adjacent plasma channels (eg, 101, 102). In addition, the baffle structure 109 can be used to help achieve uniformity of ions and radicals across the substrate 105. As mentioned with respect to FIGS. 2B and 2C, the baffle structure 109 is movable in a direction 114 that is substantially perpendicular to the substrate support 107. This movement of the baffle structure 109 in the direction 114 enables the adjustment of the distance 115 as measured vertically between the baffle structure 109 and the substrate 105.

다양한 실시형태들에서, 배플 구조와 기판 (105) 사이의 거리 (115) 는 5cm까지일 수 있다. 그러나, 거리 (115) 가 제 1 및 제 2 플라즈마 챔버들 (101/102) 로부터 발산하는 프로세스 가스 유동율들 및 이온 및 라디컬 플럭스들과 같은 다른 파라미터들의 함수이다. 일 예시적인 실시형태에서, 배플 구조와 기판 (105) 사이의 거리 (115) 는 약 2cm이다. 부가적으로, 도 2b 및 도 2d의 예시적인 실시형태들에 도시된 바와 같은 배플 구조 (109) 가 단면이 직사각형으로 형상화되지만, 배플 구조 (109) 가 다른 것들 중에서, 크로스-흐름 및 동요 (turbulence) 를 포함하는 프로세스 가스 흐름 조건들을 제어하는 것과 같은 프로세싱 영역 (106) 내의 특정한 효과들을 달성하기 위해, 다른 방식들, 예를 들어, 라운딩 (round) 된 바닥, 각진 바닥, 테이퍼링된 (tapered) 상단 등으로 형상화될 수 있음을 이해해야 한다.In various embodiments, the distance 115 between the baffle structure and the substrate 105 can be up to 5 cm. However, distance 115 is a function of the process gas flow rates emanating from the first and second plasma chambers 101/102 and other parameters such as ionic and radical fluxes. In one exemplary embodiment, the distance 115 between the baffle structure and the substrate 105 is about 2 cm. Additionally, although the baffle structure 109 as shown in the exemplary embodiments of FIGS. 2B and 2D is shaped into a rectangle in cross section, the baffle structure 109 is cross-flow and turbulence, among others. In order to achieve certain effects in the processing region 106, such as controlling process gas flow conditions, the system may include other methods, for example, a rounded bottom, an angled bottom, a tapered top It should be understood that the present invention may be shaped as such.

몇몇 상황들에서, 플라즈마 내의 라디컬 생성은, 플라즈마 내에서 이온들을 주로 생성하기를 시도할 경우 회피가능하지 않다. 이들 상황에서, 주요 목적이 플라즈마로부터의 이온 성분 전달을 달성하는 것인 경우, 생성된 플라즈마로부터의 라디컬 성분 전달이 또한 다소 회피가능하지 않다. 또한, 플라즈마로부터 이온들을 추출하는 것은, 이온 소스, 즉 플라즈마와 프로세싱 영역, 예를 들어, 프로세싱 영역 (106) 사이의 개구가 시스 (sheath) 가 플라즈마 추출을 금지하지 않기에 충분히 크고, 추출 매체 벽들과의 충돌들이 이온들을 중성화시키지 않을 만큼 낮다는 것을 추론한다. 본 발명의 일 실시형태에서, 이온 소스 영역은, 이온 소스와 프로세싱 영역 사이의 개구에서 정의될 수 있다. 이러한 이온 소스 영역은, 이온 소스로부터의 이온 추출을 향상시키기 위해 보충적인 전자 생성을 제공하도록 에너자이징된 유출구 영역으로서 구현될 수 있다. 예를 들어, 일 실시형태에서, 프로세싱 영역에 대한 노출부에 존재하는 플라즈마 챔버의 유출구 영역은, 유출구 영역 그 자체 내에서의 이온 생성을 향상시키고 대응하여 플라즈마 챔버로부터의 이온 추출을 향상시키기 위해 중공 캐소드로서 정의될 수 있다.In some situations, radical generation in the plasma is not avoidable when attempting to produce ions mainly in the plasma. In these situations, when the main purpose is to achieve ionic component transfer from the plasma, radical component transfer from the resulting plasma is also somewhat unavoidable. Also, extracting ions from the plasma is such that the opening between the ion source, ie, the plasma and the processing region, for example, the processing region 106, is large enough so that sheath does not inhibit plasma extraction, and the extraction media walls It is inferred that collisions with are low enough not to neutralize ions. In one embodiment of the invention, the ion source region may be defined at the opening between the ion source and the processing region. This ion source region may be implemented as an outlet region energized to provide supplemental electron generation to enhance ion extraction from the ion source. For example, in one embodiment, the outlet region of the plasma chamber, which is exposed to the processing region, is hollow to enhance ion generation within the outlet region itself and correspondingly to improve ion extraction from the plasma chamber. It can be defined as a cathode.

도 2d는 본 발명의 일 실시형태에 따른, 이온 추출을 향상시키기 위해 에너자이징된 유출구 영역 (225) 을 갖는 제 2 플라즈마 챔버 (102A) 의 변형을 도시한다. 그러나, 제 1 및 제 2 플라즈마 챔버들 (101/102) 중 하나 또는 양자가 이온 추출을 증가시키도록 보충적인 전자 생성을 제공하기 위해, 정의된 에너자이징가능한 플라즈마 유출구 영역 (225) 을 갖도록 정의될 수 있음을 이해해야 한다. 일 실시형태에서, 에너자이징가능한 플라즈마 유출구 영역 (225) 이 중공 캐소드로서 정의된다. 이러한 실시형태의 일 버전에서, 유출구 영역 (225) 은, DC 전력, RF 전력, 또는 이들의 조합에 의재 전력공급될 수 있는 전극 (220) 에 의해 둘러싸인다. 플라즈마 (102A) 로부터의 반응성 성분들이 에너자이징가능한 플라즈마 유출구 영역 (225) 을 통해 흐를 경우, 전극 (220) 으로부터 발산하는 전력은 유출구 영역 (225) 내에서 빠른 전자들을 유리 (liberate) 시킬 것이며, 이는 차례로, 유출구 영역 (225) 을 통해 프로세스 가스들에서의 추가적인 이온화를 초래할 것이고, 그에 의해, 플라즈마 챔버 (102) 로부터의 이온 추출을 향상시킨다. 부가적으로, 바이어스 전극 (112) 에 의해 프로세싱 영역 (106) 에 걸쳐 인가된 바이어스는, 챔버 (102) 내의 플라즈마 (102A) 및 기판 (105) 을 향한 유출구 영역 (225) 으로부터의 이온들을 인출 (draw) 시키도록 기능할 것이다.2D illustrates a variation of the second plasma chamber 102A having an energized outlet region 225 to enhance ion extraction, in accordance with one embodiment of the present invention. However, one or both of the first and second plasma chambers 101/102 may be defined to have a defined energizable plasma outlet region 225 to provide complementary electron generation to increase ion extraction. It should be understood. In one embodiment, the energizable plasma outlet region 225 is defined as a hollow cathode. In one version of this embodiment, the outlet region 225 is surrounded by an electrode 220 that can be powered by DC power, RF power, or a combination thereof. When reactive components from the plasma 102A flow through the energizable plasma outlet region 225, the power dissipating from the electrode 220 will liberate fast electrons in the outlet region 225, which in turn This will result in further ionization in the process gases through the outlet region 225, thereby improving ion extraction from the plasma chamber 102. In addition, a bias applied across the processing region 106 by the bias electrode 112 may draw ions from the outlet 102 225 towards the substrate 102 and the plasma 102A in the chamber 102 ( function).

도 3a는 본 발명의 일 실시형태에 따른, 반도체 기판 프로세싱 시스템 (400) 의 수직 단면도를 도시한다. 시스템 (400) 은 상단 구조 (401B), 바닥 구조 (401C), 및 상단 구조 (401B) 와 바닥 구조 (401C) 사이에서 연장하는 측벽들 (401A) 에 의해 형성된 챔버 (401) 를 포함한다. 챔버 (401) 는 프로세싱 영역 (106) 을 둘러싼다. 다양한 실시형태들에서, 챔버 측벽들 (401A), 상단 구조 (401B), 및 바닥 구조 (401C) 는, 챔버 (401) 재료들이 그들이 플라즈마 프로세싱 동안 노출될 압력 차이들 및 온도들을 구조적으로 견딜 수 있고, 플라즈마 프로세싱 환경과 화학적으로 호환가능한 한, 예로서, 스테인리스 스틸 또는 알루미늄과 같은 상이한 재료들로부터 형성될 수 있다.3A illustrates a vertical cross sectional view of a semiconductor substrate processing system 400, in accordance with an embodiment of the present invention. The system 400 includes a top structure 401B, a bottom structure 401C, and a chamber 401 formed by sidewalls 401A extending between the top structure 401B and the bottom structure 401C. The chamber 401 surrounds the processing region 106. In various embodiments, the chamber sidewalls 401A, top structure 401B, and bottom structure 401C can structurally withstand pressure differences and temperatures at which the chamber 401 materials they will be exposed during plasma processing. And may be formed from different materials such as, for example, stainless steel or aluminum, so long as it is chemically compatible with the plasma processing environment.

시스템 (400) 은 또한, 챔버 (401) 내에 배치되고, 프로세싱 영역 (106) 에 대한 노출부에서 기판 (105) 을 지지하도록 정의되는 기판 지지부 (107) 를 포함한다. 기판 지지부 (107) 는, 기판 (105) 상에서의 플라즈마 프로세싱 동작의 수행 동안 상부에 기판 (105) 을 홀딩하도록 정의된다. 도 3a의 예시적인 실시형태에서, 기판 지지부 (107) 는 챔버 (401) 의 벽 (401A) 에 부착된 캔틸레버된 아암 (cantilevered arm) (405) 에 의해 홀딩된다. 그러나, 일 실시형태들에서, 기판 지지부 (107) 는, 챔버 (401) 의 바닥 플레이트 (401C) 또는 챔버 (401) 내부에 배치된 다른 부재에 부착될 수 있다. 다양한 실시형태들에서, 기판 지지부 (107) 는, 기판 지지부 (107) 가 그것이 플라즈마 프로세싱 동안 노출될 압력 차이들 및 온도들을 구조적으로 견딜 수 있고, 플라즈마 프로세싱 환경과 화학적으로 호환가능한 한, 예로서, 스테인리스 스틸, 알루미늄, 또는 세라믹과 같은 상이한 재료들로부터 형성될 수 있다.The system 400 also includes a substrate support 107 disposed within the chamber 401 and defined to support the substrate 105 at an exposure to the processing region 106. The substrate support 107 is defined to hold the substrate 105 thereon during the performance of a plasma processing operation on the substrate 105. In the exemplary embodiment of FIG. 3A, the substrate support 107 is held by a cantilevered arm 405 attached to the wall 401A of the chamber 401. However, in one embodiments, the substrate support 107 can be attached to the bottom plate 401C of the chamber 401 or another member disposed inside the chamber 401. In various embodiments, the substrate support 107 is, for example, as long as the substrate support 107 can structurally withstand pressure differences and temperatures that it will be exposed to during plasma processing, and is chemically compatible with the plasma processing environment. It may be formed from different materials such as stainless steel, aluminum, or ceramics.

일 실시형태에서, 기판 지지부 (107) 는, 기판 지지부 (107) 를 향해, 및 그에 의해 기판 지지부 (107) 상에 홀딩된 기판 (105) 을 향해 이온들을 끌어당기기 위한 전기장을 생성하기 위한 바이어스 전극 (112) 을 포함한다. 또한, 일 실시형태에서, 기판 지지부 (107) 는, 냉각 유체가 기판 (105) 의 온도 제어를 유지시키기 위해 플라즈마 프로세싱 동작들 동안 흐르게 될 수 있는 다수의 냉각 채널들 (116) 을 포함한다. 또한, 일 실시형태에서, 기판 지지부 (107) 는 기판 지지부 (107) 에 관해 기판 (105) 을 리프트 및 하강시키도록 정의된 다수의 리프팅 핀들 (411) 을 포함할 수 있다. 일 실시형태에서, 도어 어셈블리 (413) 는, 기판 (105) 의 챔버 (401) 로의/로부터의 삽입 및 제거를 가능하게 하기 위해 챔버 벽 (401A) 내에 배치된다. 부가적으로, 일 실시형태에서, 기판 지지부 (107) 는, 플라즈마 프로세싱 동작들 동안 기판 지지부 (107) 상에 기판 (105) 을 단단하게 홀딩하기 위한 정전장을 생성하도록 장착된 정전척으로서 정의된다.In one embodiment, the substrate support 107 is a bias electrode for generating an electric field for attracting ions towards the substrate support 107 and thereby towards the substrate 105 held on the substrate support 107. 112. In addition, in one embodiment, the substrate support 107 includes a number of cooling channels 116 through which cooling fluid can be flowed during plasma processing operations to maintain temperature control of the substrate 105. Further, in one embodiment, the substrate support 107 can include a number of lifting pins 411 defined to lift and lower the substrate 105 relative to the substrate support 107. In one embodiment, door assembly 413 is disposed within chamber wall 401A to enable insertion and removal of substrate 105 into / from chamber 401. Additionally, in one embodiment, the substrate support 107 is defined as an electrostatic chuck mounted to generate an electrostatic field for holding the substrate 105 firmly on the substrate support 107 during plasma processing operations. .

시스템 (400) 은 기판 지지부 (107) 상에 위치된 경우, 기판 (105) 위에 위치되고 기판 (105) 으로부터 이격되기 위해, 기판 지지부 (107) 위의 그리고 기판 지지부 (107) 로부터 이격된 챔버 (401) 내에 배치된 상단 플레이트 어셈블리 (407) 를 더 포함한다. 기판 프로세싱 영역 (106) 은, 기판 지지부 (107) 상에 위치된 경우 기판 (105) 위에 존재하기 위해, 상단 플레이트 어셈블리 (407) 와 기판 지지부 (107) 사이에 존재한다. 이전에 언급된 바와 같이, 일 실시형태에서, 기판 지지부 (107) 는, 상단 플레이트 어셈블리 (407) 와 기판 지지부 (107) 사이의 프로세싱 영역 (106) 에 걸쳐 수직으로 측정된 바와 같은 프로세스 갭 거리가 약 2cm 로부터 약 10cm 까지 연장하는 범위 내에서 조정가능하도록 하는 방향 (110) 으로 이동가능하다. 또한, 일 실시형태에서, 상단 플레이트 어셈블리 (407) 에 관한 기판 지지부 (107) 의 수직 위치 또는 그의 역은, 플라즈마 프로세싱 동작의 수행 동안 또는 플라즈마 프로세싱 동작들 사이에서 조정가능하다.The system 400, when positioned on the substrate support 107, is located above the substrate 105 and spaced from the substrate support 107 and above the substrate support 107 so as to be spaced apart from the substrate 105. And further includes a top plate assembly 407 disposed within 401. The substrate processing region 106 is between the top plate assembly 407 and the substrate support 107 to reside above the substrate 105 when positioned on the substrate support 107. As previously mentioned, in one embodiment, the substrate support 107 has a process gap distance as measured vertically across the processing region 106 between the top plate assembly 407 and the substrate support 107. It is movable in the direction 110 to be adjustable within a range extending from about 2 cm to about 10 cm. Also, in one embodiment, the vertical position of the substrate support 107 relative to the top plate assembly 407, or vice versa, is adjustable during or between the plasma processing operations.

상단 플레이트 어셈블리 (407) 는, 프로세싱 영역 (106) 에 노출되고, 기판 지지부 (107) 의 상단 표면에 반대쪽에 있는 하부 표면을 갖는다. 상단 플레이트 어셈블리 (407) 는, 제 1 플라즈마 (101A) 의 반응성 성분들을 프로세싱 영역 (106) 에 공급하도록 접속된 제 1 복수의 플라즈마 포트들을 포함한다. 더 상세하게, 도 3a의 실시형태에서, 제 1 복수의 플라즈마 마이크로챔버들 (101) 은 상단 플레이트 어셈블리 (407) 의 상단 표면에 걸쳐 배치되며, 제 1 복수의 플라즈마 포트들은 제 1 복수의 플라즈마 마이크로챔버들 (101) 의 각각의 개구들과 유체 통신한다. 따라서, 제 1 복수의 플라즈마 포트들은, 프로세싱 영역 (106) 과 유체 통신하는 제 1 복수의 플라즈마 마이크로챔버들 (101) 의 개구들을 배치시키도록 기능한다. 도 1 내지 도 2g에 관해 상술된 바와 같이, 제 1 복수의 플라즈마 마이크로챔버들의 각각이 제 1 플라즈마 챔버 (101) 에 대응함을 이해해야 한다.The top plate assembly 407 has a bottom surface exposed to the processing region 106 and opposite the top surface of the substrate support 107. Top plate assembly 407 includes a first plurality of plasma ports connected to supply reactive components of first plasma 101A to processing region 106. More specifically, in the embodiment of FIG. 3A, the first plurality of plasma microchambers 101 are disposed over the top surface of the top plate assembly 407, the first plurality of plasma ports being the first plurality of plasma micros. In fluid communication with the respective openings of the chambers 101. Thus, the first plurality of plasma ports function to position the openings of the first plurality of plasma microchambers 101 in fluid communication with the processing region 106. As described above with respect to FIGS. 1-2G, it should be understood that each of the first plurality of plasma microchambers corresponds to the first plasma chamber 101.

상단 플레이트 어셈블리 (407) 는 또한, 제 2 플라즈마 (102A) 의 반응성 성분들을 프로세싱 영역 (106) 에 공급하도록 접속된 제 2 복수의 플라즈마 포트들을 포함한다. 더 상세하게, 도 3a의 실시형태에서, 제 2 복수의 플라즈마 마이크로챔버들 (102) 은 상단 플레이트 어셈블리 (407) 의 상단 표면에 걸쳐 배치되며, 제 2 복수의 플라즈마 포트들은 제 2 복수의 플라즈마 마이크로챔버들 (102) 의 각각의 개구들과 유체 통신한다. 따라서, 제 2 복수의 플라즈마 포트들은, 프로세싱 영역 (106) 과 유체 통신하는 제 2 복수의 플라즈마 마이크로챔버들 (102) 의 개구들을 배치시키도록 기능한다. 도 1 내지 도 2g에 관해 상술된 바와 같이, 제 2 복수의 플라즈마 마이크로챔버들의 각각이 제 2 플라즈마 챔버 (102) 에 대응함을 이해해야 한다.Top plate assembly 407 also includes a second plurality of plasma ports connected to supply reactive components of second plasma 102A to processing region 106. More specifically, in the embodiment of FIG. 3A, the second plurality of plasma microchambers 102 are disposed over the top surface of the top plate assembly 407, and the second plurality of plasma ports are arranged in the second plurality of plasma micros. In fluid communication with the respective openings of the chambers 102. Thus, the second plurality of plasma ports function to position the openings of the second plurality of plasma microchambers 102 in fluid communication with the processing region 106. As described above with respect to FIGS. 1-2G, it should be understood that each of the second plurality of plasma microchambers corresponds to the second plasma chamber 102.

제 1 복수의 플라즈마 마이크로챔버들 (101) 의 각각은, 제 1 플라즈마 (101A) 를 생성하며, 상단 플레이트 어셈블리 (407) 의 하부 표면을 따라 정의된 제 1 복수의 플라즈마 포트들 중 하나 이상에 제 1 플라즈마 (101A) 의 반응성 성분들 (108A) 을 공급하도록 정의된다. 유사하게, 제 2 복수의 플라즈마 마이크로챔버들 (102) 의 각각은, 제 2 플라즈마 (102A) 를 생성하며, 상단 플레이트 어셈블리 (407) 의 하부 표면을 따라 정의된 제 2 복수의 플라즈마 포트들 중 하나 이상에 제 2 플라즈마 (102A) 의 반응성 성분들 (108B) 을 공급하도록 정의된다.Each of the first plurality of plasma microchambers 101 generates a first plasma 101A and is applied to one or more of the first plurality of plasma ports defined along the lower surface of the top plate assembly 407. It is defined to supply reactive components 108A of one plasma 101A. Similarly, each of the second plurality of plasma microchambers 102 generates a second plasma 102A and is one of the second plurality of plasma ports defined along the lower surface of the top plate assembly 407. It is defined above to supply the reactive components 108B of the second plasma 102A.

도 3b는 본 발명의 일 실시형태에 따른, 도 3a에서 참조된 바와 같은 수평 단면도 A-A를 도시한다. 도 3b에 도시된 바와 같이, 제 1 복수의 플라즈마 포트들이 상단 플레이트 어셈블리 (407) 의 하부 표면에 걸쳐 실질적으로 균일한 방식으로 제 2 복수의 플라즈마 포트들 사이에서 산재되도록, 제 1 및 제 2 플라즈마 마이크로챔버들 (101/102) 은 상단 플레이트 어셈블리 (407) 에 걸쳐 서로 산재된다. 일 실시형태에서, 제 1 및 제 2 플라즈마 마이크로챔버들 (101/102) 은 약 1cm 로부터 약 2cm 까지 연장하는 범위 내의 내부 직경을 갖도록 정의된다. 또한, 일 실시형태에서, 제 1 및 제 2 플라즈마 마이크로챔버들 (101/102) 의 총 수는 약 100이다. 또 다른 예시적인 실시형태에서, 제 1 및 제 2 플라즈마 마이크로챔버들 (101/102) 의 총 수는 약 40 내지 약 60까지 연장하는 범위 내에 있으며, 상단 플레이트 어셈블리 (407) 의 하부 표면에 걸친 제 1 및 제 2 플라즈마 포트들의 총 수는 약 100이다.3B shows a horizontal cross sectional view A-A as referenced in FIG. 3A, in accordance with an embodiment of the present invention. As shown in FIG. 3B, the first and second plasmas are such that the first plurality of plasma ports are interspersed between the second plurality of plasma ports in a substantially uniform manner across the lower surface of the top plate assembly 407. The microchambers 101/102 are interspersed with each other across the top plate assembly 407. In one embodiment, the first and second plasma microchambers 101/102 are defined to have internal diameters within a range extending from about 1 cm to about 2 cm. Also, in one embodiment, the total number of first and second plasma microchambers 101/102 is about 100. In yet another exemplary embodiment, the total number of the first and second plasma microchambers 101/102 is in a range extending from about 40 to about 60, and spans the lower surface of the top plate assembly 407. The total number of first and second plasma ports is about 100.

상단 플레이트 어셈블리 (407) 에 걸친 제 1 및 제 2 플라즈마 마이크로챔버들 (101/102) 사이의 간격이 상이한 실시형태들 사이에서 변경될 수 있음을 인식해야 한다. 도 3c는 본 발명의 일 실시형태에 따른, 상단 플레이트 어셈블리 (407) 에 걸친 제 1 및 제 2 플라즈마 마이크로챔버들 (101/102) 사이의 간격이 감소되는 도 3b의 수평 단면도의 변형을 도시한다. 도 3d는 본 발명의 일 실시형태에 따른, 상단 플레이트 어셈블리 (407) 에 걸친 제 1 및 제 2 플라즈마 마이크로챔버들 (101/102) 사이의 간격이 증가되는 도 3b의 수평 단면도의 변형을 도시한다. 도 3e는 본 발명의 일 실시형태에 따른, 상단 플레이트 어셈블리 (407) 에 걸친 제 1 및 제 2 플라즈마 마이크로챔버들 (101/102) 사이의 간격이 비균일한 도 3b의 수평 단면도의 변형을 도시한다.It should be appreciated that the spacing between the first and second plasma microchambers 101/102 across the top plate assembly 407 may vary between different embodiments. FIG. 3C illustrates a variation of the horizontal cross-sectional view of FIG. 3B in which the spacing between the first and second plasma microchambers 101/102 across the top plate assembly 407 is reduced, according to one embodiment of the invention. . FIG. 3D illustrates a variation of the horizontal cross-sectional view of FIG. 3B in which the spacing between the first and second plasma microchambers 101/102 across the top plate assembly 407 is increased, according to one embodiment of the invention. . 3E illustrates a variation of the horizontal cross-sectional view of FIG. 3B in which the spacing between the first and second plasma microchambers 101/102 across the top plate assembly 407 is non-uniform, in accordance with an embodiment of the present invention. do.

제 1 및 제 2 플라즈마 마이크로챔버들 (101/102) 의 수 및/또는 상단 플레이트 어셈블리 (407) 의 하부 표면 내의 플라즈마 포트들의 수에 대한 상술된 예시적인 실시형태들이, 본 발명의 설명을 용이하게 하기 위해 제공되며, 본 발명의 제한들을 임의의 방식으로 표현하지 않음을 이해해야 한다. 다른 실시형태들에서, 제 1 및 제 2 플라즈마 마이크로챔버들 (101/102) 의 본질적으로 임의의 구성/수 및/또는 상단 플레이트 어셈블리 (407) 의 하부 표면 내의 플라즈마 포트들은, 기판 (105) 상의 원하는 플라즈마 프로세싱 결과를 달성하기 위해 프로세싱 영역 (106) 내에서 라디컬 및 이온 성분들의 적절한 혼합을 제공하도록, 필요에 따라 정의 및 배열될 수 있다.The above-described exemplary embodiments of the number of first and second plasma microchambers 101/102 and / or the number of plasma ports in the lower surface of the top plate assembly 407 facilitate the description of the present invention. It is provided to the extent that it is to be understood that the limitations of the present invention are not expressed in any way. In other embodiments, the plasma ports in the bottom surface of the top plate assembly 407 and / or essentially any configuration / number of the first and second plasma microchambers 101/102 may be formed on the substrate 105. It may be defined and arranged as needed to provide proper mixing of the radical and ionic components within the processing region 106 to achieve the desired plasma processing result.

제 1 및 제 2 플라즈마 마이크로챔버들 (101/102) 은 동시 방식 또는 펄싱된 방식으로 동작하도록 정의된다. 펄싱된 방식의 제 1 및 제 2 플라즈마 마이크로챔버들 (101/102) 의 동작은, 주어진 시간에 동작하고 교번하는 시퀀스로 동작하는, 제 1 복수의 플라즈마 마이크로챔버들 (101) 또는 제 2 복수의 플라즈마 마이크로챔버들 (102) 중 어느 하나를 포함한다. 일 실시형태에서, 제 1 복수의 플라즈마 마이크로챔버들 (101) 의 각각은 중공 캐소드 챔버, 또는 전자 사이클로트론 공진 챔버, 또는 마이크로파 구동된 챔버, 또는 유도성 커플링된 챔버, 또는 용량성 커플링된 챔버 중 어느 하나이다. 또한, 일 실시형태에서, 제 2 복수의 플라즈마 마이크로챔버들 (102) 의 각각은 중공 캐소드 챔버, 또는 전자 사이클로트론 공진 챔버, 또는 마이크로파 구동된 챔버, 또는 유도성 커플링된 챔버, 또는 용량성 커플링된 챔버 중 어느 하나이다.The first and second plasma microchambers 101/102 are defined to operate in a simultaneous or pulsed manner. Operation of the first and second plasma microchambers 101/102 in a pulsed manner is performed by operating the first plurality of plasma microchambers 101 or the second plurality of plasma microprocessors in an alternating sequence. One of the plasma microchambers 102. In one embodiment, each of the first plurality of plasma microchambers 101 is a hollow cathode chamber, or an electron cyclotron resonant chamber, or a microwave driven chamber, or an inductively coupled chamber, or a capacitively coupled chamber. Is either one. Further, in one embodiment, each of the second plurality of plasma microchambers 102 is a hollow cathode chamber, or an electron cyclotron resonant chamber, or a microwave driven chamber, or an inductively coupled chamber, or capacitive coupling. One of the chambers.

일 예시적인 실시형태에서, 프로세싱 영역 (106) 으로의 라디컬 성분 공급을 주로 담당하는 플라즈마 마이크로챔버들 (101 또는 102) 은, 마이크로파 구동된 플라즈마 마이크로챔버들로서 정의된다. 또한, 일 예시적인 실시형태에서, 프로세싱 영역 (106) 으로의 이온 성분 공급을 주로 담당하는 플라즈마 마이크로챔버들 (101 또는 102) 은, 중공 캐소드 플라즈마 마이크로챔버들, 전자 사이클로트론 공진 플라즈마 마이크로챔버들, 용량성 커플링된 플라즈마 마이크로챔버들, 또는 일 타입의 공진 방전 플라즈마 마이크로챔버 중 어느 하나로서 정의된다. 하나의 특정한 예시적인 실시형태에서, 제 1 복수의 플라즈마 마이크로챔버들 (101) 의 각각은, 프로세싱 영역 (106) 으로 라디컬 성분들을 공급하는 것을 주로 담당하는 유도성 커플링된 플라즈마 마이크로챔버 (101) 로서 정의된다. 또한, 이러한 특정한 예시적인 실시형태에서, 제 2 복수의 플라즈마 마이크로챔버들 (102) 의 각각은, 프로세싱 영역 (106) 으로 이온 성분들을 공급하는 것을 주로 담당하는 용량성 커플링된 플라즈마 마이크로챔버 (102) 로서 정의된다.In one exemplary embodiment, the plasma microchambers 101 or 102 that are primarily responsible for supplying the radical component to the processing region 106 are defined as microwave driven plasma microchambers. In addition, in one exemplary embodiment, the plasma microchambers 101 or 102, which are primarily responsible for supplying ionic components to the processing region 106, include hollow cathode plasma microchambers, electron cyclotron resonant plasma microchambers, capacitance It is defined as either sex coupled plasma microchambers, or one type of resonant discharge plasma microchamber. In one particular exemplary embodiment, each of the first plurality of plasma microchambers 101 is an inductively coupled plasma microchamber 101 that is primarily responsible for feeding radical components into the processing region 106. Is defined as Also in this particular exemplary embodiment, each of the second plurality of plasma microchambers 102 is a capacitively coupled plasma microchamber 102 that is primarily responsible for supplying ionic components to the processing region 106. Is defined as

제 1 및 제 2 플라즈마 마이크로챔버들 (101/102) 의 타입들에 대한 상술된 예시적인 실시형태들이 본 발명의 설명을 용이하게 하기 위해 제공되고, 임의의 방식으로 본 발명의 제한들을 표현하지 않음을 이해해야 한다. 다른 실시형태들에서, 제 1 및 제 2 플라즈마 마이크로챔버들 (101/102) 이 그들이 기판 (105) 상의 원하는 플라즈마 프로세싱 결과를 달성하기 위해, 공급하는 것을 주로 담당하는 프로세싱 영역 (106) 에 반응성 성분(들)의 타입(들)을 공급하도록 정의되는 한, 제 1 및 제 2 플라즈마 마이크로챔버들 (101/102) 은 본질적으로 임의의 타입의 플라즈마 마이크로챔버, 또는 플라즈마 마이크로챔버들의 타입들의 조합으로서 각각 정의될 수 있다.The above-described exemplary embodiments of the types of the first and second plasma microchambers 101/102 are provided to facilitate the description of the present invention and do not represent the limitations of the present invention in any way. Should understand. In other embodiments, the reactive component in the processing region 106 primarily responsible for supplying the first and second plasma microchambers 101/102 to which they achieve the desired plasma processing results on the substrate 105. The first and second plasma microchambers 101/102 are essentially any type of plasma microchamber, or combination of types of plasma microchambers, as long as defined to supply the type (s) of (s). Can be defined.

시스템 (400) 은, 제 1 복수의 플라즈마 마이크로챔버들 (101) 로 제 1 전력을 공급하도록 정의된 제 1 전력 공급부 (103A) 를 더 포함한다. 시스템 (400) 은 또한, 제 1 복수의 플라즈마 마이크로챔버들 (101) 로 제 1 프로세스 가스를 공급하도록 정의된 제 1 프로세스 가스 공급부 (104A) 를 포함한다. 시스템 (400) 은 또한, 제 2 복수의 플라즈마 마이크로챔버들 (102) 로 제 2 전력을 공급하도록 정의된 제 2 전력 공급부 (103B) 를 포함한다. 시스템 (400) 은 또한, 제 2 복수의 플라즈마 마이크로챔버들 (102) 로 제 2 프로세스 가스를 공급하도록 정의된 제 2 프로세스 가스 공급부 (104B) 를 포함한다. 일 실시형태에서, 제 1 및 제 2 전력 공급부들 (103A/103B) 은 독립적으로 제어가능하다. 일 실시형태에서, 제 1 및 제 2 프로세스 가스 공급부들 (104A/104B) 은 독립적으로 제어가능하다. 일 실시형태에서, 제 1 및 제 2 전력 공급부들 (103A/103B) 양자, 및 제 1 및 제 2 프로세스 가스 공급부들 (104A/104B) 은 독립적으로 제어가능하다. 일 실시형태에서, 제 1 복수의 플라즈마 마이크로챔버들 (101) 에 공급된 제 1 전력은 DC 전력, RF 전력, 또는 DC 및 RF 전력의 조합 중 어느 하나이다. 또한, 일 실시형태에서, 제 2 복수의 플라즈마 마이크로챔버들 (102) 로 공급된 제 2 전력은 DC 전력, RF 전력, 또는 DC 및 RF 전력의 조합 중 어느 하나이다.The system 400 further includes a first power supply 103A defined to supply first power to the first plurality of plasma microchambers 101. The system 400 also includes a first process gas supply 104A defined to supply a first process gas to the first plurality of plasma microchambers 101. The system 400 also includes a second power supply 103B defined to supply second power to the second plurality of plasma microchambers 102. The system 400 also includes a second process gas supply 104B defined to supply a second process gas to the second plurality of plasma microchambers 102. In one embodiment, the first and second power supplies 103A / 103B are independently controllable. In one embodiment, the first and second process gas supplies 104A / 104B are independently controllable. In one embodiment, both the first and second power supplies 103A / 103B, and the first and second process gas supplies 104A / 104B are independently controllable. In one embodiment, the first power supplied to the first plurality of plasma microchambers 101 is either DC power, RF power, or a combination of DC and RF power. Further, in one embodiment, the second power supplied to the second plurality of plasma microchambers 102 is either DC power, RF power, or a combination of DC and RF power.

제 1 및 제 2 전력 공급부들 (103A/103B) 중 어느 하나에 의한 RF 전력의 공급에 관해, 공급된 RF 전력이 RF 전력 주파수 및/또는 진폭에 관해 독립적으로 제어가능할 수 있음을 이해해야 한다. 또한, 제 1 및 제 2 전력 공급부들 (103A/103B) 의 각각이, 제 1 및 제 2 복수의 플라즈마 마이크로챔버들 (101/102) 각각으로의 효율적인 RF 전력 송신을 보장하기 위해, 그의 RF 전력이 송신되는 각각의 매칭 회로를 포함함을 이해해야 한다. 일 실시형태에서, 제 1 복수의 플라즈마 마이크로챔버들 (101) 의 각각으로 제 1 전력 공급부 (103A) 에 의해 공급된 제 1 전력은, 2MHz, 27MHz, 60MHz, 또는 400kHz 중 어느 하나의 주파수를 갖는 RF 전력이고, 제 2 복수의 플라즈마 마이크로챔버들 (102) 의 각각으로 제 2 전력 공급부 (103B) 에 의해 공급된 제 2 전력은 2MHz, 27MHz, 60MHz, 또는 400kHz 중 어느 하나의 주파수를 갖는 RF 전력이다. 이러한 실시형태에서, 제 1 및 제 2 전력들은 적어도 하나의 상이한 주파수를 갖는다.With respect to the supply of RF power by either of the first and second power supplies 103A / 103B, it should be understood that the supplied RF power may be independently controllable with respect to the RF power frequency and / or amplitude. In addition, each of the first and second power supplies 103A / 103B may have its RF power to ensure efficient RF power transmission to each of the first and second plurality of plasma microchambers 101/102. It should be understood that this includes each matching circuit that is transmitted. In one embodiment, the first power supplied by the first power supply 103A to each of the first plurality of plasma microchambers 101 has a frequency of any one of 2 MHz, 27 MHz, 60 MHz, or 400 kHz. The RF power is RF power, and the second power supplied by the second power supply 103B to each of the second plurality of plasma microchambers 102 is an RF power having a frequency of any one of 2 MHz, 27 MHz, 60 MHz, or 400 kHz. to be. In this embodiment, the first and second powers have at least one different frequency.

시스템 (400) 의 동작 동안, 제 1 및 제 2 프로세스 가스 공급부들 (104A/104B) 에 의해 공급된 프로세스 가스들은, 제 1 및 제 2 복수의 플라즈마 마이크로챔버들 (101/102) 의 각각 내에서 제 1 및 제 2 플라즈마들 (101A/102A) 로 각각 변환된다. 제 1 및 제 2 플라즈마들 (101A/102A) 내의 반응성 종들은 제 1 및 제 2 복수의 플라즈마 마이크로챔버들 (101/102) 로부터, 기판 지지부 (107) 위의, 즉, 기판 지지부 (107) 상에 배치된 경우 기판 (105) 상의 기판 프로세싱 영역 (106) 으로 이동한다.During operation of the system 400, process gases supplied by the first and second process gas supplies 104A / 104B are each within the first and second plurality of plasma microchambers 101/102. Converted into first and second plasmas 101A / 102A, respectively. Reactive species in the first and second plasmas 101A / 102A are from the first and second plurality of plasma microchambers 101/102, on the substrate support 107, ie on the substrate support 107. When placed in the substrate, it moves to the substrate processing region 106 on the substrate 105.

일 실시형태에서, 제 1 및 제 2 복수의 플라즈마 마이크로챔버들 (101/102) 로부터 기판 프로세싱 영역 (106) 으로 진입할 시에, 사용된 프로세스 가스는 주변 벤트들 (427) 을 통해 흐르고, 배출 펌프 (431) 에 의하여 배출 포트들 (429) 을 통해 펌핑 아웃 (pump out) 된다. 일 실시형태에서, 흐름 스로틀링 디바이스 (433) 는, 기판 프로세싱 영역 (106) 으로부터 사용된 프로세스 가스의 유동율을 제어하도록 제공된다. 일 실시형태에서, 흐름 스로틀링 디바이스 (433) 는 화살표들 (435) 에 의해 표시된 바와 같이, 주변 벤트들 (427) 을 향해 및 주변 벤트들 (427) 로부터 떨어져 이동가능한 링 구조로서 정의된다.In one embodiment, upon entering the substrate processing region 106 from the first and second plurality of plasma microchambers 101/102, the used process gas flows through the peripheral vents 427 and exits. It is pumped out through the discharge ports 429 by the pump 431. In one embodiment, the flow throttling device 433 is provided to control the flow rate of the process gas used from the substrate processing region 106. In one embodiment, the flow throttling device 433 is defined as a ring structure that is movable toward and away from the peripheral vents 427, as indicated by arrows 435.

시스템 (400) 이 각각의 타입의 플라즈마 소스로부터 실질적으로 균일한 방식으로 기판 (105) 으로 결합된 반응성 성분 플럭스를 전달하기 위해, 많은 수의 다른 타입의 작은 플라즈마 소스들, 즉, 제 2 복수의 플라즈마 마이크로챔버들 (102) 사이에 산재된 많은 수의 일 타입의 작은 플라즈마 소스들, 즉, 제 1 복수의 플라즈마 마이크로챔버들 (101) 을 이용함을 인식해야 한다. 일 실시형태에서, 일 타입의 플라즈마 소스는 이온 성분들에 비해 더 큰 밀도의 라디컬 성분들을 생성하고, 다른 타입의 플라즈마 소스는 라디컬 성분들에 비해 더 큰 밀도의 이온 성분들을 생성하며, 그에 의해, 프로세싱 영역 (106) 내의 이온 및 라디컬 농도들의 독립적인 제어를 제공한다.A large number of different types of small plasma sources, i.e., a second plurality of systems, for the system 400 to transfer the reactive component flux coupled from each type of plasma source to the substrate 105 in a substantially uniform manner. It should be appreciated that a large number of one type of small plasma sources, ie, the first plurality of plasma microchambers 101, interspersed between the plasma microchambers 102 are used. In one embodiment, one type of plasma source produces greater density of radical components than the ionic components, and another type of plasma source produces greater density of ionic components than the radical components. Thereby providing independent control of the ion and radical concentrations in the processing region 106.

도 4a는 본 발명의 일 실시형태에 따른, 기판 플라즈마 프로세싱을 위한 다른 시스템 (500) 을 도시한다. 시스템 (500) 은 챔버 (401), 기판 지지부 (107), 주변 벤트들 (427), 흐름 스로틀링 디바이스 (433), 배출 포트들 (429), 및 배출 펌프 (431) 에 관해 도 3a의 시스템 (400) 과 본질적으로 동등하다. 그러나, 시스템 (500) 은 도 3a에 관해 상술된 바와 같이, 상단 플레이트 어셈블리 (407A) 에 걸쳐 배치되는 제 1 및 제 2 복수의 플라즈마 마이크로챔버들 (101/102) 상에서의 변화를 포함한다. 상세하게, 상단 플레이트 어셈블리 (407) 에서 그들 각각의 반응성 성분들을 플라즈마 포트들에 공급하기 위해 제 1 및 제 2 플라즈마 마이크로챔버들 (101/102) 의 많은 인스턴스들을 포함하는 것 대신에, 시스템 (500) 은, 제 1 플라즈마 (101A) 를 생성하고, 상단 플레이트 어셈블리 (407) 내의 제 1 복수의 플라즈마 포트들 각각에 제 1 플라즈마 (101A) 의 반응성 성분들을 공급하도록 정의된 큰 제 1 플라즈마 챔버 (501) 를 포함한다. 유사하게, 시스템 (500) 은, 제 2 플라즈마 (102A) 를 생성하고, 상단 플레이트 어셈블리 (407) 내의 제 2 복수의 플라즈마 포트들 각각에 제 2 플라즈마 (102A) 의 반응성 성분들을 공급하도록 정의된 큰 제 2 플라즈마 챔버 (502) 를 포함한다.4A shows another system 500 for substrate plasma processing, in accordance with an embodiment of the present invention. The system 500 includes the system of FIG. 3A with respect to the chamber 401, the substrate support 107, the peripheral vents 427, the flow throttling device 433, the discharge ports 429, and the discharge pump 431. Essentially equivalent to (400). However, the system 500 includes a change on the first and second plurality of plasma microchambers 101/102 disposed over the top plate assembly 407A, as described above with respect to FIG. 3A. Specifically, instead of including many instances of the first and second plasma microchambers 101/102 to supply their respective reactive components to the plasma ports in the top plate assembly 407, the system 500 ) Produces a first plasma 101A and a large first plasma chamber 501 defined to supply reactive components of the first plasma 101A to each of the first plurality of plasma ports in the top plate assembly 407. ). Similarly, system 500 is defined to generate a second plasma 102A and to supply the reactive components of second plasma 102A to each of the second plurality of plasma ports in top plate assembly 407. A second plasma chamber 502.

일 실시형태에서, 시스템 (500) 은, 제 1 플라즈마 (101A) 의 반응성 성분들을 프로세싱 영역 (106) 에 공급하기 위한 제 1 플라즈마 챔버 (501) 의 단일 인스턴스를 포함한다. 또한, 이러한 실시형태에서, 시스템 (500) 은 제 2 플라즈마 (102A) 의 반응성 성분들을 프로세싱 영역 (106) 에 공급하기 위한 제 2 플라즈마 챔버 (501) 의 단일 인스턴스를 포함한다. 다른 실시형태들에서, 시스템 (500) 은, 프로세싱 영역 (106) 으로 제 1 플라즈마 (101A) 의 반응성 성분들을 공급하기 위한 제 1 플라즈마 챔버 (501) 의 1개 초과의 인스턴스를 포함할 수 있으며, 여기서, 제 1 플라즈마 챔버 (501) 의 각각의 인스턴스는 상단 플레이트 어셈블리 (407) 내의 다수의 플라즈마 포트들에 유동적으로 접속된다. 유사하게, 다른 실시형태들에서, 시스템 (500) 은 프로세싱 영역 (106) 으로 제 2 플라즈마 (102A) 의 반응성 성분들을 공급하기 위한 제 2 플라즈마 챔버 (502) 의 하나 초과의 인스턴스를 포함할 수 있으며, 여기서, 제 2 플라즈마 챔버 (502) 의 각각의 인스턴스는 상단 플레이트 어셈블리 (407) 내의 다수의 플라즈마 포트들에 유동적으로 접속된다.In one embodiment, the system 500 includes a single instance of the first plasma chamber 501 for supplying reactive components of the first plasma 101A to the processing region 106. Also in this embodiment, the system 500 includes a single instance of the second plasma chamber 501 for supplying reactive components of the second plasma 102A to the processing region 106. In other embodiments, system 500 can include more than one instance of first plasma chamber 501 for supplying reactive components of first plasma 101A to processing region 106, Here, each instance of the first plasma chamber 501 is fluidly connected to a number of plasma ports in the top plate assembly 407. Similarly, in other embodiments, system 500 may include more than one instance of second plasma chamber 502 for supplying reactive components of second plasma 102A to processing region 106 and Here, each instance of the second plasma chamber 502 is fluidly connected to a number of plasma ports in the top plate assembly 407.

또한, 도 2a 내지 도 2d의 제 1 플라즈마 챔버 (101) 에 관해 이전에 설명된 특징들 및 동작 조건들이 제 1 플라즈마 챔버 (501) 에 동등하게 적용가능함을 이해해야 한다. 또한, 도 2a 내지 도 2d의 제 2 플라즈마 챔버에 관해 이전에 설명된 특징들 및 동작 조건들이 제 2 플라즈마 챔버 (502) 에 동등하게 적용가능함을 이해해야 한다.It should also be understood that the features and operating conditions previously described with respect to the first plasma chamber 101 of FIGS. 2A-2D are equally applicable to the first plasma chamber 501. It should also be understood that the features and operating conditions previously described with respect to the second plasma chamber of FIGS. 2A-2D are equally applicable to the second plasma chamber 502.

제 1 플라즈마 챔버 (501) 에 유동적으로 접속된 상단 플레이트 어셈블리 (407) 내의 플라즈마 포트들은, 제 2 플라즈마 챔버 (502) 에 유동적으로 접속된 상단 플레이트 어셈블리 (407) 내의 플라즈마 포트들과 실질적으로 균일한 방식으로 상단 플레이트 어셈블리 (407) 에 걸쳐 산재된다. 도 4b는 본 발명의 일 실시형태에 따른, 도 4a에서 참조된 바와 같은 수평 단면도 B-B를 도시한다. 도 4b에 도시된 바와 같이, 제 1 및 제 2 플라즈마 챔버들 (501/502) 의 출력들은, 실질적으로 균일한 방식으로 상단 플레이트 어셈블리 (407) 에 걸쳐 서로 산재된다.The plasma ports in the top plate assembly 407 fluidly connected to the first plasma chamber 501 are substantially uniform with the plasma ports in the top plate assembly 407 fluidly connected to the second plasma chamber 502. Scattered across the top plate assembly 407 in a manner. 4B illustrates a horizontal cross sectional view B-B as referenced in FIG. 4A, in accordance with an embodiment of the present invention. As shown in FIG. 4B, the outputs of the first and second plasma chambers 501/502 are interspersed with each other across the top plate assembly 407 in a substantially uniform manner.

상단 플레이트 어셈블리 (407) 에 걸친 제 1 및 제 2 플라즈마 챔버들 (501/502) 과 연관된 플라즈마 포트들 사이의 간격이 상이한 실시형태들 사이에서 변경될 수 있음을 인식해야 한다. 도 4c는 본 발명의 일 실시형태에 따른, 상단 플레이트 어셈블리 (407) 에 걸친 제 1 및 제 2 플라즈마 챔버들 (501/502) 과 연관된 플라즈마 포트들 사이의 간격이 감소되는 도 4b의 수평 단면도의 변형을 도시한다. 도 4d는 본 발명의 일 실시형태에 따른, 상단 플레이트 어셈블리 (407) 에 걸친 제 1 및 제 2 플라즈마 챔버들 (501/502) 과 연관된 플라즈마 포트들 사이의 간격이 증가되는 도 4b의 수평 단면도의 변형을 도시한다. 도 4e는 본 발명의 일 실시형태에 따른, 상단 플레이트 어셈블리 (407) 에 걸친 제 1 및 제 2 플라즈마 챔버들 (501/502) 과 연관된 플라즈마 포트들 사이의 간격이 비균일한 도 4b의 수평 단면도의 변형을 도시한다.It should be appreciated that the spacing between the plasma ports associated with the first and second plasma chambers 501/502 across the top plate assembly 407 may vary between different embodiments. 4C is a horizontal cross-sectional view of FIG. 4B in which the spacing between the plasma ports associated with the first and second plasma chambers 501/502 across the top plate assembly 407 is reduced, in accordance with an embodiment of the present invention. The variant is shown. 4D is a horizontal cross-sectional view of FIG. 4B in which the spacing between the plasma ports associated with the first and second plasma chambers 501/502 across the top plate assembly 407 is increased, in accordance with an embodiment of the present invention. The variant is shown. 4E is a horizontal cross-sectional view of FIG. 4B in which the spacing between the plasma ports associated with the first and second plasma chambers 501/502 across the top plate assembly 407 is nonuniform, in accordance with an embodiment of the present invention. Shows a variation of.

일 실시형태에서, 제 1 플라즈마 챔버 (501) 는 프로세싱 영역 (106) 으로 라디컬 성분들을 공급하는 것을 주로 담당하고, 제 2 플라즈마 챔버 (502) 는 프로세싱 영역 (106) 으로 이온 성분들을 공급하는 것을 주로 담당한다. 이러한 실시형태에서, 제 1 플라즈마 챔버 (501) 의 큰 플라즈마 생성 볼륨은, 상단 플레이트 어셈블리 (407) 내에 다수의 라디컬 성분 디스펜스 포트들을 피드 (feed) 하는데 사용된다. 또한, 이러한 실시형태에서, 제 2 플라즈마 챔버 (502) 의 큰 플라즈마 생성 볼륨은 상단 플레이트 어셈블리 (407) 내의 다수의 이온 성분 디스펜스 포트들을 피드하는데 사용된다. 이러한 실시형태에서, 다수의 라디컬 및 이온 디스펜스 포트들은, 프로세싱 영역 (106) 내의 실질적으로 균일한 라디컬/이온 혼합물을 제공하기 위해 서로 산재된다.In one embodiment, the first plasma chamber 501 is primarily responsible for supplying radical components to the processing region 106, and the second plasma chamber 502 is responsible for supplying ionic components to the processing region 106. Mainly in charge In this embodiment, the large plasma generating volume of the first plasma chamber 501 is used to feed a plurality of radical component dispense ports within the top plate assembly 407. Also in this embodiment, the large plasma generating volume of the second plasma chamber 502 is used to feed the plurality of ionic component dispense ports in the top plate assembly 407. In this embodiment, multiple radical and ion dispense ports are interspersed with each other to provide a substantially uniform radical / ion mixture within the processing region 106.

시스템 (500) 은 또한, 제 1 플라즈마 챔버 (501) 에 전력을 공급하도록 정의된 제 1 전력 공급부 (103A), 및 제 1 플라즈마 챔버에 프로세스 가스를 공급하도록 정의된 제 1 프로세스 가스 공급부 (104A) 를 포함한다. 또한, 시스템 (500) 은, 제 2 플라즈마 챔버 (502) 에 전력을 공급하도록 정의된 제 2 전력 공급부 (103B), 및 제 2 플라즈마 챔버 (502) 에 프로세스 가스를 공급하도록 정의된 제 2 프로세스 가스 공급부 (104B) 를 포함한다. 시스템 (400) 에 간해, 시스템 (500) 에서, 제 1 및 제 2 전력 공급부들 (103A/103B) 중 어느 하나는 독립적으로 제어가능하거나, 제 1 및 제 2 프로세스 가스 공급부 (104A/104B) 는 독립적으로 제어가능하거나, 제 1 및 제 2 전력 공급부들 (103A/103B) 및 제 1 및 제 2 프로세스 가스 공급부들 (104A/104B) 양자는 독립적으로 제어가능하다. 부가적으로, 일 실시형태에서, 시스템 (500) 의 제 1 및 제 2 플라즈마 챔버들 (501/502) 은 동시 방식 또는 펄싱된 방식 중 어느 하나로 동작하도록 정의된다. 펄싱된 방식으로 동작된 경우, 제 1 플라즈마 챔버 (501) 또는 제 2 플라즈마 챔버 (502) 는 주어진 시간으로 동작되며, 제 1 및 제 2 플라즈마 챔버들 (501/502) 은 교번하는 시퀀스로 동작된다.The system 500 also includes a first power supply 103A defined to supply power to the first plasma chamber 501, and a first process gas supply 104A defined to supply process gas to the first plasma chamber. It includes. The system 500 also includes a second power supply 103B defined to supply power to the second plasma chamber 502, and a second process gas defined to supply process gas to the second plasma chamber 502. Supply portion 104B. Regarding system 400, in system 500, either one of the first and second power supplies 103A / 103B is independently controllable, or the first and second process gas supplies 104A / 104B are Independently controllable, or both the first and second power supplies 103A / 103B and the first and second process gas supplies 104A / 104B are independently controllable. Additionally, in one embodiment, the first and second plasma chambers 501/502 of the system 500 are defined to operate in either a simultaneous manner or a pulsed manner. When operated in a pulsed manner, the first plasma chamber 501 or the second plasma chamber 502 is operated at a given time, and the first and second plasma chambers 501/502 are operated in an alternating sequence. .

도 5a는 본 발명의 일 실시형태에 따른, 기판 플라즈마 프로세싱을 위한 또 다른 시스템 (600) 을 도시한다. 시스템 (600) 은, 챔버 (401) 및 기판 지지부 (107) 에 관해 도 3a의 시스템 (400) 과 본질적으로 동등하다. 그러나, 시스템 (600) 은 도 3a에 관해 이전에 설명된 바와 같이, 배출 채널들 (607) 내에 형성된 제 1 세트의 플라즈마 마이크로챔버들 (605) 및 제 2 세트의 플라즈마 마이크로챔버들 (603) 을 포함하는 상단 플레이트 어셈블리 (601) 와 상단 플레이트 어셈블리 (407) 를 대체한다.5A shows another system 600 for substrate plasma processing, in accordance with an embodiment of the present invention. System 600 is essentially equivalent to system 400 of FIG. 3A with respect to chamber 401 and substrate support 107. However, the system 600 does not support the first set of plasma microchambers 605 and the second set of plasma microchambers 603 formed in the discharge channels 607, as previously described with respect to FIG. 3A. Replace the upper plate assembly 601 and the upper plate assembly 407 that includes.

시스템 (600) 은, 상단 구조 (401B), 바닥 구조 (401C), 및 상단 및 바닥 구조들 (401B/401C) 사이에서 연장하는 측벽들 (401A) 을 갖는 챔버 (401) 를 포함한다. 챔버 (401) 는 또한, 프로세싱 영역 (106) 을 포함한다. 기판 지지부 (107) 는 챔버 (401) 내에 배치되며, 프로세싱 영역 (106) 에 대한 노출부에서 기판 (105) 을 지지하도록 정의된 상단 표면을 갖는다. 상단 플레이트 어셈블리 (601) 는 기판 지지부 (107) 위의 챔버 (401) 내에 배치된다. 상단 플레이트 어셈블리 (601) 는 프로세싱 영역 (106) 에 노출되고, 기판 지지부 (107) 의 상단 표면 반대쪽에 있는 하부 표면을 갖는다.System 600 includes a chamber 401 having a top structure 401B, a bottom structure 401C, and sidewalls 401A extending between the top and bottom structures 401B / 401C. The chamber 401 also includes a processing region 106. The substrate support 107 is disposed within the chamber 401 and has a top surface defined to support the substrate 105 at an exposure to the processing region 106. The top plate assembly 601 is disposed in the chamber 401 above the substrate support 107. Top plate assembly 601 is exposed to processing region 106 and has a bottom surface opposite the top surface of substrate support 107.

상단 플레이트 어셈블리 (601) 는, 상단 플레이트 어셈블리 (601) 의 하부 표면으로 각각 형성된 제 1 세트의 플라즈마 마이크로챔버들 (605) 을 포함한다. 상단 플레이트 어셈블리 (601) 는 또한, 제 1 가스 공급부 (104A) 로부터 제 1 세트의 플라즈마 마이크로챔버들 (605) 의 각각으로 제 1 프로세스 가스를 흐르게 하도록 형성된 가스 공급 채널들 (611) 의 제 1 네트워크를 포함한다. 가스 공급 채널들 (611) 의 제 1 네트워크로의 제 1 프로세스 가스의 공급은 도 5a의 라인들 (611A) 에 의해 표시된다. 제 1 세트의 플라즈마 마이크로챔버들 (605) 각각은 제 1 전력 공급부 (103A) 로부터 전력을 수신하도록 접속되며, 프로세싱 영역 (106) 에 대한 노출부에서 제 1 플라즈마로 제 1 프로세스 가스를 변환시키기 위해 이러한 수신 전력을 사용하도록 정의된다. 제 1 세트의 플라즈마 마이크로챔버들 (605) 로의 제 1 전력의 공급은 도 5a의 라인들 (611A) 에 의해 또한 표시된다.The top plate assembly 601 includes a first set of plasma microchambers 605 each formed with a bottom surface of the top plate assembly 601. The top plate assembly 601 also has a first network of gas supply channels 611 formed to flow a first process gas from the first gas supply 104A to each of the first set of plasma microchambers 605. It includes. The supply of the first process gas to the first network of gas supply channels 611 is indicated by lines 611A in FIG. 5A. Each of the first set of plasma microchambers 605 is connected to receive power from the first power supply 103A and for converting the first process gas into a first plasma at an exposure to the processing region 106. It is defined to use this received power. The supply of first power to the first set of plasma microchambers 605 is also indicated by lines 611A in FIG. 5A.

제 1 세트의 전력 전달 컴포넌트들 (615) 은, 제 1 세트의 플라즈마 마이크로챔버들 (605) 에 대해 상단 플레이트 어셈블리 (601) 내에 각각 배치된다. 제 1 세트의 전력 전달 컴포넌트들 (615) 의 각각은, 제 1 전력 공급부 (103A) 로부터 제 1 전력을 수신하고, 제 1 세트의 플라즈마 마이크로챔버들 (605) 중 그의 관련된 하나에 제 1 전력을 공급하도록 접속된다. 일 실시형태에서, 제 1 세트의 전력 전달 컴포넌트들 (615) 의 각각은 제 1 세트의 플라즈마 마이크로챔버들 (605) 중 주어진 하나를 한정 (circumscribe) 하도록 형성된 코일로서 정의된다. 그러나, 다른 실시형태들에서, 제 1 세트의 전력 전달 컴포넌트들 (615) 이 코일 이외의 방식들로 정의될 수 있음을 이해해야 한다. 예를 들어, 일 실시형태에서, 제 1 세트의 전력 전달 컴포넌트들 (615) 의 각각은, 제 1 세트의 플라즈마 마이크로챔버들 (605) 중 그의 관련된 하나에 제 1 전력을 운반하도록 구성 및 배치된 하나 이상의 전극들로서 정의된다.The first set of power delivery components 615 are each disposed within the top plate assembly 601 with respect to the first set of plasma microchambers 605. Each of the first set of power delivery components 615 receives the first power from the first power supply 103A and applies the first power to its associated one of the first set of plasma microchambers 605. Connected to supply. In one embodiment, each of the first set of power delivery components 615 is defined as a coil configured to circumscribe a given one of the first set of plasma microchambers 605. However, it should be understood that in other embodiments, the first set of power delivery components 615 may be defined in ways other than a coil. For example, in one embodiment, each of the first set of power delivery components 615 is configured and arranged to deliver the first power to its associated one of the first set of plasma microchambers 605. It is defined as one or more electrodes.

상단 플레이트 어셈블리 (601) 는 또한, 프로세싱 영역 (106) 으로부터의 배출 가스들의 제거를 제공하기 위해 상단 플레이트 어셈블리 (601) 의 하부 표면을 통해 형성된 일 세트의 배출 채널들 (607) 을 포함한다. 각각의 배출 채널 (607) 은, 채널들, 튜빙, 플래넘(들) 등과 같은 배출 유체 운반 시스템 (607A) 에 유동적으로 접속되며, 그 시스템은 차례로, 배출 펌프 (619) 에 유동적으로 접속된다. 동작된 경우, 배출 펌프 (619) 는 프로세싱 영역 (106) 으로부터 프로세스 가스들을 제거하기 위해 일 세트의 배출 채널들 (607) 에 흡입관 (suction) 을 배출 유체 운반 시스템 (607A) 를 통하여 적용한다. 화살표들 (617) 에 의해 표시된 바와 같이, 제 1 세트의 플라즈마 마이크로챔버들 (605) 을 통해 프로세싱 영역 (106) 으로 흐르는 프로세스 가스들은 배출 채널들 (607) 을 향해 및 배출 채널 (607) 들로 인출된다.The top plate assembly 601 also includes a set of outlet channels 607 formed through the bottom surface of the top plate assembly 601 to provide removal of the exhaust gases from the processing region 106. Each outlet channel 607 is fluidly connected to an outlet fluid delivery system 607A, such as channels, tubing, plenum (s), etc., which in turn is fluidly connected to an outlet pump 619. When operated, the discharge pump 619 applies a suction through the discharge fluid delivery system 607A to a set of discharge channels 607 to remove process gases from the processing region 106. As indicated by arrows 617, process gases flowing through the first set of plasma microchambers 605 into the processing region 106 toward the discharge channels 607 and into the discharge channels 607. Withdrawn.

제 2 세트의 플라즈마 마이크로챔버들 (603) 은 일 세트의 배출 채널들 (607) 내부에 각각 형성된다. 가스 공급부 채널들 (609) 의 제 2 네트워크는, 제 2 프로세스 가스 공급부 (104B) 로부터 제 2 세트의 플라즈마 마이크로챔버들 (603) 의 각각으로 제 2 프로세스 가스를 흐르게 하도록 형성된다. 가스 공급 채널들 (609) 의 제 2 네트워크로의 제 2 프로세스 가스의 공급은 도 5a의 라인들 (609A) 에 의해 표시된다. 제 2 세트의 플라즈마 마이크로챔버들 (603) 의 각각은, 제 2 전력 공급부 (103B) 로부터 전력을 수신하도록 접속되고, 프로세싱 영역 (106) 에 대한 노출부에서 제 2 플라즈마로 제 2 프로세스 가스를 변환시키기 위해 이러한 수신 전력을 사용하도록 정의된다. 제 2 세트의 플라즈마 마이크로챔버들 (603) 로의 제 2 전력의 공급은 도 5a의 라인들 (609A) 에 의해 또한 표시된다.The second set of plasma microchambers 603 are each formed inside a set of discharge channels 607. The second network of gas supply channels 609 is formed to flow a second process gas from the second process gas supply 104B to each of the second set of plasma microchambers 603. The supply of the second process gas to the second network of gas supply channels 609 is indicated by lines 609A of FIG. 5A. Each of the second set of plasma microchambers 603 is connected to receive power from the second power supply 103B and converts the second process gas into a second plasma at an exposure to the processing region 106. Is defined to use this received power. The supply of second power to the second set of plasma microchambers 603 is also indicated by lines 609A of FIG. 5A.

제 2 세트의 전력 전달 컴포넌트들 (613) 은, 제 2 세트의 플라즈마 마이크로챔버들 (603) 에 대해 상단 플레이트 어셈블리 (601) 내에 각각 배치된다. 제 2 세트의 전력 전달 컴포넌트들 (613) 의 각각은, 제 2 전력 공급부 (103B) 로부터 제 2 전력을 수신하고, 제 2 세트의 플라즈마 마이크로챔버들 (603) 중 그의 관련된 하나에 제 2 전력을 공급하도록 접속된다. 일 실시형태에서, 제 2 세트의 전력 전달 컴포넌트들 (613) 의 각각은 제 2 세트의 플라즈마 마이크로챔버들 (603) 중 주어진 하나를 한정하도록 형성된 코일로서 정의된다. 그러나, 다른 실시형태들에서, 제 2 세트의 전력 전달 컴포넌트들 (613) 이 코일 이외의 방식들로 정의될 수 있음을 이해해야 한다. 예를 들어, 일 실시형태에서, 제 2 세트의 전력 전달 컴포넌트들 (613) 의 각각은, 제 2 세트의 플라즈마 마이크로챔버들 (603) 중 그의 관련된 하나에 제 2 전력을 운반하도록 구성 및 배치된 하나 이상의 전극들로서 정의된다.The second set of power delivery components 613 are each disposed within the top plate assembly 601 with respect to the second set of plasma microchambers 603. Each of the second set of power delivery components 613 receives a second power from the second power supply 103B and applies a second power to its associated one of the second set of plasma microchambers 603. Connected to supply. In one embodiment, each of the second set of power delivery components 613 is defined as a coil formed to define a given one of the second set of plasma microchambers 603. However, it should be understood that in other embodiments, the second set of power delivery components 613 may be defined in ways other than a coil. For example, in one embodiment, each of the second set of power delivery components 613 is configured and arranged to deliver a second power to its associated one of the second set of plasma microchambers 603. It is defined as one or more electrodes.

기판 지지부 (107) 내의 전극 (112) 은 기판 지지부 (107) 와 상단 플레이트 어셈블리 (601) 의 하부 표면 사이의 프로세싱 영역 (106) 에 걸쳐 바이어스 전압을 인가하도록 정의된다. 제 2 세트의 플라즈마 마이크로챔버들 (603) 로, 즉 배출 채널들 (607) 로의 가스 공급 채널들 (609) 의 제 2 네트워크를 통해 흐르는 프로세스 가스들은, 프로세싱 영역 (106) 으로부터 떨어져 인출되고, 프로세싱 영역 (106) 으로 진입하지 않는다. 따라서, 제 2 세트의 플라즈마 마이크로챔버들 (603) 이 배출 채널들 (607) 내에 형성되기 때문에, 제 2 세트의 플라즈마 마이크로챔버들 (603) 내에 형성된 라디컬들은 배출 채널들 (607) 을 통한 배출 가스 흐름 경로를 따를 것이다. 그러나, 제 2 세트의 플라즈마 마이크로챔버들 (603) 내에 형성된 이온들은, 전극 (112) 에 의해 프로세싱 영역 (106) 에 걸쳐 인가된 바이어스 전압에 의하여 프로세싱 영역 (106) 으로 당겨질 것이다. 이러한 방식으로, 제 2 세트의 플라즈마 마이크로챔버들 (603) 은 프로세싱 영역 (106) 에 대한 실질적으로 순수한 이온 소스로서 동작할 수 있다.The electrode 112 in the substrate support 107 is defined to apply a bias voltage across the processing region 106 between the substrate support 107 and the lower surface of the top plate assembly 601. Process gases flowing into the second set of plasma microchambers 603, ie, through the second network of gas supply channels 609 to the discharge channels 607, are drawn off from the processing region 106 and processed Do not enter area 106. Thus, since the second set of plasma microchambers 603 are formed in the discharge channels 607, the radicals formed in the second set of plasma microchambers 603 are discharged through the discharge channels 607. It will follow the gas flow path. However, ions formed in the second set of plasma microchambers 603 will be attracted to the processing region 106 by a bias voltage applied across the processing region 106 by the electrode 112. In this way, the second set of plasma microchambers 603 can operate as a substantially pure ion source for the processing region 106.

제 1 세트의 플라즈마 마이크로챔버들 (605) 은 상단 플레이트 어셈블리 (601) 의 하부 표면에 걸쳐 실질적으로 균일한 방식으로 제 2 세트의 플라즈마 마이크로챔버들 (603) 과 산재됨을 이해해야 한다. 이러한 방식으로, 제 1 세트의 플라즈마 마이크로챔버들 (605) 로부터의 반응성 라디컬 성분들은, 기판 (105) 에 도달하기 전에 프로세싱 영역 (106) 내의 제 2 세트의 플라즈마 마이크로챔버들 (603) 로부터의 이온 성분들과 실질적으로 균일한 방식으로 혼합될 수 있다. 도 5b는 본 발명의 일 실시형태에 따른, 도 5a에 참조된 바와 같은 수평 단면도 C-C를 도시한다. 도 5b에 도시된 바와 같이, 제 1 및 제 2 세트들의 플라즈마 마이크로챔버들 (605/603) 은 상단 플레이트 어셈블리 (601) 의 하부 표면에 걸쳐 실질적으로 균일한 방식으로 분배된다.It should be understood that the first set of plasma microchambers 605 are interspersed with the second set of plasma microchambers 603 in a substantially uniform manner across the bottom surface of the top plate assembly 601. In this manner, reactive radical components from the first set of plasma microchambers 605 are transferred from the second set of plasma microchambers 603 in the processing region 106 before reaching the substrate 105. It may be mixed with the ionic components in a substantially uniform manner. 5B shows a horizontal cross sectional view C-C as referenced in FIG. 5A, in accordance with an embodiment of the present invention. As shown in FIG. 5B, the first and second sets of plasma microchambers 605/603 are distributed in a substantially uniform manner over the bottom surface of the top plate assembly 601.

상단 플레이트 어셈블리 (601) 의 하부 표면에 걸친 제 1 및 제 2 세트들의 플라즈마 마이크로챔버들 (605/603) 사이의 간격이 상이한 실시형태들 사이에서 변경될 수 있음을 인식해야 한다. 도 5c는 본 발명의 일 실시형태에 따른, 상단 플레이트 어셈블리 (601) 의 하부 표면에 걸친 제 1 및 제 2 세트들의 플라즈마 마이크로챔버들 (605/603) 사이의 간격이 감소되는 도 5b의 수평 단면도의 변형을 도시한다. 도 5d는 본 발명의 일 실시형태에 따른, 상단 플레이트 어셈블리 (601) 의 하부 표면에 걸친 제 1 및 제 2 세트들의 플라즈마 마이크로챔버들 (605/603) 사이의 간격이 증가되는 도 5b의 수평 단면도의 변형을 도시한다. 도 5e는 본 발명의 일 실시형태에 따른, 상단 플레이트 어셈블리 (601) 의 하부 표면에 걸친 제 1 및 제 2 세트들의 플라즈마 마이크로챔버들 (605/603) 사이의 간격이 비균일한 도 5b의 수평 단면도의 변형을 도시한다.It should be appreciated that the spacing between the first and second sets of plasma microchambers 605/603 across the bottom surface of the top plate assembly 601 may vary between different embodiments. 5C is a horizontal cross-sectional view of FIG. 5B in which the spacing between the first and second sets of plasma microchambers 605/603 across the bottom surface of the top plate assembly 601 is reduced, in accordance with an embodiment of the present invention. Shows a variation of. 5D is a horizontal cross-sectional view of FIG. 5B in which the spacing between the first and second sets of plasma microchambers 605/603 across the bottom surface of the top plate assembly 601 is increased, in accordance with an embodiment of the present invention. Shows a variation of. 5E is the horizontal of FIG. 5B where the spacing between the first and second sets of plasma microchambers 605/603 across the bottom surface of the top plate assembly 601 is non-uniform, in accordance with an embodiment of the present invention. The deformation of the cross section is shown.

도 2a 내지 도 2g, 도 3a 내지 도 3e, 도 4a 내지 도 4e의 실시형태들에 관해, 도 5a 내지 도 5e의 실시형태들에서, 제 1 및 제 2 전력 공급부들 (103A/103B) 및 제 1 및 제 2 가스 공급부들 (104A/104B) 은 다양한 방식들로 제어될 수 있다. 일 실시형태에서, 제 1 및 제 2 전력 공급부들 (103A/103B) 은 독립적으로 제어가능하다. 일 실시형태에서, 제 1 및 제 2 프로세스 가스 공급부들 (104A/104B) 은 독립적으로 제어가능하다. 또 다른 실시형태에서, 제 1 및 제 2 전력 공급부들 (103A/103B) 및 제 1 및 제 2 프로세스 가스 공급부들 (104A/104B) 양자가 독립적으로 제어가능하다. 다음으로, 제 1 및 제 2 세트들의 플라즈마 마이크로챔버들 (605/603) 이 동시 방식 또는 펄싱된 방식 중 어느 하나로 동작하도록 정의됨을 이해해야 한다. 펄싱된 방식으로 동작된 경우, 제 1 세트의 플라즈마 마이크로챔버들 (605) 또는 제 2 세트의 플라즈마 마이크로챔버들 (603) 중 어느 하나는 주어진 시간으로 동작되며, 제 1 및 제 2 세트들의 플라즈마 마이크로챔버들 (605/603) 은 교번하는 시퀀스로 동작된다.With respect to the embodiments of FIGS. 2A-2G, 3A-3E, 4A-4E, in the embodiments of FIGS. 5A-5E, the first and second power supplies 103A / 103B and the first The first and second gas supplies 104A / 104B can be controlled in various ways. In one embodiment, the first and second power supplies 103A / 103B are independently controllable. In one embodiment, the first and second process gas supplies 104A / 104B are independently controllable. In yet another embodiment, both the first and second power supplies 103A / 103B and the first and second process gas supplies 104A / 104B are independently controllable. Next, it should be understood that the first and second sets of plasma microchambers 605/603 are defined to operate in either a simultaneous manner or a pulsed manner. When operated in a pulsed manner, either the first set of plasma microchambers 605 or the second set of plasma microchambers 603 are operated at a given time and the first and second sets of plasma microchambers. Chambers 605/603 are operated in an alternating sequence.

도 5a의 실시형태가 주어지면, 플라즈마로 하여금 그의 발생 영역으로부터 탈출하게 하는 (예를 들어, 쌍극선 확산 (ambipolar diffusion)) 드라이버들이, 라디컬들로 하여금 프로세스 가스 흐름 방향을 반전시킴으로써 플라즈마 영역으로 탈출하게 하는 드라이버들과 반대로 행해질 수 있음을 인식해야 한다. 이온 소스들, 즉 제 2 세트의 플라즈마 마이크로챔버들 (603) 로 상단 펌핑을 부가하는 것은, 플라즈마 소스 그 자체로부터의 더 큰 이온/중성 플럭스 비율 및 더 효율적인 이온 추출 (더 넓은 개구들) 양자를 용이하게 한다. 부가적으로, 일 실시형태에서, 배출 채널들 (607) 을 통한 상단 배출 흐름에 부가하여 주변 배출 흐름을 가능하게 하기 위해, 도 3a 및 도 4a의 실시형태들에 관해 상술된 바와 같이, 도 5a의 챔버 (401) 가 주변 벤트들 (427), 흐름 스로틀링 디바이스 (433), 배출 포트들 (429), 및 배출 펌프 (431) 을 추가적으로 장착할 수 있음을 이해해야 한다.Given the embodiment of FIG. 5A, drivers that cause the plasma to escape from its generating region (eg, bipolar diffusion) are driven into the plasma region by inverting the radicals in the process gas flow direction. It should be recognized that the opposite can be done with drivers that cause them to escape. Adding top pumping to the ion sources, i.e., the second set of plasma microchambers 603, provides both greater ion / neutral flux ratio and more efficient ion extraction (wider apertures) from the plasma source itself. To facilitate. Additionally, in one embodiment, FIG. 5A, as described above with respect to the embodiments of FIGS. 3A and 4A, to enable ambient exhaust flow in addition to the top exhaust flow through outlet channels 607. It is to be understood that the chamber 401 may be additionally equipped with peripheral vents 427, flow throttling device 433, discharge ports 429, and discharge pump 431.

여기에 기재된 다양한 실시형태들에서, 상이한 이온 및 라디컬 플라즈마 소스들은 가스 흐름, 가스 압력, 전력 주파수, 전력 진폭, 온 지속기간, 오프 지속기간, 및 타이밍 시퀀스에 관해 프로세스 제어될 수 있다. 또한, 상이한 타입들의 플라즈마 소스들은, 이웃한 플라즈마 소스들 사이의 통신을 완화시키도록 펄싱될 수 있다. 2개의 상이한 플라즈마 소스 타입들은 또한, 하나의 플라즈마 소스로부터의 이온들의 더 높은 플럭스 및 다른 플라즈마 소스로부터의 라디컬들의 더 높은 플럭스의 조건을 달성하기 위해 상이한 가스 혼합물들을 사용하여 동작될 수 있다. 이온 및 라디컬 플라즈마 소스들의 혼합된 어레이를 이용하여, 일 실시형태에서, 각각의 플라즈마 소스는 그 자신의 별개로 제어된 전력 및 가스 공급부들에 접속될 수 있다. 또한, 다른 실시형태에서, 혼합된 어레이 내의 모든 이온 플라즈마 소스들은 공통 가스 공급부 및 공통 전력 공급부에 접속될 수 있으며, 혼합된 어레이 내의 모든 라디컬 플라즈마 소스는 다른 공통 가스 공급부 및 다른 공통 전력 공급부에 접속될 수 있다.In various embodiments described herein, different ion and radical plasma sources can be process controlled in terms of gas flow, gas pressure, power frequency, power amplitude, on duration, off duration, and timing sequence. In addition, different types of plasma sources may be pulsed to mitigate communication between neighboring plasma sources. Two different plasma source types may also be operated using different gas mixtures to achieve conditions of higher flux of ions from one plasma source and higher flux of radicals from another plasma source. Using a mixed array of ion and radical plasma sources, in one embodiment, each plasma source can be connected to its own separately controlled power and gas supplies. Further, in other embodiments, all ion plasma sources in the mixed array may be connected to a common gas supply and a common power supply, and all radical plasma sources in the mixed array may be connected to other common gas supplies and other common power supplies. Can be.

일 실시형태에서, 도 5a의 시스템 (600) 은, 플라즈마 프로세싱 영역 (601) 에 노출된 프로세스-측 표면을 갖는 플레이트 어셈블리 (601) 를 갖춘 반도체 기판 프로세싱 시스템을 나타낸다. 플레이트 어셈블리 (601) 는, 플라즈마 프로세싱 영역 (601) 으로부터의 배출 가스들의 제거를 제공하기 위해, 플레이트 어셈블리 (601) 의 프로세스-측 표면을 통해 형성되는 배출 채널 (607) 을 포함한다. 플라즈마 마이크로챔버 (603) 는 배출 채널 내부에 형성된다. 가스 공급 채널 (609) 은, 배출 채널 (607) 에서 플라즈마 마이크로챔버 (603) 에 프로세스 가스를 흐르게 하도록 플레이트 어셈블리 (601) 를 통해 형성된다. 전력 전달 컴포넌트 (613) 는, 배출 채널 (607) 에서 플라즈마 마이크로챔버 (603) 내의 플라즈마로 프로세스 가스를 변환시키기 위해, 전력을 플라즈마 마이크로챔버 영역 (603) 에 송신하도록 플레이트 어셈블리 (601) 내에 형성된다.In one embodiment, the system 600 of FIG. 5A represents a semiconductor substrate processing system with a plate assembly 601 having a process-side surface exposed to the plasma processing region 601. Plate assembly 601 includes an exhaust channel 607 formed through the process-side surface of plate assembly 601 to provide removal of exhaust gases from plasma processing region 601. The plasma microchamber 603 is formed inside the discharge channel. The gas supply channel 609 is formed through the plate assembly 601 to flow the process gas into the plasma microchamber 603 in the discharge channel 607. The power delivery component 613 is formed in the plate assembly 601 to transmit power to the plasma microchamber region 603 for converting the process gas into a plasma in the plasma microchamber 603 in the discharge channel 607. .

일 실시형태에서, 전력 전달 컴포넌트 (613) 에 공급된 전력은, DC 전력, RF 전력, 또는 DC 및 RF 전력의 조합 중 어느 하나이다. 일 실시형태에서, 전력 전달 컴포넌트 (613) 에 공급된 전력은 2MHz, 27MHz, 60MHz, 또는 400kHz 중 어느 하나의 주파수를 갖는 RF 전력이다. 일 실시형태에서, 전력 전달 컴포넌트 (613) 는, 배출 채널 (607) 에서 플라즈마 마이크로챔버 (603) 를 한정하도록 플레이트 어셈블리 (601) 내에서 형성된 코일로서 정의된다.In one embodiment, the power supplied to the power delivery component 613 is either DC power, RF power, or a combination of DC and RF power. In one embodiment, the power supplied to the power delivery component 613 is RF power with a frequency of any of 2 MHz, 27 MHz, 60 MHz, or 400 kHz. In one embodiment, the power delivery component 613 is defined as a coil formed in the plate assembly 601 to define the plasma microchamber 603 in the discharge channel 607.

시스템 (600) 은, 에너자이징된 경우, 이온들로 하여금 배출 채널 (607) 내의 플라즈마 마이크로챔버 (603) 로부터 플라즈마 프로세싱 영역 (106) 으로 끌어당겨지게 하는 플레이트 어셈블리 (601) 의 외부에 배치된 전극 (112) 을 또한 포함한다. 일 실시형태에서, 전극 (112) 은 기판 지지부 (107) 내에 배치되며, 기판 지지부 (107) 는 플라즈마 프로세싱 영역 (106) 에 대한 노출부에서 기판 (105) 을 지지하도록 배치된다. 또한, 일 실시형태에서, 배출 채널 (607) 은, 기판 (105) 이 지지될 기판 지지부 (107) 의 표면에 실질적으로 수직하고 그 표면으로부터 떨어진 방향으로 프로세싱 영역 (106) 으로부터 가스들을 제거하도록 정의된다.The system 600 includes an electrode disposed outside of the plate assembly 601 that, when energized, causes ions to be attracted from the plasma microchamber 603 in the discharge channel 607 into the plasma processing region 106. 112) also. In one embodiment, the electrode 112 is disposed within the substrate support 107, and the substrate support 107 is disposed to support the substrate 105 at an exposure to the plasma processing region 106. Also, in one embodiment, the discharge channel 607 is defined to remove gases from the processing region 106 in a direction substantially perpendicular to and away from the surface of the substrate support 107 on which the substrate 105 will be supported. do.

도 6은 본 발명의 일 실시형태에 따른, 반도체 기판을 프로세싱하기 위한 방법의 흐름도를 도시한다. 방법은, 프로세싱 영역 (106) 에 대한 노출부에서 기판 지지부 (107) 상에 기판 (105) 을 배치하기 위한 동작 (701) 을 포함한다. 방법은 또한, 제 1 플라즈마 타입의 제 1 플라즈마 (101A) 를 생성하기 위한 동작 (703) 을 포함한다. 방법은 또한, 제 1 플라즈마 타입과는 상이한 제 2 플라즈마 타입의 제 2 플라즈마 (102A) 를 생성하기 위한 동작 (705) 을 포함한다. 방법은 또한, 기판 (105) 의 프로세싱에 영향을 주기 위해, 제 1 및 제 2 플라즈마들 (101A/102A) 양자의 반응성 성분들 (108A/108B) 을 프로세싱 영역 (106) 에 공급하기 위한 동작 (707) 을 포함한다.6 shows a flowchart of a method for processing a semiconductor substrate, in accordance with an embodiment of the present invention. The method includes an operation 701 for placing the substrate 105 on the substrate support 107 at an exposure to the processing region 106. The method also includes an operation 703 for generating a first plasma 101A of a first plasma type. The method also includes an operation 705 for generating a second plasma 102A of a second plasma type different from the first plasma type. The method also includes an operation for supplying reactive components 108A / 108B of both the first and second plasmas 101A / 102A to the processing region 106 to affect the processing of the substrate 105. 707).

방법은 또한, 제 1 플라즈마 (101A) 를 생성하기 위해 제 1 전력 및 제 1 프로세스 가스를 사용하고, 제 2 플라즈마 (102A) 를 생성하기 위해 제 2 전력 및 제 2 프로세스 가스를 사용하기 위한 동작을 포함한다. 일 실시형태에서, 방법은, 제 1 및 제 2 전력들 또는 제 1 및 제 2 프로세스 가스들 중 하나, 또는 제 1 및 제 2 전력들 및 제 1 및 제 2 프로세스 가스들 양자를 독립적으로 제어하기 위한 동작을 포함한다. 또한, 일 실시형태에서, 제 1 전력은 DC 전력, RF 전력, 또는 DC 및 RF 전력의 조합 중 어느 하나이고, 제 2 전력은 DC 전력, RF 전력, 또는 DC 및 RF 전력의 조합 중 어느 하나이다. 일 예시적인 실시형태에서, 제 1 전력은 2MHz, 27MHz, 60MHz, 또는 400kHz 중 어느 하나의 제 1 주파수를 갖는 RF 전력이고, 제 2 전력은 2MHz, 27MHz, 60MHz, 또는 400kHz 중 어느 하나의 제 2 주파수를 갖는 RF 전력이며, 제 2 주파수는 제 1 주파수와는 상이하다.The method also uses an operation for using the first power and the first process gas to generate the first plasma 101A, and using the second power and the second process gas to generate the second plasma 102A. Include. In one embodiment, the method includes independently controlling the first and second powers or one of the first and second process gases, or both the first and second powers and the first and second process gases. For the operation. Further, in one embodiment, the first power is either DC power, RF power, or a combination of DC and RF power, and the second power is either DC power, RF power, or a combination of DC and RF power. In one exemplary embodiment, the first power is RF power having a first frequency of any of 2 MHz, 27 MHz, 60 MHz, or 400 kHz, and the second power is a second of any of 2 MHz, 27 MHz, 60 MHz, or 400 kHz It is an RF power having a frequency, and the second frequency is different from the first frequency.

방법에서, 제 1 플라즈마 (101A) 는 라디컬 밀도 대 이온 밀도의 제 1 비율을 갖도록 생성되고, 제 2 플라즈마 (102A) 는 라디컬 밀도 대 이온 밀도의 제 2 비율을 갖도록 생성된다. 제 2 플라즈마 (102A) 내의 라디컬 밀도 대 이온 밀도의 제 2 비율은 제 1 플라즈마 (101A) 내의 라디컬 밀도 대 이온 밀도의 제 1 비율과는 상이하다. 방법에서, 제 1 및 제 2 플라즈마들 (101A/102A) 양자로부터의 반응성 성분들은, 기판 (105) 에 대한 노출부에서 프로세싱 영역 (106) 전반에 걸쳐 실질적으로 균일한 방식으로 공급된다. 또한, 다양한 실시형태들에서, 제 1 및 제 2 플라즈마들 (101A/102A) 로부터의 반응성 성분들은 동시 방식 또는 펄싱된 방식 어느 하나로 생성 및 공급된다. 펄싱된 방식으로의 제 1 및 제 2 플라즈마들 (101A/102A) 의 생성 및 공급은, 주어진 시간에서 및 교번하는 시퀀스로 제 1 플라즈마 (101A) 또는 제 2 플라즈마 (102A) 중 어느 하나의 반응성 성분들의 생성 및 공급을 포함한다.In the method, the first plasma 101A is generated to have a first ratio of radical density to ion density, and the second plasma 102A is generated to have a second ratio of radical density to ion density. The second ratio of radical density to ion density in the second plasma 102A is different from the first ratio of radical density to ion density in the first plasma 101A. In the method, reactive components from both the first and second plasmas 101A / 102A are supplied in a substantially uniform manner throughout the processing region 106 at an exposure to the substrate 105. In addition, in various embodiments, reactive components from the first and second plasmas 101A / 102A are produced and supplied in either a simultaneous manner or a pulsed manner. The generation and supply of the first and second plasmas 101A / 102A in a pulsed manner is the reactive component of either the first plasma 101A or the second plasma 102A at a given time and in an alternating sequence. Their production and supply.

방법은 또한, 예를 들어, 도 2d에 관해 설명된 바와 같이, 제 1 및 제 2 플라즈마들 (101A/102A) 중 하나 또는 양자로부터 프로세싱 영역 (106) 으로의 이온 추출을 증가시키기 위해 보충적인 전자들을 생성하기 위한 동작을 포함할 수 있다. 또한, 방법은 전극 (112) 의 동작에 관해 여기에 설명된 것과 같이, 제 1 및 제 2 플라즈마들 (101A/102A) 중 하나 또는 양자로부터 기판 (105) 을 향해 이온들을 끌어당기기 위하여, 기판 지지부 (107) 로부터 프로세싱 영역 (106) 에 걸쳐 바이어스 전압을 인가하기 위한 동작을 포함할 수 있다.The method also supplements electrons to increase ion extraction from one or both of the first and second plasmas 101A / 102A into the processing region 106, for example, as described with respect to FIG. 2D. May include an operation for generating the data. In addition, the method supports a substrate support to attract ions from one or both of the first and second plasmas 101A / 102A towards the substrate 105, as described herein with respect to the operation of the electrode 112. May include an operation for applying a bias voltage from 107 to the processing region 106.

부가적으로, 일 실시형태에서, 방법은, 제 1 플라즈마 (101A) 의 반응성 성분들이 프로세싱 영역 (106) 에 공급되는 제 1 포트와 제 2 플라즈마 (102A) 의 반응성 성분들이 프로세싱 영역 (106) 에 공급되는 제 2 포트 사이에 배플 구조 (109) 를 위치시키기 위한 동작을 포함할 수 있다. 이러한 실시형태에서, 방법은 또한, 제 1 및 제 2 플라즈마 (101A/102A) 의 반응성 성분들이 프로세싱 영역 (106) 으로 방출되는 제 1 및 제 2 포트들 사이의 유체 통신 및 전력 통신 중 하나 또는 양자를 제한하기 위해, 기판 지지부 (107) 에 관한 배플 구조 (109) 의 위치를 제어하기 위한 동작을 포함할 수 있다.Additionally, in one embodiment, the method further comprises that the reactive components of the first port and the second plasma 102A are supplied to the processing region 106 where the reactive components of the first plasma 101A are supplied to the processing region 106. Operation for positioning the baffle structure 109 between the second ports to be supplied. In this embodiment, the method also includes one or both of fluid communication and power communication between the first and second ports from which reactive components of the first and second plasmas 101A / 102A are emitted to the processing region 106. In order to limit, the operation of controlling the position of the baffle structure 109 relative to the substrate support 107 can be included.

도 7은 본 발명의 일 실시형태에 따른, 반도체 기판을 프로세싱하기 위한 방법의 흐름도를 도시한다. 방법은, 프로세싱 영역 (106) 에 대한 노출부에서 기판 지지부 (107) 상에 기판 (105) 을 배치시키기 위한 동작 (801) 을 포함한다. 방법은 또한, 프로세싱 영역 (106) 에 대한 노출부에서 제 1 세트의 플라즈마 마이크로챔버들 (605) 을 동작시키기 위한 동작 (803) 을 포함하며, 그에 의해, 제 1 세트의 플라즈마 마이크로챔버들 (605) 의 각각은 제 1 플라즈마를 생성하고, 프로세싱 영역 (106) 에 제 1 플라즈마의 반응성 성분들을 공급한다. 제 1 세트의 플라즈마 마이크로챔버들 (605) 은 기판 지지부 (107) 로부터 반대쪽인 프로세싱 영역 (106) 위에 위치된다. 방법은 또한, 프로세싱 영역 (106) 에 대한 노출부에서 제 2 세트의 플라즈마 마이크로챔버들 (603) 을 동작시키기 위한 동작 (805) 을 포함하며, 그에 의해, 제 2 세트의 플라즈마 마이크로챔버들 (603) 의 각각은 제 2 플라즈마를 생성하고, 프로세싱 영역 (106) 에 제 2 플라즈마의 반응성 성분들을 공급한다. 제 2 플라즈마는 제 1 플라즈마와 상이하다. 또한, 제 2 세트의 플라즈마 마이크로챔버들 (603) 은, 기판 지지부 (107) 로부터 반대쪽에 있는 프로세싱 영역 (106) 위에 위치되며, 제 1 세트의 플라즈마 마이크로챔버들 (605) 사이에서 실질적으로 균일한 방식으로 산재된다.7 shows a flowchart of a method for processing a semiconductor substrate, in accordance with an embodiment of the present invention. The method includes an operation 801 for placing the substrate 105 on the substrate support 107 in an exposure to the processing region 106. The method also includes an operation 803 for operating the first set of plasma microchambers 605 in an exposure to the processing region 106, whereby the first set of plasma microchambers 605. Each generates a first plasma and supplies reactive components of the first plasma to the processing region 106. The first set of plasma microchambers 605 is located above the processing region 106 opposite the substrate support 107. The method also includes an operation 805 for operating the second set of plasma microchambers 603 in an exposure to the processing region 106, whereby the second set of plasma microchambers 603. Each generates a second plasma and supplies reactive components of the second plasma to the processing region 106. The second plasma is different from the first plasma. In addition, the second set of plasma microchambers 603 is located above the processing region 106 opposite the substrate support 107 and is substantially uniform between the first set of plasma microchambers 605. Scattered in a way.

방법은, 제 1 세트의 플라즈마 마이크로챔버들 (605) 로 제 1 전력을 공급하기 위한 동작, 제 1 세트의 플라즈마 마이크로챔버들 (605) 에 제 1 프로세스 가스를 공급하기 위한 동작, 제 2 세트의 플라즈마 마이크로챔버들 (603) 로 제 2 전력을 공급하기 위한 동작, 및 제 2 세트의 플라즈마 마이크로챔버들 (603) 로 제 2 프로세스 가스를 공급하기 위한 동작을 더 포함한다. 다양한 실시형태들에서, 방법은, 제 1 및 제 2 전력들 또는 제 1 및 제 2 프로세스 가스들 중 어느 하나, 또는 제 1 및 제 2 전력들 및 제 1 및 제 2 프로세스 가스들 양자를 독립적으로 제어하기 위한 동작을 포함한다. 일 실시형태에서, 제 1 전력은 DC 전력, RF 전력, 또는 DC 및 RF 전력의 조합 중 어느 하나이고, 제 2 전력은 DC 전력, RF 전력, 또는 DC 및 RF 전력의 조합 중 어느 하나이다. 일 예시적인 실시형태에서, 제 1 전력은 2MHz, 27MHz, 60MHz, 또는 400kHz 중 어느 하나의 제 1 주파수를 갖는 RF 전력이고, 제 2 전력은 2MHz, 27MHz, 60MHz, 또는 400kHz 중 어느 하나의 제 2 주파수를 갖는 RF 전력이며, 제 2 주파수는 제 1 주파수와는 상이하다.The method includes operations for supplying first power to a first set of plasma microchambers 605, operations for supplying a first process gas to a first set of plasma microchambers 605, a second set of And supplying a second power to the plasma microchambers 603, and supplying a second process gas to the second set of plasma microchambers 603. In various embodiments, the method independently of either the first and second powers or the first and second process gases, or both the first and second powers and the first and second process gases. It includes an operation for controlling. In one embodiment, the first power is either DC power, RF power, or a combination of DC and RF power, and the second power is either DC power, RF power, or a combination of DC and RF power. In one exemplary embodiment, the first power is RF power having a first frequency of any of 2 MHz, 27 MHz, 60 MHz, or 400 kHz, and the second power is a second of any of 2 MHz, 27 MHz, 60 MHz, or 400 kHz It is an RF power having a frequency, and the second frequency is different from the first frequency.

방법은, 기판 (105) 이 배치되는 기판 지지부 (107) 의 상단 표면에 실질적으로 수직하고 그 표면으로부터 떨어진 방향으로 프로세싱 영역 (107) 으로부터 가스들을 제거하도록 정의된 일 세트의 배출 채널들 (607) 을 통하여 프로세싱 영역 (106) 으로부터 배출 가스들을 제거하기 위한 동작을 더 포함한다. 일 실시형태에서, 제 2 세트의 플라즈마 마이크로챔버들 (603) 은 일 세트의 배출 채널들 (607) 내에 각각 정의된다.The method includes a set of outlet channels 607 defined to remove gases from the processing region 107 in a direction substantially perpendicular to and away from the top surface of the substrate support 107 on which the substrate 105 is disposed. Further comprising removing exhaust gases from the processing region 106 via. In one embodiment, the second set of plasma microchambers 603 are each defined within a set of discharge channels 607.

방법은, 라디컬 밀도 대 이온 밀도의 제 1 비율을 갖도록 제 1 플라즈마를 생성하기 위해 제 1 세트의 플라즈마 마이크로챔버들 (605) 을 동작시키는 단계, 및 라디컬 밀도 대 이온 밀도의 제 2 비율을 갖도록 제 2 플라즈마를 생성하기 위해 제 2 세트의 플라즈마 마이크로챔버들 (603) 을 동작시키는 단계를 포함하며, 여기서, 제 2 플라즈마에서의 라디컬 밀도 대 이온 밀도의 제 2 비율은 제 1 플라즈마에서의 라디컬 밀도 대 이온 밀도의 제 1 비율과는 상이하다. 또한, 제 2 세트의 플라즈마 마이크로챔버들 (603) 이 일 세트의 배출 채널들 (607) 내부에 각각 정의되는 실시형태에서, 제 1 플라즈마는 이온 밀도보다 더 높은 라디컬 밀도를 갖고, 제 2 플라즈마는 라디컬 밀도보다 더 높은 이온 밀도를 갖는다.The method includes operating a first set of plasma microchambers 605 to produce a first plasma to have a first ratio of radical density to ion density, and a second ratio of radical density to ion density. Operating the second set of plasma microchambers 603 to produce a second plasma, wherein the second ratio of radical density to ion density in the second plasma is determined in the first plasma. It is different from the first ratio of radical density to ion density. Further, in the embodiment where the second set of plasma microchambers 603 are each defined inside a set of discharge channels 607, the first plasma has a higher radical density than the ion density and the second plasma Has a higher ion density than the radical density.

일 실시형태에서, 방법은 동시 방식의 제 1 및 제 2 세트들의 플라즈마 마이크로챔버들 (605/603) 의 동작을 포함한다. 다른 실시형태에서, 제 1 및 제 2 세트들의 플라즈마 마이크로챔버들 (605/603) 은, 제 1 세트의 플라즈마 마이크로챔버들 (605) 또는 제 2 세트의 플라즈마 마이크로챔버들 (603) 이 주어진 시간에서 동작되고, 제 1 및 제 2 세트들의 플라즈마 마이크로챔버들 (605/603) 이 교번하는 시퀀스로 동작되는 펄싱된 방식으로 동작된다. 부가적으로, 방법은 전극 (112) 에 관해 여기에 설명된 것과 같이, 제 1 및 제 2 세트들의 플라즈마 마이크로챔버들 (605/603) 내에서 각각 생성된 제 1 및 제 2 플라즈마들 중 하나 또는 양자로부터 기판 (105) 을 향해 이온들을 끌어당기기 위해, 기판 지지부 (107) 로부터 프로세싱 영역 (106) 에 걸쳐 바이어스 전압을 적용하기 위한 동작을 포함할 수 있다.In one embodiment, the method includes the operation of the first and second sets of plasma microchambers 605/603 in a simultaneous manner. In another embodiment, the first and second sets of plasma microchambers 605/603 are configured such that the first set of plasma microchambers 605 or the second set of plasma microchambers 603 are at a given time. It is operated in a pulsed manner in which the first and second sets of plasma microchambers 605/603 are operated in an alternating sequence. Additionally, the method may comprise one of the first and second plasmas generated in the first and second sets of plasma microchambers 605/603, respectively, as described herein with respect to electrode 112 or Operation to apply a bias voltage across the processing region 106 from the substrate support 107 to attract ions from both toward the substrate 105.

본 발명이 수 개의 실시형태들의 관점들에서 설명되었지만, 이전의 명세서들을 판독하고 도면들을 검토할 시에 당업자들이 본 발명의 다양한 수정물들, 부가물들, 치환물들 및 등가물들을 인지할 것임을 인식할 것이다. 따라서, 본 발명이 본 발명의 실제 사상 및 범위 내에 있는 바와 같은 그러한 모든 수정물들, 부가물들, 치환물들, 및 등가물들을 포함함이 의도된다.Although the present invention has been described in terms of several embodiments, it will be appreciated that those skilled in the art will recognize various modifications, additions, substitutions, and equivalents of the present invention upon reading the previous specification and reviewing the drawings. Accordingly, it is intended that the present invention include all such modifications, additions, substitutions, and equivalents as are within the true spirit and scope of the present invention.

Claims (55)

반도체 기판 프로세싱 시스템으로서,
프로세싱 영역에 대한 노출부 (exposure) 에서 기판을 지지하도록 정의된 기판 지지부;
제 1 플라즈마를 생성하고 상기 제 1 플라즈마의 반응성 성분들을 상기 프로세싱 영역에 공급하도록 정의된 제 1 플라즈마 챔버; 및
제 2 플라즈마를 생성하고 상기 제 2 플라즈마의 반응성 성분들을 상기 프로세싱 영역에 공급하도록 정의된 제 2 플라즈마 챔버를 포함하며,
상기 제 1 및 제 2 플라즈마 챔버들은 독립적으로 제어되도록 정의되는, 반도체 기판 프로세싱 시스템.
A semiconductor substrate processing system,
A substrate support defined to support the substrate at an exposure to the processing region;
A first plasma chamber defined to generate a first plasma and to supply reactive components of the first plasma to the processing region; And
A second plasma chamber defined to generate a second plasma and to supply reactive components of the second plasma to the processing region,
And the first and second plasma chambers are defined to be controlled independently.
제 1 항에 있어서,
상기 제 1 플라즈마 챔버에 제 1 전력을 공급하도록 정의된 제 1 전력 공급부;
상기 제 1 플라즈마 챔버에 제 1 프로세스 가스를 공급하도록 정의된 제 1 프로세스 가스 공급부;
상기 제 2 플라즈마 챔버에 제 2 전력을 공급하도록 정의된 제 2 전력 공급부; 및
상기 제 2 플라즈마 챔버에 제 2 프로세스 가스를 공급하도록 정의된 제 2 프로세스 가스 공급부를 더 포함하는, 반도체 기판 프로세싱 시스템.
The method of claim 1,
A first power supply defined to supply a first power to the first plasma chamber;
A first process gas supply defined to supply a first process gas to the first plasma chamber;
A second power supply defined to supply a second power to the second plasma chamber; And
And a second process gas supply defined to supply a second process gas to the second plasma chamber.
제 2 항에 있어서,
상기 제 1 및 제 2 전력 공급부들은 독립적으로 제어가능하거나, 상기 제 1 및 제 2 프로세스 가스 공급부들은 독립적으로 제어가능하거나, 상기 제 1 및 제 2 전력 공급부들 및 상기 제 1 및 제 2 프로세스 가스 공급부들 모두는 독립적으로 제어가능한, 반도체 기판 프로세싱 시스템.
3. The method of claim 2,
The first and second power supplies are independently controllable, or the first and second process gas supplies are independently controllable, or the first and second power supplies and the first and second process gas supplies All of which are independently controllable.
제 2 항에 있어서,
상기 제 1 전력은 직류 (DC) 전력, 무선주파수 (RF) 전력, 또는 DC 전력 및 RF 전력의 조합 중 어느 하나이고,
상기 제 2 전력은 DC 전력, RF 전력, 또는 DC 전력 및 RF 전력의 조합 중 어느 하나인, 반도체 기판 프로세싱 시스템.
3. The method of claim 2,
The first power is any one of direct current (DC) power, radio frequency (RF) power, or a combination of DC power and RF power,
And the second power is any one of DC power, RF power, or a combination of DC power and RF power.
제 1 항에 있어서,
상기 제 1 및 제 2 플라즈마 챔버들은 동시 방식 또는 펄싱된 방식으로 동작하도록 정의되며,
상기 펄싱된 방식은, 주어진 시간에서 및 교번하는 시퀀스로 동작하는 상기 제 1 플라즈마 챔버 또는 상기 제 2 플라즈마 챔버를 포함하는, 반도체 기판 프로세싱 시스템.
The method of claim 1,
The first and second plasma chambers are defined to operate in a simultaneous or pulsed manner,
The pulsed scheme comprises the first plasma chamber or the second plasma chamber operating at a given time and in an alternating sequence.
제 1 항에 있어서,
상기 기판 지지부는, 상기 기판이 지지될 상기 기판 지지부의 상단 표면에 실질적으로 수직한 방향으로 이동가능하도록 정의되는, 반도체 기판 프로세싱 시스템.
The method of claim 1,
And the substrate support is defined to be movable in a direction substantially perpendicular to the top surface of the substrate support on which the substrate is to be supported.
제 1 항에 있어서,
상기 제 1 및 제 2 플라즈마 챔버들 중 하나 또는 양자는, 이온 추출을 증가시키기 위해 보충적인 전자 생성을 제공하도록 정의된 에너자이징가능한 플라즈마 유출구 영역을 갖도록 정의되는, 반도체 기판 프로세싱 시스템.
The method of claim 1,
One or both of the first and second plasma chambers are defined to have an energizable plasma outlet region defined to provide supplemental electron generation to increase ion extraction.
제 2 항에 있어서,
상기 기판 지지부는, 상기 기판 지지부와 상기 제 1 및 제 2 플라즈마 챔버들 사이의 상기 프로세싱 영역에 걸쳐 바이어스 전압을 인가하도록 정의된 전극을 포함하는, 반도체 기판 프로세싱 시스템.
3. The method of claim 2,
And the substrate support comprises an electrode defined to apply a bias voltage across the processing region between the substrate support and the first and second plasma chambers.
제 1 항에 있어서,
상기 기판 지지부를 향해 상기 제 1 및 제 2 플라즈마 챔버들로부터 연장하도록 상기 제 1 및 제 2 플라즈마 챔버들 사이에 배치된 배플 (baffle) 구조를 더 포함하며,
상기 배플 구조는, 상기 제 1 및 제 2 플라즈마 챔버들 사이의 유체 통신을 감소시키도록 정의되는, 반도체 기판 프로세싱 시스템.
The method of claim 1,
Further comprising a baffle structure disposed between the first and second plasma chambers so as to extend from the first and second plasma chambers toward the substrate support,
And the baffle structure is defined to reduce fluid communication between the first and second plasma chambers.
제 1 항에 있어서,
상기 기판이 지지될 상기 기판 지지부의 상단 표면에 실질적으로 수직한 방향으로 상기 프로세싱 영역으로부터 떨어지게 연장하도록 상기 제 1 및 제 2 플라즈마 챔버들 사이에 형성된 배출 채널을 더 포함하는, 반도체 기판 프로세싱 시스템.
The method of claim 1,
And a discharge channel formed between said first and second plasma chambers such that said substrate extends away from said processing region in a direction substantially perpendicular to a top surface of said substrate support.
제 10 항에 있어서,
상기 기판 지지부를 향해 상기 제 1 및 제 2 플라즈마 챔버들로부터 연장하기 위해 상기 제 1 및 제 2 플라즈마 챔버들 사이의 상기 배출 채널 내에 배치된 배플 구조를 더 포함하며,
상기 배플 구조는, 상기 제 1 및 제 2 플라즈마 챔버들 사이의 유체 통신을 감소시키도록 정의되고, 상기 배플 구조는, 상기 배플 구조 주변의 상기 배출 채널을 통한 배출 흐름을 제공하기 위해 상기 배출 채널보다 작게 사이징 (size) 되는, 반도체 기판 프로세싱 시스템.
11. The method of claim 10,
Further comprising a baffle structure disposed in said discharge channel between said first and second plasma chambers for extending from said first and second plasma chambers towards said substrate support,
The baffle structure is defined to reduce fluid communication between the first and second plasma chambers, the baffle structure being less than the outlet channel to provide an outlet flow through the outlet channel around the baffle structure. A semiconductor substrate processing system that is sized small.
반도체 기판 프로세싱 시스템으로서,
상단 구조, 바닥 구조, 및 상기 상단 구조와 상기 바닥 구조 사이에서 연장하는 측벽들을 갖는 챔버로서, 상기 챔버는 프로세싱 영역을 둘러싸는, 상기 챔버;
상기 챔버 내에 배치되고, 상기 프로세싱 영역에 대한 노출부에서 기판을 지지하도록 정의되는 기판 지지부; 및
상기 기판 지지부 위의 상기 챔버 내에 배치된 상단 플레이트 어셈블리로서, 상기 상단 플레이트 어셈블리는 상기 프로세싱 영역에 노출되고 상기 기판 지지부의 상단 표면 반대쪽에 있는 하부 표면을 갖고, 상기 상단 플레이트 어셈블리는 제 1 플라즈마의 반응성 성분들을 상기 프로세싱 영역에 공급하도록 접속된 제 1 복수의 플라즈마 포트들을 포함하며, 상기 상단 플레이트 어셈블리는 제 2 플라즈마의 반응성 성분들을 상기 프로세싱 영역에 공급하도록 접속된 제 2 복수의 플라즈마 포트들을 포함하는, 상기 상단 플레이트 어셈블리를 포함하는, 반도체 기판 프로세싱 시스템.
A semiconductor substrate processing system,
A chamber having a top structure, a bottom structure, and sidewalls extending between the top structure and the bottom structure, the chamber surrounding a processing area;
A substrate support disposed in the chamber and defined to support a substrate at an exposed portion to the processing region; And
A top plate assembly disposed in the chamber above the substrate support, the top plate assembly having a bottom surface exposed to the processing region and opposite the top surface of the substrate support, the top plate assembly being reactive to the first plasma A first plurality of plasma ports connected to supply components to the processing region, wherein the top plate assembly includes a second plurality of plasma ports connected to supply reactive components of a second plasma to the processing region, And the top plate assembly.
제 12 항에 있어서,
상기 기판 지지부는, 상기 기판이 지지될 상기 기판 지지부의 상단 표면에 실질적으로 수직한 방향으로 이동가능하도록 정의되는, 반도체 기판 프로세싱 시스템.
13. The method of claim 12,
And the substrate support is defined to be movable in a direction substantially perpendicular to the top surface of the substrate support on which the substrate is to be supported.
제 12 항에 있어서,
상기 기판 지지부는, 상기 기판 지지부와 상기 상단 플레이트 어셈블리의 하부 표면 사이의 상기 프로세싱 영역에 걸쳐 바이어스 전압을 인가하도록 정의된 전극을 포함하는, 반도체 기판 프로세싱 시스템.
13. The method of claim 12,
And the substrate support comprises an electrode defined to apply a bias voltage across the processing region between the substrate support and the bottom surface of the top plate assembly.
제 12 항에 있어서,
상기 제 1 플라즈마를 생성하고, 상기 제 1 복수의 플라즈마 포트들 중 하나 이상에 상기 제 1 플라즈마의 반응성 성분들을 공급하도록 각각 정의된 제 1 복수의 플라즈마 마이크로챔버들; 및
상기 제 2 플라즈마를 생성하고, 상기 제 2 복수의 플라즈마 포트들 중 하나 이상에 상기 제 2 플라즈마의 반응성 성분들을 공급하도록 각각 정의된 제 2 복수의 플라즈마 마이크로챔버들을 더 포함하는, 반도체 기판 프로세싱 시스템.
13. The method of claim 12,
First plurality of plasma microchambers respectively defined to generate the first plasma and to supply reactive components of the first plasma to one or more of the first plurality of plasma ports; And
And a second plurality of plasma microchambers, each defined to generate the second plasma and to supply reactive components of the second plasma to one or more of the second plurality of plasma ports.
제 15 항에 있어서,
상기 제 1 복수의 플라즈마 마이크로챔버들에 제 1 전력을 공급하도록 정의된 제 1 전력 공급부;
상기 제 1 복수의 플라즈마 마이크로챔버들에 제 1 프로세스 가스를 공급하도록 정의된 제 1 프로세스 가스 공급부;
상기 제 2 복수의 플라즈마 마이크로챔버들에 제 2 전력을 공급하도록 정의된 제 2 전력 공급부; 및
상기 제 2 복수의 플라즈마 마이크로챔버들에 제 2 프로세스 가스를 공급하도록 정의된 제 2 프로세스 가스 공급부를 더 포함하는, 반도체 기판 프로세싱 시스템.
The method of claim 15,
A first power supply defined to supply a first power to the first plurality of plasma microchambers;
A first process gas supply unit defined to supply a first process gas to the first plurality of plasma microchambers;
A second power supply defined to supply a second power to the second plurality of plasma microchambers; And
And a second process gas supply defined to supply a second process gas to the second plurality of plasma microchambers.
제 16 항에 있어서,
상기 제 1 및 제 2 전력 공급부들은 독립적으로 제어가능하거나, 상기 제 1 및 제 2 프로세스 가스 공급부들은 독립적으로 제어가능하거나, 상기 제 1 및 제 2 전력 공급부들 및 상기 제 1 및 제 2 프로세스 가스 공급부들 모두는 독립적으로 제어가능한, 반도체 기판 프로세싱 시스템.
17. The method of claim 16,
The first and second power supplies are independently controllable, or the first and second process gas supplies are independently controllable, or the first and second power supplies and the first and second process gas supplies All of which are independently controllable.
제 12 항에 있어서,
상기 제 1 플라즈마를 생성하고 상기 제 1 플라즈마의 반응성 성분들을 상기 제 1 복수의 플라즈마 포트들의 각각에 공급하도록 정의된 제 1 플라즈마 챔버; 및
상기 제 2 플라즈마를 생성하고 상기 제 2 플라즈마의 반응성 성분들을 상기 제 2 복수의 플라즈마 포트들의 각각에 공급하도록 정의된 제 2 플라즈마 챔버를 더 포함하는, 반도체 기판 프로세싱 시스템.
13. The method of claim 12,
A first plasma chamber defined to generate the first plasma and to supply reactive components of the first plasma to each of the first plurality of plasma ports; And
And a second plasma chamber defined to generate the second plasma and to supply reactive components of the second plasma to each of the second plurality of plasma ports.
제 18 항에 있어서,
상기 제 1 플라즈마 챔버에 제 1 전력을 공급하도록 정의된 제 1 전력 공급부;
상기 제 1 플라즈마 챔버에 제 1 프로세스 가스를 공급하도록 정의된 제 1 프로세스 가스 공급부;
상기 제 2 플라즈마 챔버에 제 2 전력을 공급하도록 정의된 제 2 전력 공급부; 및
상기 제 2 플라즈마 챔버에 제 2 프로세스 가스를 공급하도록 정의된 제 2 프로세스 가스 공급부를 더 포함하는, 반도체 기판 프로세싱 시스템.
The method of claim 18,
A first power supply defined to supply a first power to the first plasma chamber;
A first process gas supply defined to supply a first process gas to the first plasma chamber;
A second power supply defined to supply a second power to the second plasma chamber; And
And a second process gas supply defined to supply a second process gas to the second plasma chamber.
제 19 항에 있어서,
상기 제 1 및 제 2 전력 공급부들은 독립적으로 제어가능하거나, 상기 제 1 및 제 2 프로세스 가스 공급부들은 독립적으로 제어가능하거나, 상기 제 1 및 제 2 전력 공급부들 및 상기 제 1 및 제 2 프로세스 가스 공급부들 모두는 독립적으로 제어가능한, 반도체 기판 프로세싱 시스템.
The method of claim 19,
The first and second power supplies are independently controllable, or the first and second process gas supplies are independently controllable, or the first and second power supplies and the first and second process gas supplies All of which are independently controllable.
반도체 기판을 프로세싱하기 위한 방법으로서,
프로세싱 영역에 대한 노출부에서 기판 지지부 상에 기판을 배치시키는 단계;
제 1 플라즈마 타입의 제 1 플라즈마를 생성하는 단계;
상기 제 1 플라즈마 타입과는 상이한 제 2 플라즈마 타입의 제 2 플라즈마를 생성하는 단계; 및
상기 기판의 프로세싱에 영향을 주기 위해 상기 제 1 및 제 2 플라즈마들 양자의 반응성 성분들을 상기 프로세싱 영역에 공급하는 단계를 포함하는, 반도체 기판을 프로세싱하기 위한 방법.
A method for processing a semiconductor substrate,
Placing the substrate on the substrate support at an exposure to the processing region;
Generating a first plasma of a first plasma type;
Generating a second plasma of a second plasma type different from the first plasma type; And
Supplying reactive components of both the first and second plasmas to the processing region to affect processing of the substrate.
제 21 항에 있어서,
상기 제 1 플라즈마는, 라디컬 밀도 대 이온 밀도의 제 1 비율을 갖도록 생성되고,
상기 제 2 플라즈마는, 라디컬 밀도 대 이온 밀도의 제 2 비율을 갖도록 생성되며,
상기 제 2 플라즈마에서의 라디컬 밀도 대 이온 밀도의 상기 제 2 비율은, 상기 제 1 플라즈마에서의 라디컬 밀도 대 이온 밀도의 상기 제 1 비율과는 상이한, 반도체 기판을 프로세싱하기 위한 방법.
22. The method of claim 21,
The first plasma is generated to have a first ratio of radical density to ion density,
The second plasma is generated to have a second ratio of radical density to ion density,
And the second ratio of radical density to ion density in the second plasma is different from the first ratio of radical density to ion density in the first plasma.
제 21 항에 있어서,
상기 제 1 플라즈마를 생성하도록 제 1 전력 및 제 1 프로세스 가스를 사용하는 단계; 및
상기 제 2 플라즈마를 생성하도록 제 2 전력 및 제 2 프로세스 가스를 사용하는 단계를 더 포함하는, 반도체 기판을 프로세싱하기 위한 방법.
22. The method of claim 21,
Using a first power and a first process gas to generate the first plasma; And
Using the second power and a second process gas to generate the second plasma.
제 23 항에 있어서,
상기 제 1 및 제 2 전력들, 또는 상기 제 1 및 제 2 프로세스 가스들, 또는 상기 제 1 및 제 2 전력들 및 상기 제 1 및 제 2 프로세스 가스들 양자를 독립적으로 제어하는 단계를 더 포함하는, 반도체 기판을 프로세싱하기 위한 방법.
24. The method of claim 23,
And independently controlling the first and second powers, or the first and second process gases, or both the first and second powers and the first and second process gases. , A method for processing a semiconductor substrate.
제 23 항에 있어서,
상기 제 1 전력은 직류 (DC) 전력, 무선주파수 (RF) 전력, 또는 DC 전력 및 RF 전력의 조합 중 어느 하나이고,
상기 제 2 전력은 DC 전력, RF 전력, 또는 DC 전력 및 RF 전력의 조합 중 어느 하나인, 반도체 기판을 프로세싱하기 위한 방법.
24. The method of claim 23,
The first power is any one of direct current (DC) power, radio frequency (RF) power, or a combination of DC power and RF power,
And the second power is any one of DC power, RF power, or a combination of DC power and RF power.
제 21 항에 있어서,
상기 제 1 및 제 2 플라즈마들 양자로부터의 반응성 성분들은 상기 기판에 대한 노출부에서 상기 프로세싱 영역 전반에 걸쳐 실질적으로 균일한 방식으로 공급되는, 반도체 기판을 프로세싱하기 위한 방법.
22. The method of claim 21,
Reactive components from both the first and second plasmas are supplied in a substantially uniform manner throughout the processing region at an exposure to the substrate.
제 21 항에 있어서,
상기 제 1 및 제 2 플라즈마들로부터의 반응성 성분들은 동시 방식 또는 펄싱된 방식 중 어느 하나로 생성 및 공급되며,
상기 펄싱된 방식은, 주어진 시간에서 및 교번하는 시퀀스로 상기 제 1 플라즈마 또는 상기 제 2 플라즈마 중 어느 하나의 반응성 성분들의 생성 및 공급을 포함하는, 반도체 기판을 프로세싱하기 위한 방법.
22. The method of claim 21,
Reactive components from the first and second plasmas are produced and supplied in either a simultaneous manner or a pulsed manner,
Wherein the pulsed manner comprises the generation and supply of reactive components of either the first plasma or the second plasma at a given time and in an alternating sequence.
제 21 항에 있어서,
상기 제 1 및 제 2 플라즈마들 중 하나 또는 양자로부터 상기 프로세싱 영역으로의 이온 추출을 증가시키기 위해 보충적인 전자들을 생성하는 단계를 더 포함하는, 반도체 기판을 프로세싱하기 위한 방법.
22. The method of claim 21,
Generating supplemental electrons to increase ion extraction from one or both of the first and second plasmas into the processing region.
제 21 항에 있어서,
상기 제 1 및 제 2 플라즈마들 중 하나 또는 양자로부터 상기 기판을 향해 이온들을 끌어당기기 위해 상기 기판 지지부로부터 상기 프로세싱 영역에 걸쳐 바이어스 전압을 인가하는 단계를 더 포함하는, 반도체 기판을 프로세싱하기 위한 방법.
22. The method of claim 21,
Applying a bias voltage across the processing region from the substrate support to attract ions from one or both of the first and second plasmas towards the substrate.
제 21 항에 있어서,
상기 제 1 플라즈마가 상기 프로세싱 영역에 공급되는 제 1 포트와 상기 제 2 플라즈마가 상기 프로세싱 영역에 공급되는 제 2 포트 사이에 배플 구조를 위치시키는 단계를 더 포함하는, 반도체 기판을 프로세싱하기 위한 방법.
22. The method of claim 21,
Positioning a baffle structure between a first port through which the first plasma is supplied to the processing region and a second port through which the second plasma is supplied to the processing region.
반도체 기판 프로세싱 시스템으로서,
플라즈마 프로세싱 영역에 노출된 프로세스-측 표면을 갖는 플레이트 어셈블리;
상기 플라즈마 프로세싱 영역으로부터의 배출 가수들의 제거를 제공하기 위해, 상기 플레이트 어셈블리의 상기 프로세스-측 표면을 통해 형성된 배출 채널;
상기 배출 채널 내부에 형성된 플라즈마 마이크로챔버;
상기 배출 채널 내의 상기 플라즈마 마이크로챔버로 프로세스 가스를 흐르게 하기 위해 상기 플레이트 어셈블리를 통하여 형성된 가스 공급 채널; 및
상기 배출 채널 내의 상기 플라즈마 마이크로챔버 내에서 상기 프로세스 가스를 플라즈마로 변환시키기 위해, 상기 플라즈마 마이크로챔버에 전력을 송신하도록 상기 플레이트 어셈블리 내에 형성된 전력 전달 컴포넌트를 포함하는, 반도체 기판 프로세싱 시스템.
A semiconductor substrate processing system,
A plate assembly having a process-side surface exposed to the plasma processing region;
An exhaust channel formed through the process-side surface of the plate assembly to provide removal of exhaust valences from the plasma processing region;
A plasma microchamber formed inside said discharge channel;
A gas supply channel formed through said plate assembly for flowing a process gas into said plasma microchamber in said discharge channel; And
And a power transfer component formed in the plate assembly to transmit power to the plasma microchamber to convert the process gas into a plasma in the plasma microchamber in the discharge channel.
제 31 항에 있어서,
에너자이징된 경우, 이온들로 하여금, 상기 배출 채널 내의 상기 플라즈마 마이크로챔버로부터 상기 플라즈마 프로세싱 영역으로 끌어당겨지게 하는, 상기 플레이트 어셈블리의 외부에 배치된 전극을 더 포함하는, 반도체 기판 프로세싱 시스템.
32. The method of claim 31,
And, when energized, an electrode disposed outside of the plate assembly that causes ions to be attracted from the plasma microchamber in the discharge channel to the plasma processing region.
제 32 항에 있어서,
상기 플라즈마 프로세싱 영역에 대한 노출부에서 기판을 지지하도록 배치된 기판 지지부를 더 포함하며,
상기 전극은 상기 기판 지지부 내에 배치되는, 반도체 기판 프로세싱 시스템.
33. The method of claim 32,
Further comprising a substrate support disposed to support a substrate at an exposure to the plasma processing region,
And the electrode is disposed within the substrate support.
제 33 항에 있어서,
상기 배출 채널은, 상기 기판이 지지될 상기 기판 지지부의 표면에 실질적으로 수직하고 상기 표면으로부터 떨어진 방향으로 상기 프로세싱 영역으로부터 가스들을 제거하도록 정의되는, 반도체 기판 프로세싱 시스템.
34. The method of claim 33,
And the discharge channel is defined to remove gases from the processing region in a direction substantially perpendicular to and away from the surface of the substrate support on which the substrate is to be supported.
제 31 항에 있어서,
상기 전력 전달 컴포넌트에 상기 전력을 공급하도록 정의된 전력 공급부; 및
상기 가스 공급 채널에 프로세스 가스를 공급하도록 정의된 프로세스 가스 공급부를 더 포함하는, 반도체 기판 프로세싱 시스템.
32. The method of claim 31,
A power supply defined to supply the power to the power delivery component; And
And a process gas supply defined to supply a process gas to the gas supply channel.
제 31 항에 있어서,
상기 전력 전달 컴포넌트는, 상기 배출 채널 내의 상기 플라즈마 마이크로챔버를 한정 (circumscribe) 하도록 상기 플레이트 어셈블리 내에 형성되는 코일로서 정의되는, 반도체 기판 프로세싱 시스템.
32. The method of claim 31,
And the power delivery component is defined as a coil formed in the plate assembly to circumscribe the plasma microchamber in the discharge channel.
반도체 기판 프로세싱 시스템으로서,
상단 구조, 바닥 구조, 및 상기 상단 구조와 상기 바닥 구조 사이에서 연장하는 측벽들을 갖는 챔버로서, 상기 챔버는 프로세싱 영역을 포함하는, 상기 챔버;
상기 챔버 내에 배치된 기판 지지부로서, 상기 기판 지지부는 상기 프로세싱 영역에 대한 노출부에서 기판을 지지하도록 정의된 상단 표면을 갖는, 상기 기판 지지부;
상기 기판 지지부 위의 상기 챔버 내에 배치된 상단 플레이트 어셈블리로서, 상기 상단 플레이트 어셈블리는 상기 프로세싱 영역에 노출되고 상기 기판 지지부의 상단 표면 반대쪽에 있는 하부 표면을 갖는, 상기 상단 플레이트 어셈블리를 포함하며,
상기 상단 플레이트 어셈블리는,
상기 상단 플레이트 어셈블리의 하부 표면으로 각각 형성된 제 1 세트의 플라즈마 마이크로챔버들,
상기 제 1 세트의 플라즈마 마이크로챔버들 각각에 제 1 프로세스 가스를 흐르게 하도록 형성된 가스 공급 채널들의 제 1 네트워크로서, 상기 제 1 세트의 플라즈마 마이크로챔버들의 각각은, 상기 프로세싱 영역에 대한 노출부에서 상기 제 1 프로세스 가스를 제 1 플라즈마로 변환시키도록 정의되는, 상기 가스 공급 채널들의 제 1 네트워크,
상기 프로세싱 영역으로부터의 배출 가스들의 제거를 제공하기 위해 상기 상단 플레이트 어셈블리의 하부 표면을 통해 형성된 일 채널의 배출 채널들,
상기 일 세트의 배출 채널들 내부에 각각 형성된 제 2 세트의 플라즈마 마이크로챔버들, 및
상기 제 2 세트의 플라즈마 마이크로챔버들 각각에 제 2 프로세스 가스를 흐르게 하도록 형성된 가스 공급 채널들의 제 2 네트워크로서, 상기 제 2 세트의 플라즈마 마이크로챔버들의 각각은, 상기 프로세싱 영역에 대한 노출부에서 상기 제 2 프로세스 가스를 제 2 플라즈마로 변환시키도록 정의되는, 상기 가스 공급 채널들의 제 2 네트워크를 포함하는, 반도체 기판 프로세싱 시스템.
A semiconductor substrate processing system,
A chamber having a top structure, a bottom structure, and sidewalls extending between the top structure and the bottom structure, the chamber comprising a processing area;
A substrate support disposed within the chamber, the substrate support having a top surface defined to support the substrate at an exposure to the processing region;
A top plate assembly disposed in the chamber above the substrate support, the top plate assembly comprising the top plate assembly having a bottom surface exposed to the processing region and opposite the top surface of the substrate support,
The top plate assembly,
A first set of plasma microchambers each formed into a bottom surface of the top plate assembly,
A first network of gas supply channels formed to flow a first process gas into each of the first set of plasma microchambers, each of the first set of plasma microchambers being in the exposed portion to the processing region; A first network of gas supply channels, defined to convert one process gas into a first plasma,
One channel of exhaust channels formed through the lower surface of the top plate assembly to provide removal of the exhaust gases from the processing region,
A second set of plasma microchambers respectively formed within said set of discharge channels, and
A second network of gas supply channels formed to flow a second process gas into each of the second set of plasma microchambers, each of the second set of plasma microchambers being in the exposed portion to the processing region; And a second network of gas supply channels, defined to convert a second process gas into a second plasma.
제 37 항에 있어서,
상기 제 1 세트의 플라즈마 마이크로챔버들은 상기 상단 플레이트 어셈블리의 하부 표면에 걸쳐 실질적으로 균일한 방식으로 상기 제 2 세트의 플라즈마 마이크로챔버들과 산재 (intersperse) 되는, 반도체 기판 프로세싱 시스템.
39. The method of claim 37,
Wherein the first set of plasma microchambers are interspered with the second set of plasma microchambers in a substantially uniform manner across the bottom surface of the top plate assembly.
제 37 항에 있어서,
상기 제 1 세트의 플라즈마 마이크로챔버들에 제 1 전력을 공급하도록 정의된 제 1 전력 공급부;
상기 가스 공급 채널들의 제 1 네트워크에 제 1 프로세스 가스를 공급하도록 정의된 제 1 프로세스 가스 공급부;
상기 제 2 세트의 플라즈마 마이크로챔버들에 제 2 전력을 공급하도록 정의된 제 2 전력 공급부; 및
상기 가스 공급 채널들의 제 2 네트워크에 제 2 프로세스 가스를 공급하도록 정의된 제 2 프로세스 가스 공급부를 더 포함하는, 반도체 기판 프로세싱 시스템.
39. The method of claim 37,
A first power supply defined to supply first power to the first set of plasma microchambers;
A first process gas supply defined to supply a first process gas to the first network of gas supply channels;
A second power supply defined to supply a second power to the second set of plasma microchambers; And
And a second process gas supply defined to supply a second process gas to the second network of gas supply channels.
제 39 항에 있어서,
상기 제 1 및 제 2 전력 공급부들은 독립적으로 제어가능하거나, 상기 제 1 및 제 2 프로세스 가스 공급부들은 독립적으로 제어가능하거나, 상기 제 1 및 제 2 전력 공급부들 및 상기 제 1 및 제 2 프로세스 가스 공급부들 모두는 독립적으로 제어가능한, 반도체 기판 프로세싱 시스템.
40. The method of claim 39,
The first and second power supplies are independently controllable, or the first and second process gas supplies are independently controllable, or the first and second power supplies and the first and second process gas supplies All of which are independently controllable.
제 39 항에 있어서,
상기 제 1 세트의 플라즈마 마이크로챔버들에 대해 상기 상단 플레이트 어셈블리 내에 각각 배치된 제 1 세트의 전력 전달 컴포넌트들로서, 상기 제 1 세트의 전력 전달 컴포넌트들의 각각은 상기 제 1 전력 공급부로부터 상기 제 1 전력을 수신하도록 접속되는, 상기 제 1 세트의 전력 전달 컴포넌트들; 및
상기 제 2 세트의 플라즈마 마이크로챔버들에 대해 상기 상단 플레이트 어셈블리 내에 각각 배치된 제 2 세트의 전력 전달 컴포넌트들로서, 상기 제 2 세트의 전력 전달 컴포넌트들의 각각은 상기 제 2 전력 공급부로부터 상기 제 2 전력을 수신하도록 접속되는, 상기 제 2 세트의 전력 전달 컴포넌트들을 더 포함하는, 반도체 기판 프로세싱 시스템.
40. The method of claim 39,
A first set of power delivery components respectively disposed within the top plate assembly for the first set of plasma microchambers, each of the first set of power delivery components being configured to draw the first power from the first power supply; The first set of power delivery components connected to receive; And
A second set of power delivery components respectively disposed within the top plate assembly for the second set of plasma microchambers, each of the second set of power delivery components being configured to draw the second power from the second power supply; And the second set of power delivery components connected to receive.
제 37 항에 있어서,
상기 제 1 및 제 2 세트들의 플라즈마 마이크로챔버들은 동시 방식 또는 펄싱된 방식으로 동작하도록 정의되며,
상기 펄싱된 방식은, 주어진 시간에서 및 교번하는 시퀀스로 동작하는 상기 제 1 세트의 플라즈마 마이크로챔버들 또는 상기 제 2 세트의 플라즈마 마이크로챔버들 중 어느 하나를 포함하는, 반도체 기판 프로세싱 시스템.
39. The method of claim 37,
The first and second sets of plasma microchambers are defined to operate in a simultaneous or pulsed manner,
The pulsed scheme comprises either the first set of plasma microchambers or the second set of plasma microchambers operating at a given time and in an alternating sequence.
제 37 항에 있어서,
상기 기판 지지부는, 상기 기판이 지지될 상기 기판 지지부의 상단 표면과 상기 상단 플레이트 어셈블리의 하부 표면 사이에서 수직으로 연장하는 방향으로 이동가능하도록 정의되는, 반도체 기판 프로세싱 시스템.
39. The method of claim 37,
And the substrate support is defined to be movable in a direction extending vertically between an upper surface of the substrate support on which the substrate is to be supported and a lower surface of the top plate assembly.
제 37 항에 있어서,
상기 기판 지지부는 상기 기판 지지부와 상기 상단 플레이트 어셈블리의 하부 표면 사이의 상기 프로세싱 영역에 걸쳐 바이어스 전압을 인가하도록 정의된 전극을 포함하는, 반도체 기판 프로세싱 시스템.
39. The method of claim 37,
And the substrate support comprises an electrode defined to apply a bias voltage across the processing region between the substrate support and the bottom surface of the top plate assembly.
반도체 기판을 프로세싱하기 위한 방법으로서,
프로세싱 영역에 대한 노출부에서 기판 지지부 상에 기판을 배치시키는 단계;
상기 프로세싱 영역에 대한 노출부에서 제 1 세트의 플라즈마 마이크로챔버들을 동작시키는 단계로서, 상기 제 1 세트의 플라즈마 마이크로챔버들의 각각은 제 1 플라즈마를 생성하고 상기 제 1 플라즈마의 반응성 성분들을 상기 프로세싱 영역에 공급하며, 상기 제 1 세트의 플라즈마 마이크로챔버들은 상기 기판 지지부로부터 반대쪽에 있는 상기 프로세싱 영역 위에 위치되는, 상기 제 1 세트의 플라즈마 마이크로챔버들; 및
상기 프로세싱 영역에 대한 노출부에서 제 2 세트의 플라즈마 마이크로챔버들을 동작시키는 단계로서, 상기 제 2 세트의 플라즈마 마이크로챔버들의 각각은 제 2 플라즈마를 생성하고 상기 제 2 플라즈마의 반응성 성분들을 상기 프로세싱 영역에 공급하고, 상기 제 2 플라즈마는 상기 제 1 플라즈마와 상이하며, 상기 제 2 세트의 플라즈마 마이크로챔버들은 상기 기판 지지부로부터 반대쪽에 있는 상기 프로세싱 영역 위에 위치되고, 상기 제 1 세트의 플라즈마 마이크로챔버들 사이에서 실질적으로 균일한 방식으로 산재되는, 상기 제 2 세트의 플라즈마 마이크로챔버들을 동작시키는 단계를 포함하는, 반도체 기판을 프로세싱하기 위한 방법.
A method for processing a semiconductor substrate,
Placing the substrate on the substrate support at an exposure to the processing region;
Operating a first set of plasma microchambers in an exposure to the processing region, wherein each of the first set of plasma microchambers generates a first plasma and directs reactive components of the first plasma to the processing region. The first set of plasma microchambers, wherein the first set of plasma microchambers are located above the processing region opposite from the substrate support; And
Operating a second set of plasma microchambers in an exposure to the processing region, wherein each of the second set of plasma microchambers generates a second plasma and directs reactive components of the second plasma to the processing region. Wherein the second plasma is different from the first plasma, and wherein the second set of plasma microchambers is located above the processing region opposite from the substrate support and between the first set of plasma microchambers. Operating the second set of plasma microchambers, interspersed in a substantially uniform manner.
제 45 항에 있어서,
상기 제 1 세트의 플라즈마 마이크로챔버들에 제 1 전력을 공급하는 단계;
상기 제 1 세트의 플라즈마 마이크로챔버들에 제 1 프로세스 가스를 공급하는 단계;
상기 제 2 세트의 플라즈마 마이크로챔버들에 제 2 전력을 공급하는 단계; 및
상기 제 2 세트의 플라즈마 마이크로챔버들에 제 2 프로세스 가스를 공급하는 단계를 더 포함하는, 반도체 기판을 프로세싱하기 위한 방법.
46. The method of claim 45,
Supplying first power to the first set of plasma microchambers;
Supplying a first process gas to the first set of plasma microchambers;
Supplying a second power to the second set of plasma microchambers; And
Supplying a second process gas to the second set of plasma microchambers.
제 46 항에 있어서,
상기 제 1 및 제 2 전력들, 또는 상기 제 1 및 제 2 프로세스 가스들, 또는 상기 제 1 및 제 2 전력들 및 상기 제 1 및 제 2 프로세스 가스들 양자를 독립적으로 제어하는 단계를 더 포함하는, 반도체 기판을 프로세싱하기 위한 방법.
47. The method of claim 46,
And independently controlling the first and second powers, or the first and second process gases, or both the first and second powers and the first and second process gases. , A method for processing a semiconductor substrate.
제 46 항에 있어서,
상기 제 1 전력은 직류 (DC) 전력, 무선주파수 (RF) 전력, 또는 DC 전력 및 RF 전력의 조합 중 어느 하나이고,
상기 제 2 전력은 DC 전력, RF 전력, 또는 DC 전력 및 RF 전력의 조합 중 어느 하나인, 반도체 기판을 프로세싱하기 위한 방법.
47. The method of claim 46,
The first power is any one of direct current (DC) power, radio frequency (RF) power, or a combination of DC power and RF power,
And the second power is any one of DC power, RF power, or a combination of DC power and RF power.
제 46 항에 있어서,
상기 기판이 배치되는 상기 기판 지지부의 상단 표면에 실질적으로 수직하고 상기 상단 표면으로부터 떨어진 방향으로 상기 프로세싱 영역으로부터 가스들을 제거하도록 정의된 일 세트의 배출 채널들을 통해 상기 프로세싱 영역으로부터 배출 가스들을 제거하는 단계를 더 포함하는, 반도체 기판을 프로세싱하기 위한 방법.
47. The method of claim 46,
Removing the exhaust gases from the processing region through a set of exhaust channels defined to remove gases from the processing region in a direction substantially away from the top surface and substantially perpendicular to the top surface of the substrate support on which the substrate is disposed; Further comprising a semiconductor substrate.
제 49 항에 있어서,
상기 제 2 세트의 플라즈마 마이크로챔버들은 상기 일 세트의 배출 채널들 내부에 각각 정의되는, 반도체 기판을 프로세싱하기 위한 방법.
The method of claim 49,
And the second set of plasma microchambers are each defined inside the set of discharge channels.
제 45 항에 있어서,
라디컬 밀도 대 이온 밀도의 제 1 비율을 갖도록 상기 제 1 플라즈마를 생성하기 위해 상기 제 1 세트의 플라즈마 마이크로챔버들을 동작시키는 단계; 및
라디컬 밀도 대 이온 밀도의 제 2 비율을 갖도록 상기 제 2 플라즈마를 생성하기 위해 상기 제 2 세트의 플라즈마 마이크로챔버들을 동작시키는 단계로서, 상기 제 2 플라즈마에서의 라디컬 밀도 대 이온 밀도의 상기 제 2 비율은 상기 제 1 플라즈마에서의 라디컬 밀도 대 이온 밀도의 상기 제 1 비율과는 상이한, 상기 제 2 세트의 플라즈마 마이크로챔버들을 동작시키는 단계를 더 포함하는, 반도체 기판을 프로세싱하기 위한 방법.
46. The method of claim 45,
Operating the first set of plasma microchambers to produce the first plasma to have a first ratio of radical density to ion density; And
Operating said second set of plasma microchambers to produce said second plasma to have a second ratio of radical density to ion density, said second ratio of radical density to ion density in said second plasma. And operating the second set of plasma microchambers, wherein the ratio is different from the first ratio of radical density to ion density in the first plasma.
제 51 항에 있어서,
상기 제 1 플라즈마는 이온 밀도보다 더 높은 라디컬 밀도를 갖고,
상기 제 2 플라즈마는 라디컬 밀도보다 더 높은 이온 밀도를 갖는, 반도체 기판을 프로세싱하기 위한 방법.
52. The method of claim 51,
The first plasma has a higher radical density than the ion density,
And the second plasma has an ion density higher than the radical density.
제 45 항에 있어서,
상기 제 1 및 제 2 세트들의 플라즈마 마이크로챔버들은 동시 방식으로 동작되는, 반도체 기판을 프로세싱하기 위한 방법.
46. The method of claim 45,
Wherein the first and second sets of plasma microchambers are operated in a simultaneous manner.
제 45 항에 있어서,
상기 제 1 및 제 2 세트들의 플라즈마 마이크로챔버들은 펄싱된 방식으로 동작되며,
상기 펄싱된 방식은, 주어진 시간에서 및 교번하는 시퀀스로 상기 제 1 세트의 플라즈마 마이크로챔버들 또는 상기 제 2 세트의 플라즈마 마이크로챔버들 중 어느 하나의 동작을 포함하는, 반도체 기판을 프로세싱하기 위한 방법.
46. The method of claim 45,
The first and second sets of plasma microchambers are operated in a pulsed manner,
Wherein the pulsed manner comprises the operation of either the first set of plasma microchambers or the second set of plasma microchambers at a given time and in an alternating sequence.
제 45 항에 있어서,
상기 제 1 및 제 2 플라즈마들 중 하나 또는 양자로부터 상기 기판을 향해 이온들을 끌어당기기 위하여 상기 기판 지지부로부터 상기 프로세싱 영역에 걸쳐 바이어스 전압을 인가하는 단계를 더 포함하는, 반도체 기판을 프로세싱하기 위한 방법.
46. The method of claim 45,
Applying a bias voltage across the processing region from the substrate support to attract ions from one or both of the first and second plasmas towards the substrate.
KR1020137032848A 2011-05-10 2012-05-07 Semiconductor processing system having multiple decoupled plasma sources KR101947844B1 (en)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US13/104,925 2011-05-10
US13/104,923 US8900402B2 (en) 2011-05-10 2011-05-10 Semiconductor processing system having multiple decoupled plasma sources
US13/104,925 US8900403B2 (en) 2011-05-10 2011-05-10 Semiconductor processing system having multiple decoupled plasma sources
US13/104,923 2011-05-10
PCT/US2012/036762 WO2012154666A1 (en) 2011-05-10 2012-05-07 Semiconductor processing system having multiple decoupled plasma sources

Publications (2)

Publication Number Publication Date
KR20140036224A true KR20140036224A (en) 2014-03-25
KR101947844B1 KR101947844B1 (en) 2019-02-13

Family

ID=48137596

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020137032848A KR101947844B1 (en) 2011-05-10 2012-05-07 Semiconductor processing system having multiple decoupled plasma sources

Country Status (4)

Country Link
KR (1) KR101947844B1 (en)
CN (1) CN103748665B (en)
SG (1) SG193614A1 (en)
TW (1) TWI579911B (en)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20170039557A (en) * 2015-09-28 2017-04-11 어플라이드 머티어리얼스, 인코포레이티드 A plasma reactor for processing a workpiece with an array of plasma point sources
KR20190072676A (en) * 2016-11-15 2019-06-25 어플라이드 머티어리얼스, 인코포레이티드 A dynamic step-wise array plasma source for complete plasma coverage of a moving substrate
KR20210124285A (en) * 2019-01-25 2021-10-14 이닝 에스.알.오. Plasma Shutter with Gasification Device and Microwave Plasma Delay System of Gasification Device
KR20220080934A (en) * 2020-12-08 2022-06-15 세메스 주식회사 Substrate processing apparatus and method using the plasma

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6584786B2 (en) * 2015-02-13 2019-10-02 株式会社日立ハイテクノロジーズ Plasma ion source and charged particle beam device
CN108668422B (en) * 2017-03-30 2021-06-08 北京北方华创微电子装备有限公司 Plasma generating chamber and plasma processing device

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030153177A1 (en) * 2002-02-11 2003-08-14 Applied Materials, Inc. Variable flow deposition apparatus and method in semiconductor substrate processing
US20080314523A1 (en) * 2007-06-25 2008-12-25 Tokyo Electron Limited Gas supply mechanism and substrate processing apparatus
US20110005681A1 (en) * 2009-07-08 2011-01-13 Stephen Edward Savas Plasma Generating Units for Processing a Substrate

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6017221A (en) * 1995-12-04 2000-01-25 Flamm; Daniel L. Process depending on plasma discharges sustained by inductive coupling
AU2001224729A1 (en) * 2000-01-10 2001-07-24 Tokyo Electron Limited Segmented electrode assembly and method for plasma processing
US9184043B2 (en) * 2006-05-24 2015-11-10 Lam Research Corporation Edge electrodes with dielectric covers
US20070281105A1 (en) * 2006-06-02 2007-12-06 Nima Mokhlesi Atomic Layer Deposition of Oxides Using Krypton as an Ion Generating Feeding Gas
US8202393B2 (en) * 2007-08-29 2012-06-19 Lam Research Corporation Alternate gas delivery and evacuation system for plasma processing apparatuses
JP4585574B2 (en) * 2008-02-26 2010-11-24 東京エレクトロン株式会社 Plasma processing apparatus and plasma processing method
KR101338629B1 (en) * 2009-01-14 2013-12-06 가부시키가이샤 아루박 Plasma cvd apparatus

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030153177A1 (en) * 2002-02-11 2003-08-14 Applied Materials, Inc. Variable flow deposition apparatus and method in semiconductor substrate processing
US20080314523A1 (en) * 2007-06-25 2008-12-25 Tokyo Electron Limited Gas supply mechanism and substrate processing apparatus
US20110005681A1 (en) * 2009-07-08 2011-01-13 Stephen Edward Savas Plasma Generating Units for Processing a Substrate

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20170039557A (en) * 2015-09-28 2017-04-11 어플라이드 머티어리얼스, 인코포레이티드 A plasma reactor for processing a workpiece with an array of plasma point sources
KR20190072676A (en) * 2016-11-15 2019-06-25 어플라이드 머티어리얼스, 인코포레이티드 A dynamic step-wise array plasma source for complete plasma coverage of a moving substrate
US11948783B2 (en) 2016-11-15 2024-04-02 Applied Materials, Inc. Dynamic phased array plasma source for complete plasma coverage of a moving substrate
KR20210124285A (en) * 2019-01-25 2021-10-14 이닝 에스.알.오. Plasma Shutter with Gasification Device and Microwave Plasma Delay System of Gasification Device
KR20220080934A (en) * 2020-12-08 2022-06-15 세메스 주식회사 Substrate processing apparatus and method using the plasma

Also Published As

Publication number Publication date
KR101947844B1 (en) 2019-02-13
TWI579911B (en) 2017-04-21
TW201301388A (en) 2013-01-01
SG193614A1 (en) 2013-10-30
CN103748665B (en) 2016-11-02
CN103748665A (en) 2014-04-23

Similar Documents

Publication Publication Date Title
US20180240686A1 (en) Semiconductor Processing System Having Multiple Decoupled Plasma Sources
US8900402B2 (en) Semiconductor processing system having multiple decoupled plasma sources
CN106486335B (en) Plasma etching system and method using secondary plasma implantation
US9177756B2 (en) E-beam enhanced decoupled source for semiconductor processing
US9111728B2 (en) E-beam enhanced decoupled source for semiconductor processing
KR102451502B1 (en) Process Chamber for Cyclic Selective Material Removal and Etching
CN101540277B (en) Plasma processing apparatus
KR101947844B1 (en) Semiconductor processing system having multiple decoupled plasma sources
KR101083624B1 (en) Segmented radio frequency electrode apparatus and method for uniformity control
US20120258607A1 (en) E-Beam Enhanced Decoupled Source for Semiconductor Processing
CN102376521B (en) Plasma processing apparatus and plasma control method
US20130014895A1 (en) Substrate processing apparatus
KR20210030202A (en) Substrate support and substrate processing apparatus
KR101358779B1 (en) Plasma reactor having multi-core plasma generation plate
KR101900527B1 (en) E-beam enhanced decoupled source for semiconductor processing
CN103597120A (en) Multi-frequency hollow cathode and systems implementing the same
CN111095498B (en) Mounting table, substrate processing apparatus, and edge ring
US10083820B2 (en) Dual-frequency surface wave plasma source
CN112466735A (en) Substrate holder and plasma processing apparatus
TW202209401A (en) Methods and apparatus for pulsed inductively coupled plasma for surface treatment processing
US8980046B2 (en) Semiconductor processing system with source for decoupled ion and radical control
US11417500B2 (en) Plasma processing apparatus and plasma processing method
WO2012142038A1 (en) E-beam enhanced decoupled source for semiconductor processing
JP7278896B2 (en) Plasma processing method and plasma processing apparatus
WO2023058480A1 (en) Upper electrode structure, and plasma processing device

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant