KR101947844B1 - Semiconductor processing system having multiple decoupled plasma sources - Google Patents

Semiconductor processing system having multiple decoupled plasma sources Download PDF

Info

Publication number
KR101947844B1
KR101947844B1 KR1020137032848A KR20137032848A KR101947844B1 KR 101947844 B1 KR101947844 B1 KR 101947844B1 KR 1020137032848 A KR1020137032848 A KR 1020137032848A KR 20137032848 A KR20137032848 A KR 20137032848A KR 101947844 B1 KR101947844 B1 KR 101947844B1
Authority
KR
South Korea
Prior art keywords
plasma
power
process gas
chamber
microchambers
Prior art date
Application number
KR1020137032848A
Other languages
Korean (ko)
Other versions
KR20140036224A (en
Inventor
패트릭 홀랜드 존
엘. 지. 벤트젝 피터
하미트 싱
리차드 고트쵸
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US13/104,925 external-priority patent/US8900403B2/en
Priority claimed from US13/104,923 external-priority patent/US8900402B2/en
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20140036224A publication Critical patent/KR20140036224A/en
Application granted granted Critical
Publication of KR101947844B1 publication Critical patent/KR101947844B1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • H01J37/32633Baffles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32899Multiple chambers, e.g. cluster tools

Abstract

반도체 기판 프로세싱 시스템은 프로세싱 영역에 대한 노출부에서 기판을 지지하도록 정의된 기판 지지부를 포함한다. 시스템은 또한, 제 1 플라즈마를 생성하고, 제 1 플라즈마의 반응성 성분들을 프로세싱 영역에 공급하도록 정의된 제 1 플라즈마 챔버를 포함한다. 시스템은 또한, 제 2 플라즈마를 생성하고, 제 2 플라즈마의 반응성 성분들을 프로세싱 영역에 공급하도록 정의된 제 2 플라즈마 챔버를 포함한다. 제 1 및 제 2 플라즈마 챔버들은 독립적으로 제어되도록 정의된다.The semiconductor substrate processing system includes a substrate support defined to support the substrate in an exposed portion to the processing region. The system also includes a first plasma chamber defined to generate a first plasma and to supply reactive components of the first plasma to the processing region. The system also includes a second plasma chamber defined to generate a second plasma and supply reactive components of the second plasma to the processing region. The first and second plasma chambers are defined to be controlled independently.

Figure 112013113161764-pct00002
Figure 112013113161764-pct00002

Description

다수의 디커플링된 플라즈마 소스들을 갖는 반도체 프로세싱 시스템{SEMICONDUCTOR PROCESSING SYSTEM HAVING MULTIPLE DECOUPLED PLASMA SOURCES}≪ Desc / Clms Page number 1 > SEMICONDUCTOR PROCESSING SYSTEM HAVING MULTIPLE DECOUPLED PLASMA SOURCES WITH DECOUPLED PLASMA SOURCES [

반도체 디바이스 제조에서 박막 프로세싱을 위해 이용되는 플라즈마 소스들은 종종, 플라즈마에서 이온 및 라디컬 농도들을 별개로 제어하기 위한 무능력으로 인해 건조 에칭에 대한 가장 바람직한 조건을 달성할 수 없다. 예를 들어, 몇몇 애플리케이션들에서, 플라즈마 에칭에 대한 바람직한 조건들은, 플라즈마에서 이온 농도를 증가시키면서, 동시에 라디컬 농도를 일정한 레벨로 유지시킴으로써 달성될 것이다. 그러나, 라디컬 농도 대 이온 농도의 이러한 타입의 독립적인 제어는, 박막 프로세싱을 위해 통상적으로 사용되는 공통 플라즈마 소스를 사용하여 달성될 수 없다. 이러한 맥락 내에서 본 발명이 등장했다.Plasma sources used for thin film processing in semiconductor device fabrication often fail to achieve the most desirable conditions for dry etching due to the inability to separately control ion and radical concentrations in the plasma. For example, in some applications, desirable conditions for plasma etching will be achieved by increasing the ion concentration in the plasma while simultaneously keeping the radical concentration at a constant level. However, independent control of this type of radical concentration vs. ion concentration can not be achieved using a common plasma source commonly used for thin film processing. Within this context, the present invention has emerged.

일 실시형태에서, 반도체 기판 프로세싱 시스템이 기재된다. 시스템은 프로세싱 영역에 대한 노출부 (exposure) 내에 기판을 지지하도록 정의된 기판 지지부를 포함한다. 시스템은 또한, 제 1 플라즈마를 생성하고, 제 1 플라즈마의 반응성 성분들을 프로세싱 영역에 공급하도록 정의된 제 1 플라즈마 챔버를 포함한다. 시스템은 또한, 제 2 플라즈마를 생성하고, 제 2 플라즈마의 반응성 성분들을 프로세싱 영역에 공급하도록 정의된 제 2 플라즈마 챔버를 포함한다. 제 1 및 제 2 플라즈마 챔버들은 독립적으로 제어되도록 정의된다.In one embodiment, a semiconductor substrate processing system is described. The system includes a substrate support defined to support a substrate within an exposure to the processing region. The system also includes a first plasma chamber defined to generate a first plasma and to supply reactive components of the first plasma to the processing region. The system also includes a second plasma chamber defined to generate a second plasma and supply reactive components of the second plasma to the processing region. The first and second plasma chambers are defined to be controlled independently.

다른 실시형태에서, 반도체 기판 프로세싱 시스템이 기재된다. 시스템은, 상단 구조, 바닥 구조, 및 상단 구조와 바닥 구조 사이에서 연장하는 측벽들을 포함한다. 챔버는 프로세싱 영역을 둘러싼다. 기판 지지부는 챔버 내에 배치되며, 프로세싱 영역에 대한 노출부에서 기판을 지지하도록 정의된다. 시스템은 또한, 기판 지지부 위의 챔버 내에 배치된 상단 플레이트 어셈블리를 포함한다. 상단 플레이트 어셈블리는, 프로세싱 영역에 노출되고 기판 지지부의 상단 표면 반대쪽에 있는 하부 표면을 갖는다. 상단 플레이트 어셈블리는, 제 1 플라즈마의 반응성 성분들을 프로세싱 영역에 공급하도록 접속된 제 1 복수의 플라즈마 포트들을 포함한다. 상단 플레이트 어셈블리는 또한, 제 2 플라즈마의 반응성 성분들을 프로세싱 영역에 공급하도록 접속된 제 2 복수의 플라즈마 포트들을 포함한다.In another embodiment, a semiconductor substrate processing system is described. The system includes a top structure, a bottom structure, and side walls extending between the top structure and the bottom structure. The chamber surrounds the processing region. A substrate support is disposed within the chamber and is defined to support the substrate at the exposed portion to the processing region. The system also includes a top plate assembly disposed within the chamber above the substrate support. The top plate assembly has a bottom surface exposed to the processing region and opposite the top surface of the substrate support. The top plate assembly includes a first plurality of plasma ports connected to supply reactive components of the first plasma to the processing region. The top plate assembly also includes a second plurality of plasma ports connected to supply reactive components of the second plasma to the processing region.

또 다른 실시형태에서, 반도체 기판을 프로세싱하기 위한 방법이 기재된다. 방법은, 프로세싱 영역에 대한 노출부 내의 기판 지지부 상에 기판을 배치시키기 위한 동작을 포함한다. 방법은 또한, 제 1 플라즈마 타입의 제 1 플라즈마를 생성하기 위한 동작을 포함한다. 방법은 또한, 제 1 플라즈마 타입과 상이한 제 2 플라즈마 타입의 제 2 플라즈마를 생성하기 위한 동작을 포함한다. 방법은, 기판의 프로세싱에 영향을 주기 위해 제 1 및 제 2 플라즈마들 양자의 반응성 성분들을 프로세싱 영역에 공급하기 위한 동작을 더 포함한다.In yet another embodiment, a method for processing a semiconductor substrate is described. The method includes an act of disposing a substrate on a substrate support within an exposure portion for a processing region. The method also includes an operation for generating a first plasma of a first plasma type. The method also includes an operation for generating a second plasma of a second plasma type that is different from the first plasma type. The method further includes supplying reactive components of both the first and second plasma to the processing region to effect processing of the substrate.

일 실시형태에서, 반도체 기판 프로세싱 시스템이 기재된다. 시스템은, 플라즈마 프로세싱 영역에 노출된 프로세스-측 표면을 갖는 플레이트 어셈블리를 포함한다. 배출 채널은, 플라즈마 프로세싱 영역으로부터의 배출 가스들의 제거를 제공하기 위하여 플레이트 어셈블리의 프로세스-측 표면을 통해 형성된다. 플라즈마 마이크로챔버는 배출 채널 내부에 형성된다. 또한, 가스 공급 채널은, 배출 채널에서 플라즈마 마이크로챔버로 프로세스 가스를 흐르게 하도록 플레이트 어셈블리를 통해 형성된다. 그리고, 전력 전달 컴포넌트는, 배출 챔버에서 플라즈마 마이크로챔버 내부의 플라즈마로 프로세스 가스를 변환시키기 위해, 전력을 플라즈마 마이크로챔버에 송신하도록 플레이트 어셈블리 내에 형성된다.In one embodiment, a semiconductor substrate processing system is described. The system includes a plate assembly having a process-side surface exposed to a plasma processing region. The exit channel is formed through the process-side surface of the plate assembly to provide for the removal of exhaust gases from the plasma processing region. The plasma microchamber is formed within the discharge channel. The gas supply channel is also formed through the plate assembly to flow the process gas from the discharge channel to the plasma microchamber. The power transfer component is then formed in the plate assembly to transmit power to the plasma microchamber to convert the process gas from the discharge chamber into a plasma within the plasma microchamber.

다른 실시형태에서, 반도체 기판 프로세싱 시스템이 기재된다. 시스템은, 상단 구조, 바닥 구조, 및 상단 구조와 바닥 구조 사이에서 연장하는 측벽들을 갖는 챔버를 포함한다. 챔버는 프로세싱 영역을 포함한다. 기판 지지부는 챔버 내에 배치된다. 기판 지지부는 프로세싱 영역에 대한 노출부에서 기판을 지지하도록 정의된 상단 표면을 갖는다. 시스템은 또한, 기판 지지부 위의 챔버 내에 배치된 상단 플레이트 어셈블리를 포함한다. 상단 플레이트 어셈블리는, 프로세싱 영역에 노출되고 기판 지지부의 상단 표면 반대쪽에 있는 하부 표면을 갖는다. 상단 플레이트 어셈블리는 상단 플레이트 어셈블리의 하부 표면으로 각각 형성된 제 1 세트의 플라즈마 마이크로챔버들을 포함한다. 상단 플레이트 어셈블리는 또한, 제 1 세트의 플라즈마 마이크로챔버들의 각각에 제 1 프로세스 가스를 흐르게 하도록 형성된 가스 공급 채널들의 제 1 네트워크를 포함한다. 제 1 세트의 플라즈마 마이크로챔버들의 각각은, 프로세싱 영역에 대한 노출부에서 제 1 플라즈마로 제 1 프로세스 가스를 변환시키도록 정의된다. 상단 플레이트 어셈블리는 또한, 프로세싱 영역으로부터의 배출 가스들의 제거를 제공하도록 상단 플레이트 어셈블리의 하부 표면을 통해 형성된 일 세트의 배출 채널들을 포함한다. 상단 플레이트 어셈블리는 또한, 일 세트의 배출 채널들 내부에 각각 형성된 제 2 세트의 플라즈마 마이크로챔버들을 포함한다. 상단 플레이트 어셈블리는, 제 2 세트의 플라즈마 마이크로챔버들의 각각에 제 2 프로세스 가스를 흐르게 하도록 형성된 가스 공급 채널들의 제 2 네트워크를 더 포함한다. 제 2 세트의 플라즈마 마이크로챔버들의 각각은, 프로세싱 영역에 대한 노출부에서 제 2 플라즈마로 제 2 프로세스 가스를 변환시키도록 정의된다.In another embodiment, a semiconductor substrate processing system is described. The system includes a top structure, a bottom structure, and a chamber having sidewalls extending between the top structure and the bottom structure. The chamber includes a processing region. The substrate support is disposed within the chamber. The substrate support has a top surface defined to support the substrate at the exposed portion for the processing region. The system also includes a top plate assembly disposed within the chamber above the substrate support. The top plate assembly has a bottom surface exposed to the processing region and opposite the top surface of the substrate support. The top plate assembly includes a first set of plasma microchambers each formed with a bottom surface of the top plate assembly. The top plate assembly also includes a first network of gas supply channels configured to flow a first process gas into each of the first set of plasma microchambers. Each of the first set of plasma microchambers is defined to convert the first process gas from the exposed portion to the first plasma to the processing region. The top plate assembly also includes a set of exhaust channels formed through the bottom surface of the top plate assembly to provide for removal of exhaust gases from the processing region. The top plate assembly also includes a second set of plasma microchambers, each formed within a set of discharge channels. The top plate assembly further includes a second network of gas supply channels configured to flow a second process gas into each of the second set of plasma microchambers. Each of the second set of plasma microchambers is defined to convert a second process gas from the exposed portion to the processing region to a second plasma.

다른 실시형태에서, 반도체 기판을 프로세싱하기 위한 방법이 기재된다. 방법은, 프로세싱 영역에 대한 노출부 내의 기판 지지부 상에 기판을 배치시키기 위한 동작을 포함한다. 방법은 또한, 프로세싱 영역에 대한 노출부에서 제 1 세트의 플라즈마 마이크로챔버들을 동작시키는 단계를 포함하며, 그에 의해, 제 1 세트의 플라즈마 마이크로챔버들의 각각은 제 1 플라즈마를 생성하고, 제 1 플라즈마의 반응성 성분들을 프로세싱 영역에 공급한다. 제 1 세트의 플라즈마 마이크로챔버들은 기판 지지부로부터 반대쪽인 프로세싱 영역 위에 위치된다. 방법은 또한, 프로세싱 영역에 대한 노출부에서 제 2 세트의 플라즈마 마이크로챔버들을 동작시키는 단계를 포함하며, 그에 의해, 제 2 세트의 플라즈마 마이크로챔버들의 각각은 제 2 플라즈마를 생성하고, 제 2 플라즈마의 반응성 성분들을 플라즈마 영역에 공급한다. 제 2 플라즈마는 제 1 플라즈마와 상이하다. 그리고, 제 2 세트의 플라즈마 마이크로챔버들은, 기판 지지부로부터 반대쪽인 프로세싱 영역 위에 위치된다. 제 2 세트의 플라즈마 마이크로챔버들은 제 1 세트의 플라즈마 마이크로챔버들 사이에 실질적으로 균일한 방식으로 산재 (intersperse) 된다.In another embodiment, a method for processing a semiconductor substrate is described. The method includes an act of disposing a substrate on a substrate support within an exposure portion for a processing region. The method also includes operating a first set of plasma microchambers at an exposure to the processing region, whereby each of the first set of plasma microchambers generates a first plasma, Reactive components are supplied to the processing region. The first set of plasma microchambers are positioned above the processing region opposite from the substrate support. The method also includes operating a second set of plasma microchambers in an exposed portion of the processing region, whereby each of the second set of plasma microchambers generates a second plasma, The reactive components are supplied to the plasma region. The second plasma differs from the first plasma. The second set of plasma microchambers are then positioned above the processing region opposite from the substrate support. The second set of plasma microchambers are interspersed in a substantially uniform manner between the first set of plasma microchambers.

본 발명의 다른 양태들 및 이점들은, 본 발명을 예로서 도시하는 첨부한 도면들과 함께 취해진 다음의 상세한 설명으로부터 더 명백해질 것이다.Other aspects and advantages of the present invention will become more apparent from the following detailed description, taken in conjunction with the accompanying drawings, which illustrate, by way of example, the present invention.

도 1은 본 발명의 일 실시형태에 따른, 공통 기판 프로세싱 영역에 대한 노출부에서의 다수의 플라즈마 챔버들의 사용을 이용하여 달성가능한 이온 농도와 라디컬 농도 사이의 관계들을 도시한다.
도 2a는 본 발명의 일 실시형태에 따른, 반도체 기판 프로세싱 시스템을 도시한다.
도 2b는 본 발명의 일 실시형태에 따른, 반도체 기판 프로세싱 시스템을 도시한다.
도 2c는 본 발명의 일 실시형태에 따른, 반도체 기판 프로세싱 시스템을 도시한다.
도 2d는 본 발명의 일 실시형태에 따른, 이온 추출을 향상시키기 위한 에너자이징된 유출구 영역을 갖는 제 2 플라즈마 챔버의 변형을 도시한다.
도 2e는 본 발명의 일 실시형태에 따른, 제 1 및 제 2 플라즈마 챔버들이 유전체 재료에 의해 분리되는 시스템의 변형을 도시한다.
도 2fa는 본 발명의 일 실시형태에 따른, 제 1 및 제 2 플라즈마 챔버들의 전력 전달 컴포넌트들이 제 1 및 제 2 플라즈마 챔버들 내의 측벽들 상에 배치된 전극들로서 구현되는 도 2a의 시스템의 다른 변형을 도시한다.
도 2fb는 본 발명의 일 실시형태에 따른, 제 1 및 제 2 플라즈마 챔버들의 전력 전달 컴포넌트들이 제 1 및 제 2 플라즈마 챔버들 내의 상부 및 하부 표면들 상에 배치된 전극들로서 구현되는 도 2a의 시스템의 또 다른 변형을 도시한다.
도 2g는 본 발명의 일 실시형태에 따른, 제 1 및 제 2 플라즈마 챔버들의 전력 전달 컴포넌트들이 제 1 및 제 2 플라즈마 챔버들에 근접하게 배치된 코일들로서 구현되는 도 2a의 시스템의 또 다른 변형을 도시한다.
도 3a는 본 발명의 일 실시형태에 따른, 반도체 기판 프로세싱 시스템의 수직 단면을 도시한다.
도 3b는 본 발명의 일 실시형태에 따른, 도 3a에서 참조된 바와 같은 수평 단면도 A-A를 도시한다.
도 3c는 본 발명의 일 실시형태에 따른, 상단 플레이트 어셈블리에 걸친 제 1 및 제 2 플라즈마 마이크로챔버들 사이의 간격이 감소하는 도 3b의 수평 단면도의 변형을 도시한다.
도 3d는 본 발명의 일 실시형태에 따른, 상단 플레이트 어셈블리에 걸친 제 1 및 제 2 플라즈마 마이크로챔버들 사이의 간격이 증가하는 도 3b의 수평 단면도의 변형을 도시한다.
도 3e는 본 발명의 일 실시형태에 따른, 상단 플레이트 어셈블리에 걸친 제 1 및 제 2 플라즈마 마이크로챔버들 사이의 간격이 비균일한 도 3b의 수평 단면도의 변형을 도시한다.
도 4a는 본 발명의 일 실시형태에 따른, 기판 플라즈마 프로세싱을 위한 다른 시스템을 도시한다.
도 4b는 본 발명의 일 실시형태에 따른, 도 4a에서 참조된 바와 같은 수평 단면도 B-B를 도시한다.
도 4c는 본 발명의 일 실시형태에 따른, 상단 플레이트 어셈블리에 걸친 제 1 및 제 2 플라즈마 챔버들과 연관된 플라즈마 포트들 사이의 간격이 감소되는 도 4b의 수평 단면도의 변형을 도시한다.
도 4d는 본 발명의 일 실시형태에 따른, 상단 플레이트 어셈블리에 걸친 제 1 및 제 2 플라즈마 챔버들과 연관된 플라즈마 포트들 사이의 간격이 증가되는 도 4b의 수평 단면도의 변형을 도시한다.
도 4e는 본 발명의 일 실시형태에 따른, 상단 플레이트 어셈블리에 걸친 제 1 및 제 2 플라즈마 챔버들과 연관된 플라즈마 포트들 사이의 간격이 비균일한 도 4b의 수평 단면도의 변형을 도시한다.
도 5a는 본 발명의 일 실시형태에 따른, 기판 플라즈마 프로세싱을 위한 다른 시스템을 도시한다.
도 5b는 본 발명의 일 실시형태에 따른, 도 5a에서 참조된 바와 같은 수평 단면도 C-C를 도시한다.
도 5c는 본 발명의 일 실시형태에 따른, 상단 플레이트 어셈블리의 하부 표면에 걸친 제 1 및 제 2 세트들의 플라즈마 마이크로챔버들 사이의 간격이 감소되는 도 5b의 수평 단면도의 변형을 도시한다.
도 5d는 본 발명의 일 실시형태에 따른, 상단 플레이트 어셈블리의 하부 표면에 걸친 제 1 및 제 2 세트들의 플라즈마 마이크로챔버들 사이의 간격이 증가되는 도 5b의 수평 단면도의 변형을 도시한다.
도 5e는 본 발명의 일 실시형태에 따른, 상단 플레이트 어셈블리의 하부 표면에 걸친 제 1 및 제 2 세트들의 플라즈마 마이크로챔버들 사이의 간격이 비균일한 도 5b의 수평 단면도의 변형을 도시한다.
도 6은 본 발명의 일 실시형태에 따른, 반도체 기판을 프로세싱하기 위한 방법의 흐름도를 도시한다.
도 7은 본 발명의 일 실시형태에 따른, 반도체 기판을 프로세싱하기 위한 방법의 흐름도를 도시한다.
Figure 1 illustrates relationships between ion concentrations and radical concentrations achievable using the use of multiple plasma chambers at the exposed portion for a common substrate processing region, in accordance with an embodiment of the present invention.
Figure 2a illustrates a semiconductor substrate processing system, in accordance with an embodiment of the invention.
Figure 2B illustrates a semiconductor substrate processing system, in accordance with one embodiment of the present invention.
2C illustrates a semiconductor substrate processing system, in accordance with an embodiment of the present invention.
Figure 2D illustrates a variation of a second plasma chamber having an energized outlet region for enhancing ion extraction, in accordance with an embodiment of the present invention.
Figure 2e illustrates a variation of a system in which first and second plasma chambers are separated by a dielectric material, in accordance with an embodiment of the present invention.
Figure 2fa illustrates another variation of the system of Figure 2a in which the power transfer components of the first and second plasma chambers are embodied as electrodes disposed on the sidewalls in the first and second plasma chambers, in accordance with an embodiment of the present invention. Lt; / RTI >
Figure 2fb illustrates a system of Figure 2a in which power transfer components of first and second plasma chambers are implemented as electrodes disposed on top and bottom surfaces in first and second plasma chambers, in accordance with an embodiment of the present invention. ≪ / RTI >
FIG. 2G illustrates another variation of the system of FIG. 2A, in which the power transfer components of the first and second plasma chambers are implemented as coils disposed proximate to the first and second plasma chambers, according to an embodiment of the present invention. Respectively.
Figure 3a shows a vertical section of a semiconductor substrate processing system, in accordance with an embodiment of the invention.
Figure 3B shows a horizontal section AA as referenced in Figure 3A, in accordance with an embodiment of the present invention.
3C illustrates a variation of the horizontal cross-sectional view of FIG. 3B where the spacing between the first and second plasma microchambers across the top plate assembly is reduced, in accordance with an embodiment of the present invention.
FIG. 3D illustrates a variation of the horizontal cross-sectional view of FIG. 3B with increasing spacing between the first and second plasma microchambers over the top plate assembly, in accordance with an embodiment of the present invention.
FIG. 3E illustrates a variation of the horizontal cross-sectional view of FIG. 3B where the spacing between the first and second plasma microchambers over the top plate assembly is non-uniform, in accordance with an embodiment of the present invention.
4A illustrates another system for substrate plasma processing, in accordance with an embodiment of the present invention.
Figure 4B shows a horizontal cross-sectional view BB as referenced in Figure 4A, in accordance with an embodiment of the present invention.
4C illustrates a variation of the horizontal cross-sectional view of FIG. 4B in which the spacing between plasma ports associated with the first and second plasma chambers across the top plate assembly is reduced, in accordance with an embodiment of the present invention.
4D illustrates a variation of the horizontal cross-sectional view of FIG. 4B where the spacing between the plasma ports associated with the first and second plasma chambers over the top plate assembly is increased, in accordance with an embodiment of the present invention.
4E illustrates a variation of the horizontal cross-sectional view of FIG. 4B where the spacing between the plasma ports associated with the first and second plasma chambers over the top plate assembly is non-uniform, in accordance with an embodiment of the present invention.
5A illustrates another system for substrate plasma processing, in accordance with one embodiment of the present invention.
Figure 5B illustrates a horizontal cross-sectional view CC as referenced in Figure 5A, in accordance with an embodiment of the present invention.
FIG. 5C illustrates a variation of the horizontal cross-sectional view of FIG. 5B in which the spacing between the plasma microchambers of the first and second sets across the lower surface of the top plate assembly is reduced, in accordance with an embodiment of the present invention.
5D illustrates a variation of the horizontal cross-sectional view of FIG. 5B where the spacing between the first and second sets of plasma microchambers over the lower surface of the top plate assembly is increased, in accordance with an embodiment of the present invention.
FIG. 5E illustrates a variation of the horizontal cross-sectional view of FIG. 5B where the spacing between the plasma microchambers of the first and second sets over the lower surface of the top plate assembly is non-uniform, in accordance with an embodiment of the present invention.
Figure 6 shows a flow diagram of a method for processing a semiconductor substrate, in accordance with an embodiment of the present invention.
Figure 7 shows a flow diagram of a method for processing a semiconductor substrate, in accordance with an embodiment of the present invention.

다음의 설명에서, 다수의 특정한 세부사항들은 본 발명의 완전한 이해를 제공하기 위해 기재된다. 그러나, 본 발명이 이들 특정한 세부사항들 중 일부 또는 전부 없이 실시될 수도 있음은 당업자에게 명백할 것이다. 다른 예시들에서, 잘 알려진 프로세스 동작들은 본 발명을 불필요하게 불명료하게 하지 않기 위해 상세히 설명되지 않는다.In the following description, numerous specific details are set forth in order to provide a thorough understanding of the present invention. However, it will be apparent to those skilled in the art that the present invention may be practiced without some or all of these specific details. In other instances, well-known process operations are not described in detail in order not to unnecessarily obscure the present invention.

본 발명의 다양한 실시형태들은, 2개 이상의 타입들의 플라즈마 생성 디바이스들이 유동으로 (fluidly) 접속되는 플라즈마 프로세싱 영역 내에서 이온 및 라디컬 농도들의 디커플링된 제어를 달성하기 위해 별개의 제어 파라미터들을 사용하여 독립적으로 동작될 수 있는 플라즈마 챔버들과 같은 2개 이상의 타입들의 플라즈마 생성 디바이스들을 포함하며, 프로세싱될 기판은 플라즈마 프로세싱 영역 내에 배치된다. 예를 들어, 일 실시형태에서, 제 1 플라즈마 챔버는 이온 농도보다 더 높은 라디컬 농도를 갖는 제 1 플라즈마를 생성하도록 동작될 수 있다. 또한, 이러한 예시적인 실시형태에서, 제 2 플라즈마 챔버는, 라디컬 농도보다 더 높은 이온 농도를 갖는 제 2 플라즈마를 생성하도록 동작될 수 있다. 제 1 및 제 2 플라즈마 챔버들은 동일한 기판 프로세싱 영역에 유동적으로 접속되므로, 제 1 플라즈마 챔버는 기판 프로세싱 영역 내의 라디컬 성분들의 양을 제어하도록 동작되고, 제 2 플라즈마 챔버는 기판 프로세싱 영역 내의 이온 성분들의 양을 제어하도록 동작된다. 이러한 방식으로, 제 1 플라즈마 챔버는 기판 프로세싱 영역에서 이온 농도를 튜닝하도록 제어되고, 제 2 플라즈마 챔버는 기판 프로세싱 영역에서 라디컬 농도를 튜닝하도록 제어된다.Various embodiments of the present invention are directed to a method and system for providing independent, controllable control parameters to achieve decoupled control of ion and radical concentrations in a plasma processing region where two or more types of plasma generating devices are fluidly connected. Such as plasma chambers, that are capable of operating with a plasma processing chamber, wherein the substrate to be processed is disposed within a plasma processing region. For example, in one embodiment, the first plasma chamber may be operated to produce a first plasma having a higher concentration of ions than the ion concentration. Further, in this exemplary embodiment, the second plasma chamber may be operated to produce a second plasma having an ion concentration higher than theradial concentration. The first and second plasma chambers are fluidly connected to the same substrate processing region so that the first plasma chamber is operated to control the amount of radical components in the substrate processing region and the second plasma chamber is operated to control the amount of ion components Is operated to control the amount. In this manner, the first plasma chamber is controlled to tune the ion concentration in the substrate processing region, and the second plasma chamber is controlled to tune the radical concentration in the substrate processing region.

일 실시형태에서, 여기에 사용된 바와 같이, "기판" 이라는 용어는 반도체 웨이퍼를 지칭한다. 그러나, 다른 실시형태들에서, 여기에 사용된 바와 같은 "기판" 이라는 용어가 사파이어, GaN, GaAs 또는 SiC, 또는 다른 기판 재료들로 형성된 기판들을 지칭할 수 있고, 유리 패널들/기판들, 금속 포일들, 금속 시트들, 폴리머 재료들 등을 포함할 수 있음을 이해해야 한다. 또한, 다양한 실시형태들에서, 여기에 지칭되는 바와 같은 "기판" 이라는 용어는 형태, 형상, 및/또는 사이즈에서 변할 수도 있다. 예를 들어, 몇몇 실시형태들에서, 여기에 지칭되는 바와 같은 "기판" 은 200mm(밀리미터) 반도체 웨이퍼, 300mm 반도체 웨이퍼, 또는 450mm 반도체 웨이퍼에 대응할 수도 있다. 또한, 몇몇 실시형태들에서, 여기에 지칭되는 바와 같은 "기판" 은 다른 형상들 중에서, 평판 디스플레이 등에 대한 직사각형 기판과 같은 비-원형 기판에 대응할 수도 있다. 여기에 지칭되는 "기판" 은 기판 (105) 로서 다양한 예시적인 실시형태의 도면들에 도시되어 있다.In one embodiment, as used herein, the term "substrate " refers to a semiconductor wafer. However, in other embodiments, the term "substrate" as used herein can refer to substrates formed from sapphire, GaN, GaAs or SiC, or other substrate materials, and can include glass panels / Foils, metal sheets, polymeric materials, and the like. Further, in various embodiments, the term "substrate" as referred to herein may vary in shape, shape, and / or size. For example, in some embodiments, a "substrate" as referred to herein may correspond to a 200 mm (millimeter) semiconductor wafer, a 300 mm semiconductor wafer, or a 450 mm semiconductor wafer. Also, in some embodiments, a "substrate" as referred to herein may correspond to a non-circular substrate such as a rectangular substrate for a flat panel display or the like, among other shapes. A "substrate" referred to herein is shown in the figures of various exemplary embodiments as a substrate 105.

공통 기판 프로세싱 영역에 반응성 성분들을 제공하기 위한 다수의 플라즈마 챔버들의 독립적인 동작은, 공통 기판 프로세싱 영역 내의 라디컬 농도에 관한 이온 농도의 실질적으로 디커플링된 조정을 제공한다. 다양한 실시형태들에서, 다수의 플라즈마 챔버들 내의 상이한 타입들의 플라즈마들의 생성은, 다수의 플라즈마 챔버들과 연관된 전력 공급부들 및/또는 가스 공급부들의 독립적인 제어를 통해 달성된다. 또한, 몇몇 실시형태들에서, 다수의 플라즈마 챔버들의 출력들은 기판 프로세싱 영역과 유체 연통되게 공간 어레이로 배치될 수 있다. 기판 프로세싱 영역 내의 기판의 실질적으로 균일한 프로세싱에 영향을 주기 위해, 다수의 플라즈마 챔버들 내에 형성된 상이한 타입들의 플라즈마들의 상이한 반응성 성분들이 실질적으로 균일한 방식으로 기판 프로세싱 영역에 공급되도록, 다수의 플라즈마 챔버들의 출력들은 서로 산재되고 서로 충분히 근접하게 이격될 수 있다.The independent operation of the plurality of plasma chambers to provide reactive components to the common substrate processing region provides a substantially decoupled adjustment of the ion concentration with respect to the radical concentration in the common substrate processing region. In various embodiments, the generation of different types of plasmas in a plurality of plasma chambers is achieved through independent control of the power supplies and / or gas supplies associated with the plurality of plasma chambers. Further, in some embodiments, the outputs of the plurality of plasma chambers may be arranged in a spatial array in fluid communication with the substrate processing region. A plurality of plasma chambers, such that different reactive components of different types of plasma formed in the plurality of plasma chambers are supplied to the substrate processing region in a substantially uniform manner to affect substantially uniform processing of the substrate within the substrate processing region, The outputs of which can be scattered from one another and spaced sufficiently close to one another.

도 1은 본 발명의 일 실시형태에 따른, 공통 기판 프로세싱 영역에 대한 노출부에서 다수의 플라즈마 챔버들의 사용으로 달성가능한 이온 농도와 라디컬 농도 사이의 관계를 도시한다. 제 1 라인 (301) 은 공통 기판 프로세싱 영역에 유체 접속된 제 1 플라즈마 챔버에서 생성되는 제 1 플라즈마 내의 이온 농도 대 라디컬 농도의 변형을 도시한다. 이러한 예에서, 제 1 플라즈마는 이온 농도보다 높은 라디컬 농도를 갖는다. 제 2 라인 (303) 은, 공통 기판 프로세싱 영역에 유체 접속된 제 2 플라즈마 챔버에서 생성되는 제 2 플라즈마에서의 이온 농도 대 라디컬 농도의 변형을 도시한다. 이러한 예에서, 제 2 플라즈마는 라디컬 농도보다 높은 이온 농도를 갖는다. 따라서, 제 1 플라즈마는 기판 프로세싱 영역에 라디컬 성분들을 주로 공급하도록 생성되고, 제 2 플라즈마는 기판 프로세싱 영역에 이온 성분들을 주로 공급하도록 생성된다.BRIEF DESCRIPTION OF THE DRAWINGS Figure 1 illustrates the relationship between the ion concentration and the radial concentration achievable with the use of multiple plasma chambers in the exposed portion for a common substrate processing region, in accordance with an embodiment of the present invention. The first line 301 shows a variation in ion concentration versus radical concentration in the first plasma generated in the first plasma chamber fluidly connected to the common substrate processing region. In this example, the first plasma has a higher concentration of ions than the ion concentration. The second line 303 shows a variation in ion concentration versus radical concentration in a second plasma generated in a second plasma chamber fluidly connected to a common substrate processing region. In this example, the second plasma has an ion concentration higher than the radical concentration. Thus, the first plasma is generated to primarily supply the radical components to the substrate processing region, and the second plasma is generated to primarily supply the ion components to the substrate processing region.

제 1 및 제 2 플라즈마 챔버들의 독립적인 제어를 통해, 제 1 라인 (301) 과 제 2 라인 (303) 사이에서 연장하는 도메인 내의 본질적으로 임의의 이온 농도 대 라디컬 농도는 기판 프로세싱 영역 내에서 달성가능하다. 예를 들어, 제 2 플라즈마 챔버는 기판 프로세싱 영역 내의 제 1 이온-대-라디컬 농도 비율 (305) 을 공급하도록 단독으로 동작될 수 있다. 함께 사용된 경우, 제 1 플라즈마 챔버는 기판 프로세싱 영역 내의 라디컬 농도를 증가시키도록 동작될 수 있지만, 제 2 플라즈마 챔버는 기판 프로세싱 영역 내의 실질적으로 정상상태 (steady) 인 이온 농도를 유지시키도록 동작되며, 그에 의해, 제 1 또는 제 2 플라즈마 챔버 단독으로 달성가능하지 않은 기판 프로세싱 영역 내의 제 2 이온-대-라디컬 농도 비율 (307) 을 생성한다. 유사하게, 함께 사용된 경우, 제 2 플라즈마 챔버는 기판 프로세싱 영역 내의 이온 농도를 감소시키도록 동작될 수 있지만, 제 1 플라즈마 챔버는 기판 프로세싱 영역 내의 실질적으로 정상상태인 라디컬 농도를 유지시키도록 동작되며, 그에 의해, 제 1 또는 제 2 플라즈마 챔버 단독으로 달성가능하지 않은 기판 프로세싱 영역 내의 제 3 이온-대-라디컬 농도 비율 (309) 을 생성한다.Through the independent control of the first and second plasma chambers, essentially any ion concentration versus radical concentration in the domain extending between the first line 301 and the second line 303 is achieved within the substrate processing region It is possible. For example, the second plasma chamber may be operated solely to provide a first ion-to-radical concentration ratio 305 within the substrate processing region. When used in conjunction, the first plasma chamber may be operated to increase the radical concentration in the substrate processing region, while the second plasma chamber may be operated to maintain a substantially steady ion concentration in the substrate processing region Thereby creating a second ion-to-radical concentration ratio 307 in the substrate processing region that is not achievable by the first or second plasma chamber alone. Similarly, if used together, the second plasma chamber may be operated to reduce the ion concentration in the substrate processing region, but the first plasma chamber may be operated to maintain a substantially steady state radical concentration in the substrate processing region Thereby creating a third ion-to-radical concentration ratio 309 in the substrate processing region that is not achievable by the first or second plasma chamber alone.

도 1에 관해 추가적으로, 플라즈마 챔버는 기판 프로세싱 영역 내의 제 4 이온-대-라디컬 농도 비율 (311) 을 공급하도록 단독으로 동작될 수 있다. 함께 사용된 경우, 제 2 플라즈마 챔버는 기판 프로세싱 영역 내의 이온 농도를 증가시키도록 동작될 수 있지만, 제 1 플라즈마 챔버는 기판 프로세싱 영역 내의 실질적으로 정상상태인 라디컬 농도를 유지시키도록 동작되며, 그에 의해, 제 1 또는 제 2 플라즈마 챔버 단독으로 달성가능하지 않은 기판 프로세싱 영역 내의 제 5 이온-대-라디컬 농도 비율 (313) 을 생성한다. 유사하게, 함께 사용된 경우, 제 1 플라즈마 챔버는 기판 프로세싱 영역 내의 라디컬 농도를 감소시키도록 동작될 수 있지만, 제 2 플라즈마 챔버는 기판 프로세싱 영역 내의 실질적으로 정상상태인 이온 농도를 유지시키도록 동작되며, 그에 의해, 제 1 또는 제 2 플라즈마 챔버 단독으로 달성가능하지 않은 기판 프로세싱 영역 내의 제 6 이온-대-라디컬 농도 비율 (315) 을 생성한다.1, the plasma chamber may be operated solely to provide a fourth ion-to-radical concentration ratio 311 within the substrate processing region. When used in conjunction, the second plasma chamber may be operated to increase the ion concentration in the substrate processing region, but the first plasma chamber is operated to maintain a substantially steady stateradical concentration in the substrate processing region, To produce a fifth ion-to-radical concentration ratio 313 in the substrate processing region that is not achievable by the first or second plasma chamber alone. Similarly, if used together, the first plasma chamber may be operated to reduce the radical concentration in the substrate processing region, while the second plasma chamber may be operated to maintain a substantially steady state ion concentration in the substrate processing region Thereby creating a sixth ion-to-radical concentration ratio 315 in the substrate processing region that is not achievable by the first or second plasma chamber alone.

전술한 것에 기초하여, 본 발명의 일 실시형태에서, 다수의 독립적으로 제어된 플라즈마 챔버들이, 단일 플라즈마 챔버 단독의 동작을 통해 달성가능하지 않은 기판 프로세싱 영역 내의 이온-대-라디컬 농도 비율들을 제공하기 위하여, 공통 기판 프로세싱 영역에 반응성 성분들을 공급하는데 사용됨을 이해해야 한다. 도 1에 관한 설명에 기초하여, 다수의 플라즈마들의 반응성 성분들이 결합된 경우, 상당히 상이한 이온-대-라디컬 농도 비율들을 갖는 다수의 플라즈마들의 생성이 기판 프로세싱 영역 내의 이온-대-라디컬 농도 비율의 더 광범위한 범위를 제공함을 추가적으로 인식해야 한다. 단일 플라즈마 챔버 단독으로 달성가능하지 않은 기판 프로세싱 영역 내의 반응성 성분들의 결합을 생성하기 위해 다수의 독립적으로 제어된 플라즈마 챔버들로부터의 반응성 성분 출력들의 공간 결합을 제공하는 다수의 반도체 기판 프로세싱 시스템들이 여기에 기재된다.Based on the foregoing, in one embodiment of the present invention, a plurality of independently controlled plasma chambers provide ion-to-radical concentration ratios in a substrate processing region that are not achievable through the operation of a single plasma chamber alone It should be understood that it is used to supply reactive components to a common substrate processing region. 1, the generation of a plurality of plasma with significantly different ion-to-radical concentration ratios, when the reactive components of a plurality of plasmas are combined, is determined by the ion-to-radical concentration ratio To provide a wider range of < / RTI > A plurality of semiconductor substrate processing systems that provide spatial coupling of reactive component outputs from a plurality of independently controlled plasma chambers to produce a combination of reactive components within a substrate processing region that is not achievable by a single plasma chamber alone .

도 2a는 본 발명의 일 실시형태에 따른, 반도체 기판 프로세싱 시스템 (200A) 을 도시한다. 시스템 (200A) 은 프로세싱 영역 (106) 에 대한 노출부에서 기판 (105) 을 지지하도록 정의된 기판 지지부 (107) 를 포함한다. 시스템 (200A) 은 또한, 제 1 플라즈마 (101A) 를 생성하고, 제 1 플라즈마 (101A) 의 반응성 성분들 (108A) 을 제 1 플라즈마 챔버 (101) 내의 개구를 통해 프로세싱 영역 (106) 으로 공급하도록 정의된 제 1 플라즈마 챔버 (101) 를 포함한다. 시스템 (200A) 은 또한, 제 2 플라즈마 (102A) 를 생성하고, 제 2 플라즈마 (102A) 의 반응성 성분들 (108B) 을 제 2 플라즈마 챔버 (102) 내의 개구를 통해 프로세싱 영역 (106) 으로 공급하도록 정의된 제 2 플라즈마 챔버 (102) 를 포함한다. 제 1 플라즈마 챔버 (101) 및 제 2 플라즈마 챔버 (102) 는 독립적으로 제어되도록 정의된다.2A shows a semiconductor substrate processing system 200A, in accordance with an embodiment of the invention. The system 200A includes a substrate support 107 that is defined to support a substrate 105 at an exposure to the processing region 106. The system 200A also generates a first plasma 101A and supplies reactive components 108A of the first plasma 101A to the processing region 106 through an opening in the first plasma chamber 101 And a first plasma chamber 101 defined therein. The system 200A also generates a second plasma 102A and supplies reactive components 108B of the second plasma 102A to the processing region 106 through an opening in the second plasma chamber 102 And a second plasma chamber 102 defined therein. The first plasma chamber 101 and the second plasma chamber 102 are defined to be controlled independently.

더 상세하게, 제 1 플라즈마 챔버 (101) 는 제 1 전력 공급부 (103A) 에 전기적으로 접속된다. 제 1 전력 공급부 (103A) 는 제 1 전력을 제 1 플라즈마 챔버 (101) 에 공급하도록 정의된다. 제 1 플라즈마 챔버 (101) 는 또한, 제 1 프로세스 가스를 제 1 플라즈마 챔버 (101) 에 공급하도록 정의된 제 1 프로세스 가스 공급부 (104A) 에 유동적으로 접속된다. 제 1 플라즈마 챔버 (101) 는 제 1 플라즈마 챔버 (101) 내에서 제 1 플라즈마 (101A) 를 생성하도록 제 1 프로세스 가스에 제 1 전력을 인가하도록 정의된다.More specifically, the first plasma chamber 101 is electrically connected to the first power supply 103A. The first power supply 103A is defined to supply the first power to the first plasma chamber 101. [ The first plasma chamber 101 is also fluidly connected to a first process gas supply 104A defined to supply a first process gas to the first plasma chamber 101. [ The first plasma chamber 101 is defined to apply a first power to the first process gas to produce a first plasma 101A within the first plasma chamber 101. [

제 2 플라즈마 챔버 (102) 는 제 2 전력 공급부 (103B) 에 전기적으로 접속된다. 제 2 전력 공급부 (103B) 는 제 2 플라즈마 챔버 (102) 에 제 2 전력을 공급하도록 정의된다. 제 2 플라즈마 챔버 (102) 는 또한, 제 2 플라즈마 챔버 (102) 에 제 2 프로세스 가스를 공급하도록 정의된 제 2 프로세스 가스 공급부 (104B) 에 유동적으로 접속된다. 제 2 플라즈마 챔버 (102) 는 제 2 플라즈마 챔버 (102) 내에서 제 2 플라즈마 (102A) 를 생성하도록 제 2 프로세스 가스에 제 2 전력을 인가하도록 정의된다.And the second plasma chamber 102 is electrically connected to the second power supply 103B. And the second power supply 103B is defined to supply a second power to the second plasma chamber 102. [ The second plasma chamber 102 is also fluidly connected to a second process gas supply 104B that is defined to supply a second process gas to the second plasma chamber 102. [ The second plasma chamber 102 is defined to apply a second power to the second process gas to produce a second plasma 102A within the second plasma chamber 102. [

인가된 전력 및 사용된 프로세스 가스에 의존하여, 제 1 및 제 2 플라즈마 챔버들 (101/102) 이 상당히 상이한 타입들의 플라즈마들 (101A/102A) 을 생성할 수 있음을 이해해야 한다. 일 실시형태에서, 제 1 및 제 2 전력 공급부들 (103A/103B) 은 독립적으로 제어가능하다. 또한, 일 실시형태에서, 제 1 및 제 2 프로세스 가스 공급부들 (104A/104B) 은 독립적으로 제어가능하다. 그리고, 다른 실시형태에서, 제 1 및 제 2 전력 공급부들 (103A/103B) 및 제 1 및 제 2 프로세스 가스 공급부들 (104A/104B) 은 독립적으로 제어가능하다.It should be appreciated that depending on the applied power and the process gas used, the first and second plasma chambers 101/102 may generate significantly different types of plasma 101A / 102A. In one embodiment, the first and second power supplies 103A / 103B are independently controllable. Further, in one embodiment, the first and second process gas supply units 104A / 104B are independently controllable. And, in another embodiment, the first and second power supply units 103A / 103B and the first and second process gas supply units 104A / 104B are independently controllable.

제 1 및 제 2 프로세스 가스 공급부들 (104A/104B) 의 독립적인 제어가 본질적으로 임의의 다른 프로세스 가스 관련 파라미터 중에서, 가스 타입/혼합물, 가스 유동율, 가스 온도, 및 가스 압력 중 하나 이상에 관한 것일 수 있음을 이해해야 한다. 또한, 제 1 및 제 2 전력 공급부들 (103A/103B) 의 독립적인 제어가 본질적으로 임의의 다른 전력 관련 파라미터 중에서, 무선주파수 (RF) 진폭, RF 주파수, 전압 레벨, 및 전류 레벨 중 하나 이상에 관한 것일 수 있음을 이해해야 한다.Independent control of the first and second process gas supplies 104A / 104B is essentially one of any other process gas related parameters, one or more of gas type / mixture, gas flow rate, gas temperature, and gas pressure It should be understood. Independent control of the first and second power supplies 103A / 103B may also be performed on at least one of the radio frequency (RF) amplitude, the RF frequency, the voltage level, and the current level, It should be understood.

일 실시형태에서, 제 1 전력 공급부 (103A) 에 의해 제 1 플라즈마 챔버 (101) 에 공급된 제 1 전력은, 직류 (DC) 전력, RF 전력, 또는 DC 및 RF 전력의 조합 중 어느 하나이다. 유사하게, 일 실시형태에서, 제 2 전력 공급부 (103B) 에 의해 제 2 플라즈마 챔버 (102) 로 공급된 제 2 전력은 DC 전력, RF 전력, 또는 DC 및 RF 전력의 조합 중 어느 하나이다. 일 실시형태에서, 제 1 전력 공급부 (103A) 에 의해 제 1 플라즈마 챔버 (101) 로 공급된 제 1 전력은, 2메가헤르츠 (MHz), 27MHz, 60MHz, 400킬로헤르츠 (kHz), 또는 이들의 조합의 주파수를 갖는 RF 전력이고, 제 2 전력 공급부 (103B) 에 의해 제 2 플라즈마 챔버 (102) 로 공급된 제 2 전력은 2MHz, 27MHz, 60MHz, 400kHz, 또는 이들의 조합 중 어느 하나의 주파수를 갖는 RF 전력이다. 이러한 실시형태의 일 버전에서, 제 1 및 제 2 전력들의 주파수들은 상이하다. 그러나, 이러한 실시형태의 다른 버전에서, 제 1 및 제 2 전력들의 주파수들은, 제 1 및 제 2 플라즈마 챔버들 (101/102) 에 공급된 프로세스 가스들이 제 1 및 제 2 플라즈마들 (101A/102A) 사이의 차이를 제공하면, 동일할 수 있다.In one embodiment, the first power supplied to the first plasma chamber 101 by the first power supply 103A is either DC (DC) power, RF power, or a combination of DC and RF power. Similarly, in one embodiment, the second power supplied by the second power supply 103B to the second plasma chamber 102 is either DC power, RF power, or a combination of DC and RF power. In one embodiment, the first power supplied to the first plasma chamber 101 by the first power supply 103A is at least one of 2 MHz, 27 MHz, 60 MHz, 400 kilohertz (kHz) And the second power supplied to the second plasma chamber 102 by the second power supply unit 103B is a RF power having a frequency of 2 MHz, 27 MHz, 60 MHz, 400 kHz, or a combination thereof Respectively. In one version of this embodiment, the frequencies of the first and second powers are different. However, in another version of this embodiment, the frequencies of the first and second powers are such that the process gases supplied to the first and second plasma chambers 101/102 are applied to the first and second plasma 101A / 102A ), It can be the same.

제 1 및 제 2 플라즈마 챔버들 (101/102) 에 인가된 전력의 타입은 사용된 플라즈마 챔버의 타입에 부분적으로 의존한다. 몇몇 예시적인 실시형태들에서, 제 1 및 제 2 플라즈마 챔버들 (101/102) 의 각각은 중공 캐소드 챔버, 전자 사이클로트론 공진 챔버, 또는 마이크로파 구동 챔버, 또는 유도성 커플링된 챔버, 또는 용량성 커플링된 챔버 중 어느 하나이다. 또한, 일 실시형태에서, 제 1 및 제 2 플라즈마 챔버들 (101/102) 은 동일한 타입의 플라즈마 챔버이다. 그러나, 다른 실시형태에서, 제 1 및 제 2 플라즈마 챔버들 (101/102) 은 상이한 타입들의 플라즈마 챔버들이다.The type of power applied to the first and second plasma chambers 101/102 depends in part on the type of plasma chamber used. In some exemplary embodiments, each of the first and second plasma chambers 101/102 may be a hollow cathode chamber, an electron cyclotron resonance chamber, or a microwave drive chamber, or an inductive coupled chamber, Lt; / RTI > Further, in one embodiment, the first and second plasma chambers 101/102 are plasma chambers of the same type. However, in other embodiments, the first and second plasma chambers 101/102 are different types of plasma chambers.

또한, 상이한 실시형태들에서, 제 1 및 제 2 플라즈마 챔버들 (101/102) 이 상이한 형태들의 전력 전달 컴포넌트들을 포함할 수 있음을 이해해야 한다. 전력 전달 컴포넌트들은 제 1/제 2 플라즈마 챔버 (101/102) 내부에서 프로세스 가스에 전력을 운반하는 것을 담당한다. 예를 들어, 일 실시형태에서, 제 1/제 2 플라즈마 챔버 (101/102) 의 벽들은 전기적으로 도전성이며, 전력 전달 컴포넌트들의 기능을 제공한다. 이러한 실시형태에서, 제 1 및 제 2 플라즈마 챔버들 (101/102) 은, 하나의 플라즈마 챔버 (101/102) 에 전달된 전력이 이웃한 플라즈마 챔버 (101/102) 에 의해 역으로 (adversely) 수신되지 않는다는 것을 보장하기 위해, 유전체 재료 및 도전성 쉴드에 의해 서로 분리될 수 있다. 도 2e는 본 발명의 일 실시형태에 따른, 제 1 및 제 2 플라즈마 챔버들 (101/102) 이 유전체 재료 (150) 사이에 배치된 도전성 쉴드 (151) 에 의해 분리되는 시스템 (200A) 의 변형을 도시한다. 일 실시형태에서, 도전성 쉴드 (151) 는 기준 접지 전위에 전기적으로 접속된다.It should also be appreciated that, in different embodiments, the first and second plasma chambers 101/102 may include different types of power delivery components. The power transfer components are responsible for carrying power to the process gas within the first / second plasma chambers 101/102. For example, in one embodiment, the walls of the first / second plasma chamber 101/102 are electrically conductive and provide the function of power delivery components. In this embodiment, the first and second plasma chambers 101/102 are configured such that the power delivered to one plasma chamber 101/102 is adversely affected by the neighboring plasma chamber 101/102, They can be separated from each other by a dielectric material and a conductive shield. 2E illustrates a variation of system 200A in which first and second plasma chambers 101/102 are separated by a conductive shield 151 disposed between dielectric materials 150, according to one embodiment of the present invention. Lt; / RTI > In one embodiment, the conductive shield 151 is electrically connected to the reference ground potential.

도 2fa 및 도 2fb는, 제 1 및 제 2 플라즈마 챔버들 (101/102) 의 전력 전달 컴포넌트들이 제 1 및 제 2 플라즈마 챔버들 내에 배치된 전극들 (160) 로서 구현되는 도 2a의 시스템 (200A) 의 다른 변형을 도시한다. 도 2fa는, 전극들 (160) 이 제 1 및 제 2 플라즈마 챔버들 (101/102) 의 측벽들 상에 배치된 일 예시적인 실시형태를 도시한다. 도 2fb는, 전극들 (160) 이 제 1 및 제 2 플라즈마 챔버들 (101/102) 의 내부 내의 상부 및 하부 표면들 상에 배치되는 일 예시적인 실시형태를 도시한다. 이러한 실시형태에서, 플라즈마 챔버들 (101/102) 의 내부 내의 상부 표면 상의 전극 (160) 은, 제 1 및 제 2 플라즈마 챔버들 (101/102) 의 내부 볼륨을 갖는 제 1 및 제 2 프로세스 가스 공급부들 (104A/104B) 의 유체 연통을 가능하게 하기 위해 그것을 통해 정의된 하나 이상의 홀들을 포함한다. 또한, 이러한 실시형태에서, 제 1 및 제 2 플라즈마 챔버들 (101/102) 의 내부 내의 하부 표면 상의 전극 (160) 은, 제 1 및 제 2 플라즈마들 (101A/102A) 의 반응성 성분들의 각각의 전달을 가능하게 하기 위해 그것을 통해 정의된 하나 이상의 홀들을 포함한다. 도 2fa 및 도 2fb의 전극들 (160) 의 배치들이 예로서 도시됨을 이해해야 한다. 다른 실시형태들에서, 전극들 (160) 은 제 1/제 2 플라즈마 챔버 (101/102) 의 플라즈마 생성 볼륨 내의 임의의 하나의 표면들 상에 배치될 수 있다.2f and 2fb illustrate the system 200A of FIG. 2a, in which the power delivery components of the first and second plasma chambers 101/102 are implemented as electrodes 160 disposed within the first and second plasma chambers ). ≪ / RTI > 2f shows an exemplary embodiment in which the electrodes 160 are disposed on the sidewalls of the first and second plasma chambers 101/102. 2fb shows an exemplary embodiment in which the electrodes 160 are disposed on the upper and lower surfaces within the interior of the first and second plasma chambers 101/102. In this embodiment, the electrode 160 on the upper surface within the interior of the plasma chambers 101/102 is connected to the first and second process gases 101, 102 having an internal volume of the first and second plasma chambers 101/102, And one or more holes defined therethrough to enable fluid communication of the supply portions 104A / 104B. Further, in this embodiment, the electrode 160 on the lower surface in the interior of the first and second plasma chambers 101/102 is positioned at the center of each of the reactive components of the first and second plasma 101A / 102A And one or more holes defined therethrough to enable transmission. It should be understood that the arrangements of the electrodes 160 of Figures 2fa and 2fb are shown by way of example. In other embodiments, the electrodes 160 may be disposed on any one of the surfaces within the plasma generation volume of the first / second plasma chamber 101/102.

도 2g는 본 발명의 일 실시형태에 따른, 제 1 및 제 2 플라즈마 챔버들 (101/102) 의 전력 전달 컴포넌트들이 제 1 및 제 2 플라즈마 챔버들 (101/102) 에 근접하게 배치된 코일들 (170) 로서 구현되는 도 2a의 시스템 (200A) 의 다른 변형을 도시한다. 도 2g의 코일들 (170) 의 상단 배치가 예로서 도시됨을 이해해야 한다. 다른 실시형태들에서, 코일들 (170) 은 제 1/제 2 플라즈마 챔버 (101/102) 의 임의의 하나 이상의 외부 표면들에 근접하게 배치될 수 있다. 도 2a, 2e, 2f, 및 2g의 상이한 전력 전달 컴포넌트 실시형태들이 예로서 도시됨을 이해해야 한다. 다른 실시형태들에서, 제 1 및 제 2 플라즈마 챔버들 (101/102) 은 도 2a, 2e, 2f, 및 2g에 예시되는 것과는 상이한 전력 전달 컴포넌트들을 구현할 수 있다.FIG. 2G is a schematic diagram of a plasma processing system in which power transfer components of first and second plasma chambers 101/102, according to an embodiment of the present invention, are arranged in close proximity to first and second plasma chambers 101/102, Lt; RTI ID = 0.0 > 200A < / RTI > It should be appreciated that the top arrangement of the coils 170 of Figure 2g is shown by way of example. In other embodiments, the coils 170 may be disposed close to any one or more outer surfaces of the first / second plasma chamber 101/102. It should be appreciated that the different power delivery component embodiments of Figures 2a, 2e, 2f, and 2g are shown by way of example. In other embodiments, the first and second plasma chambers 101/102 may implement power transfer components different from those illustrated in Figures 2a, 2e, 2f, and 2g.

전술한 것이 주어지면, 하나의 플라즈마가 라디컬들에 비해 더 높은 농도의 이온들을 제공하고, 다른 플라즈마가 이온들에 비해 더 높은 농도의 라디컬들을 제공하는 조건을 달성하기 위해 제 1 및 제 2 플라즈마 챔버들 (101/102) 이 상이한 프로세스 가스들 및/또는 상이한 전력들을 사용하여 동작될 수 있음을 이해해야 한다. 또한, 제 1 및 제 2 플라즈마 챔버들 (101/102) 은, 기판 지지부 (107) 위의 프로세싱 영역 (106) 내에서 실질적으로 균일한 방식으로 제 1 및 제 2 플라즈마들 (101A/102A) 의 반응성 성분들 (108A/108B) 을 각각 분배하도록 정의된다.Given the foregoing, in order to achieve a condition in which one plasma provides a higher concentration of ions than the radicals and the other plasma provides a higher concentration of radicals than the ions, the first and second It should be appreciated that the plasma chambers 101/102 may be operated using different process gases and / or different powers. The first and second plasma chambers 101/102 may also be arranged in a substantially uniform manner within the processing region 106 over the substrate support 107 Is defined to dispense reactive components 108A / 108B, respectively.

일 실시형태에서, 제 1 및 제 2 플라즈마 챔버들 (101/102) 은 약 1 토르 (T) 까지의 내부 압력들로 동작하도록 정의된다. 또한, 일 실시형태에서, 프로세싱 영역 (106) 은 약 1밀리토르 (mT) 내지 약 100mT 까지 연장하는 압력 범위 내에서 동작된다. 제 1 및 제 2 플라즈마 챔버들 (101/102) 의 유출구들은, 제 1 및 제 2 플라즈마 챔버들 (101/102) 및 프로세싱 영역 (106) 의 내부들 사이에서의 압력 드롭을 제공 및 제어하도록 정의된다. 또한, 필요하다면, 일 실시형태에서, 라디컬 성분들은, 기판 (105) 에 걸친 에칭 생성 분포를 관리하기 위해, 크로스-흐름 (cross-flow) 배열로 제 1 및 제 2 플라즈마 챔버들 (101/102) 중 하나로부터 공급되거나 프로세싱 영역 (106) 내에서 크로스-흐름을 사용할 수 있다.In one embodiment, the first and second plasma chambers 101/102 are defined to operate at internal pressures up to about one Torr (T). Further, in one embodiment, the processing region 106 is operated within a pressure range extending from about 1 millitorr (mT) to about 100 mT. The outlets of the first and second plasma chambers 101/102 are defined to provide and control the pressure drop between the interior of the first and second plasma chambers 101/102 and the processing region 106 do. Also, if desired, in one embodiment, the radical components may be applied to the first and second plasma chambers 101/102 in a cross-flow arrangement to manage the etch generation distribution across the substrate < RTI ID = 102 or may use cross-flow within the processing region 106. [

일 예시적인 실시형태에서, 시스템 (200A) 은, 약 1000 scc/sec (초당 표준 제곱 센티미터) 의 프로세스 가스 스루풋 유동율, 및 약 10밀리초 (ms) 의 프로세싱 영역 (106) 내의 반응성 성분 (108A/108B) 잔류 시간을 이용하여, 약 10mT의 압력으로 프로세싱 영역 (106) 을 제공하도록 동작된다. 상기 예시적인 동작 조건들이 시스템 (200A) 를 이용하여 달성될 수 있는 본질적으로 제한없는 수의 동작 조건들 중 하나를 나타냄을 이해 및 인식해야 한다. 상기 예시적인 동작 조건들은, 시스템 (200A) 의 가능한 동작 조건들에 관한 임의의 제한을 나타내거나 암시하지 않는다.In one exemplary embodiment, system 200A includes a process gas throughput flow rate of about 1000 scc / sec (standard square centimeters per second), and reactive components 108A / 102B in processing region 106 of about 10 milliseconds (ms) 108B) < / RTI > time to provide a processing region 106 at a pressure of about 10 mT. It should be understood and appreciated that the exemplary operating conditions represent one of an essentially unlimited number of operating conditions that can be achieved using the system 200A. The exemplary operating conditions do not imply or imply any limitation as to the possible operating conditions of the system 200A.

일 실시형태에서, 기판 지지부 (107) 는, 기판 (105) 이 지지될 기판 지지부 (107) 의 상단 표면에 실질적으로 수직한 방향 (110) 으로 이동가능하도록 정의되며, 그에 의해, 프로세스 갭 거리 (113) 의 조정을 가능하게 한다. 프로세스 갭 거리 (113) 는, 기판 지지부 (107) 의 상단 표면과 제 1 및 제 2 플라즈마 챔버들 (101/102) 사이에서 수직으로 연장한다. 일 실시형태에서, 기판 지지부 (107) 는, 프로세스 갭 거리가 약 2cm 로부터 약 10cm까지 연장하는 범위 내에서 조정가능하도록 하는 방향 (110) 으로 이동가능하다. 일 실시형태에서, 기판 지지부 (107) 는 약 5cm의 프로세스 갭 거리 (113) 를 제공하도록 조정된다. 대안적인 실시형태에서, 프로세스 갭 거리 (113) 의 조정은, 기판 지지부 (107) 에 관해 방향 (110) 으로의 제 1 및 제 2 플라즈마 챔버들 (101/102) 의 이동을 통해 달성될 수 있다.The substrate support 107 is defined to be movable in a direction 110 substantially perpendicular to the top surface of the substrate support 107 on which the substrate 105 is to be supported, 113). The process gap distance 113 extends vertically between the upper surface of the substrate support 107 and the first and second plasma chambers 101/102. In one embodiment, the substrate support 107 is movable in a direction 110 such that the process gap distance is adjustable within a range extending from about 2 cm to about 10 cm. In one embodiment, the substrate support 107 is adjusted to provide a process gap distance 113 of about 5 cm. In an alternative embodiment, adjustment of the process gap distance 113 may be accomplished through movement of the first and second plasma chambers 101/102 in the direction 110 relative to the substrate support 107 .

프로세스 갭 거리 (113) 의 조정은, 제 1 및 제 2 플라즈마 챔버들 (101/102) 중 어느 하나 또는 양자로부터 발산하는 이온 플럭스의 동적 범위의 조정을 제공한다. 상세하게, 기판 (105) 에 도달하는 이온 플럭스는 프로세스 갭 거리 (113) 를 증가시킴으로써 감소될 수 있거나, 그 역도 가능하다. 일 실시형태에서, 프로세스 갭 거리 (113) 가 기판 (105) 에서 이온 플럭스에서의 조정을 달성하도록 조정된 경우, 더 높은 라디컬-공급 플라즈마 챔버 (101/102) 를 통한 프로세스 가스 유동율은, 기판 (105) 에서의 라디컬 플럭스의 독립적인 제어를 제공하도록 제공될 수 있다. 부가적으로, 제 1 및 제 2 플라즈마 챔버들로부터 발산하는 이온 및 라디컬 플럭스들과 결합하여 프로세스 갭 거리 (113) 가 기판 (105) 에서의 실질적으로 균일한 이온 밀도 및 라디컬 밀도를 제공하도록 제어됨을 인식해야 한다.The adjustment of the process gap distance 113 provides adjustment of the dynamic range of the ion flux that emanates from either or both of the first and second plasma chambers 101/102. Specifically, the ion flux reaching the substrate 105 can be reduced by increasing the process gap distance 113, or vice versa. In one embodiment, when the process gap distance 113 is adjusted to achieve an adjustment in the ion flux at the substrate 105, the process gas flow rate through the higher radical-fed plasma chamber 101 / May be provided to provide independent control of the radial flux in the plasma reactor 105. Additionally, in combination with the ions and radical fluxes emanating from the first and second plasma chambers, the process gap distance 113 can be adjusted to provide a substantially uniform ion density and radical density at the substrate 105 It should be recognized that it is controlled.

일 실시형태에서, 기판 지지부 (107) 는, 기판 지지부 (107) 를 향해, 및 그에 의해 기판 지지부 (107) 상에 홀딩된 기판 (105) 을 향해 이온들을 끌어당기기 위한 전기장을 생성하기 위한 바이어스 전극 (112) 을 포함한다. 또한, 일 실시형태에서, 기판 지지부 (107) 는, 냉각 유체가 기판 (105) 의 온도 제어를 유지시키기 위해 플라즈마 프로세싱 동작들 동안 흐르게 될 수 있는 다수의 냉각 채널들 (116) 을 포함한다. 또한, 일 실시형태에서, 기판 지지부 (107) 는 기판 지지부 (107) 에 관해 기판 (105) 을 리프트 및 하강시키도록 정의된 다수의 리프팅 핀들을 포함할 수 있다. 일 실시형태에서, 기판 지지부 (107) 는, 플라즈마 프로세싱 동작들 동안 기판 지지부 (107) 상에 기판 (105) 을 단단하게 홀딩하기 위한 정전장을 생성하도록 장착된 정전척으로서 정의된다.In one embodiment, the substrate support 107 includes a bias electrode 107 for generating an electric field for attracting ions toward the substrate support 107 and thereby toward the substrate 105 held on the substrate support 107. In one embodiment, (112). Further, in one embodiment, the substrate support 107 includes a plurality of cooling channels 116 through which cooling fluid may flow during plasma processing operations to maintain temperature control of the substrate 105. Further, in one embodiment, the substrate support 107 may include a plurality of lifting pins that are defined to lift and lower the substrate 105 relative to the substrate support 107. In one embodiment, the substrate support 107 is defined as an electrostatic chuck mounted to generate a static charge for firmly holding the substrate 105 on the substrate support 107 during plasma processing operations.

다양한 실시형태들에서, 제 1 및 제 2 플라즈마 챔버들 (101/102) 은 동시 방식 또는 펄싱된 방식 중 어느 하나로 동작하도록 정의된다. 펄싱된 방식의 제 1 및 제 2 플라즈마 챔버들 (101/102) 의 동작은, 주어진 시간 및 교번하는 시퀀스로 동작하는 제 1 플라즈마 챔버 (101) 또는 제 2 플라즈마 챔버 (102) 중 어느 하늘 포함한다. 상세하게, 제 1 플라즈마 챔버 (101) 는 제 2 플라즈마 챔버 (102) 가 유휴인 제 1 시간 기간 동안 동작할 것이고, 그 후, 제 2 플라즈마 챔버 (102) 는 제 1 플라즈마 챔버 (101) 가 유휴인 제 2 시간 기간 동안 동작할 것이며, 제 1 및 제 2 플라즈마 챔버들 (101/102) 은 미리 규정된 총 시간 기간 동안 이러한 교번하는 방식으로 동작한다.In various embodiments, the first and second plasma chambers 101/102 are defined to operate in either a simultaneous or pulsed manner. The operation of the first and second plasma chambers 101/102 in the pulsed manner includes either the first plasma chamber 101 or the second plasma chamber 102 operating at a given time and in an alternating sequence . In detail, the first plasma chamber 101 will operate during a first time period when the second plasma chamber 102 is idle, and thereafter the second plasma chamber 102 is in a state in which the first plasma chamber 101 is idle , And the first and second plasma chambers 101/102 operate in this alternating manner for a predefined total time period.

펄싱된 방식의 제 1 및 제 2 플라즈마 챔버들 (101/102) 의 동작은, 프로세스 가스 및/또는 전력에 관해 제 1 및 제 2 플라즈마들 (101A/102A) 사이의 바람직하지 않은 통신을 방지/제한하도록 기능할 수 있다. 제 1 및 제 2 플라즈마 챔버들 (101/102) 사이의 바람직하지 않은 통신의 방지는, 제 1 플라즈마 (101A) 의 프로세스 가스들/종들이 제 2 플라즈마 챔버 (102) 에 진입하지 않는다는 것을 보장하는 것, 및 제 2 플라즈마 (102A) 의 프로세스 가스들/종들이 제 1 플라즈마 챔버 (101) 에 진입하지 않는다는 것을 보장하는 것을 포함한다. 제 1 및 제 2 플라즈마 챔버들 (101/102) 사이의 바람직하지 않은 통신의 방지는 또한, 제 1 플라즈마 챔버 (101) 에 공급된 전력이 제 2 플라즈마 챔버 내의 제 2 플라즈마 (102A) 로 흐르지 않는다는 것을 보장하는 것, 및 제 2 플라즈마 챔버 (102) 에 공급된 전력이 제 1 플라즈마 챔버 (101) 내의 제 1 플라즈마 (101A) 로 흐르지 않는다는 것을 보장하는 것을 포함한다.The operation of the first and second plasma chambers 101/102 in the pulsed manner prevents / prevents undesirable communication between the first and second plasmas 101A / 102A with respect to process gas and / Lt; / RTI > The prevention of undesirable communication between the first and second plasma chambers 101/102 ensures that the process gases / species of the first plasma 101A do not enter the second plasma chamber 102 , And ensuring that the process gases / species of the second plasma 102A do not enter the first plasma chamber 101. Prevention of undesirable communication between the first and second plasma chambers 101/102 also prevents the power supplied to the first plasma chamber 101 from flowing into the second plasma 102A in the second plasma chamber , And ensuring that the power supplied to the second plasma chamber 102 does not flow to the first plasma 101A in the first plasma chamber 101. [

제 1 및 제 2 플라즈마 챔버들 (101/102) 이 동시 방식으로 동작되는 실시형태들에서, 제 1 및 제 2 플라즈마 챔버들 (101/102) 은, 그들 사이의 바람직하지 않은 통신이 방지/제한된다는 것을 보장하도록 정의된다. 예를 들어, 프로세싱 영역 (106) 에 대한 노출부에서의 제 1 및 제 2 플라즈마 챔버들 (101/102) 의 각각의 개구들은, 프로세스 가스 및/또는 전력에 관해 제 1 및 제 2 플라즈마 챔버들 (101/102) 사이의 크로스-통신을 회피하는데 충분히 작게 사이징 (size) 되고 충분히 더 멀리 이격된다. 전술한 것에 기초하여, 제 1 및 제 2 플라즈마 챔버들 (101/102) 이 프로세스 가스 유동율, 프로세스 가스 압력, 전력 주파수, 전력 진폭, 온/오프 지속기간, 및 동작 타이밍 시퀀스 중 하나 이상에 관해 기판 플라즈마 프로세스 동안 독립적으로 제어될 수 있음을 이해해야 한다.In embodiments in which the first and second plasma chambers 101/102 are operated in a simultaneous manner, the first and second plasma chambers 101/102 are configured to prevent / limit undesirable communication between them Is guaranteed. For example, each of the openings of the first and second plasma chambers 101/102 in the exposed portion to the processing region 106 may be formed in the first and second plasma chambers < RTI ID = 0.0 > Small enough to avoid cross-communication between the base stations 101/102 and spaced sufficiently far apart. Based on the foregoing, the first and second plasma chambers 101/102 may be programmed with respect to at least one of the process gas flow rate, the process gas pressure, the power frequency, the power amplitude, the on / off duration, It should be appreciated that they can be independently controlled during the plasma process.

도 2b는 본 발명의 일 실시형태에 따른, 반도체 기판 프로세싱 시스템 (200B) 을 도시한다. 시스템 (200B) 은 도 2a의 시스템 (200A) 의 변형이다. 상세하게, 시스템 (200B) 은 제 1 및 제 2 플라즈마 챔버들 (101/102) 로부터 기판 지지부 (107) 를 향해 연장하도록 제 1 및 제 2 플라즈마 챔버들 (101/102) 사이에 배치된 배플 (baffle) 구조 (109) 를 포함한다. 배플 구조 (109) 는 제 1 및 제 2 플라즈마 챔버들 (101/102) 사이의 유체 연통을 감소시키도록 정의된다. 또한, 일 실시형태에서, 배플 구조 (109) 는 제 1 및 제 2 플라즈마 챔버들 (101/102) 사이의 전력 통신을 감소시키기 위해 유전체 재료들로부터 형성된다. 일 실시형태에서, 배플 구조 (109) 는, 기판 (105) 이 지지될 기판 지지부 (107) 의 상단 표면에 실질적으로 수직한 방향 (114) 으로 이동가능하도록 정의된다.Figure 2B illustrates a semiconductor substrate processing system 200B, in accordance with an embodiment of the present invention. System 200B is a variation of system 200A of FIG. 2A. In detail, the system 200B includes a baffle (not shown) disposed between the first and second plasma chambers 101/102 to extend from the first and second plasma chambers 101/102 toward the substrate support 107 baffle < / RTI > The baffle structure 109 is defined to reduce fluid communication between the first and second plasma chambers 101/102. Further, in one embodiment, the baffle structure 109 is formed from dielectric materials to reduce power communication between the first and second plasma chambers 101/102. The baffle structure 109 is defined to be movable in a direction 114 that is substantially perpendicular to the top surface of the substrate support 107 on which the substrate 105 is to be supported.

도 2c는 본 발명의 일 실시형태에 따른, 반도체 기판 프로세싱 시스템 (200C) 을 도시한다. 시스템 (200C) 은 도 2b의 시스템 (200B) 의 변형이다. 상세하게, 시스템 (200C) 은, 기판 (105) 이 지지될 기판 지지부 (107) 의 상단 표면에 실질적으로 수직한 방향으로 프로세싱 영역 (106) 으로부터 떨어져 연장하도록 제 1 및 제 2 플라즈마 챔버들 (101/102) 사이에 형성되는 배출 채널 (111) 을 포함한다. 일 실시형태에서, 배출 채널 (111) 은 프로세싱 영역 (106) 으로부터의 가스들의 배출을 제공하도록 오픈 (open) 및 클리어 (clear) 된다. 그러나, 다른 실시형태에서, 배플 구조 (109) 는, 기판 지지부 (107) 를 향해 제 1 및 제 2 플라즈마 챔버들 (101/102) 로부터 연장하기 위해, 제 1 및 제 2 플라즈마 챔버들 (101/102) 사이의 배출 채널 (111) 내에 배치된다. 배출 채널 (111) 내에 배치된 배플 구조 (109) 는 제 1 및 제 2 플라즈마 챔버들 (101/102) 사이의 유체 연통을 감소시키도록 정의된다. 또한, 일 실시형태에서, 배출 채널 (111) 내에 배치된 배플 구조 (109) 는, 제 1 및 제 2 플라즈마 채널들 (101/102) 사이의 전력 통신을 감소시키기 위해, 유전체 재료로부터 형성된다. 또한, 배플 구조 (109) 는, 배플 구조 (109) 주변의 배출 채널 (111) 을 통한 배출 흐름 (116) 을 제공하기 위해 배출 채널 (111) 보다 더 작게 사이징된다.Figure 2C illustrates a semiconductor substrate processing system 200C, in accordance with an embodiment of the invention. System 200C is a variation of system 200B of FIG. 2B. In detail, the system 200C includes first and second plasma chambers 101 (not shown) extending away from the processing region 106 in a direction substantially perpendicular to the upper surface of the substrate support 107, / RTI > and / or < / RTI > In one embodiment, the drain channel 111 is open and clear to provide for the evacuation of gases from the processing region 106. However, in other embodiments, the baffle structure 109 may be configured to extend from the first and second plasma chambers 101/102 toward the substrate support 107, in the first and second plasma chambers 101 / 102, respectively. The baffle structure 109 disposed within the discharge channel 111 is defined to reduce fluid communication between the first and second plasma chambers 101/102. Also, in one embodiment, the baffle structure 109 disposed within the discharge channel 111 is formed from a dielectric material to reduce power communication between the first and second plasma channels 101/102. The baffle structure 109 is also sized smaller than the discharge channel 111 to provide a discharge flow 116 through the discharge channel 111 around the baffle structure 109.

도 2b 및 도 2c의 예시적인 실시형태들에서, 배플 구조 (109) 는 인접한 플라즈마 채널들 (예를 들어, 101, 102) 사이의 유체 및/또는 전력 통신을 제한하는데 사용될 수 있다. 부가적으로, 배플 구조 (109) 는 기판 (105) 에 걸친 이온들 및 라디컬들의 균일도를 달성하는데 도움을 주기 위해 사용될 수 있다. 도 2b 및 도 2c에 관해 언급된 바와 같이, 배플 구조 (109) 는 기판 지지부 (107) 에 실질적으로 수직한 방향 (114) 으로 이동가능하다. 방향 (114) 으로의 배플 구조 (109) 의 이러한 이동은, 배플 구조 (109) 와 기판 (105) 사이에서 수직하게 측정된 바와 같은 거리 (115) 의 조정을 가능하게 한다.2B and 2C, the baffle structure 109 may be used to limit fluid and / or power communication between adjacent plasma channels (e.g., 101, 102). Additionally, the baffle structure 109 may be used to help achieve uniformity of ions and radicals across the substrate 105. 2B and 2C, the baffle structure 109 is movable in a direction 114 substantially perpendicular to the substrate support 107. As shown in FIG. This movement of the baffle structure 109 in the direction 114 enables adjustment of the distance 115 as measured vertically between the baffle structure 109 and the substrate 105.

다양한 실시형태들에서, 배플 구조와 기판 (105) 사이의 거리 (115) 는 5cm까지일 수 있다. 그러나, 거리 (115) 가 제 1 및 제 2 플라즈마 챔버들 (101/102) 로부터 발산하는 프로세스 가스 유동율들 및 이온 및 라디컬 플럭스들과 같은 다른 파라미터들의 함수이다. 일 예시적인 실시형태에서, 배플 구조와 기판 (105) 사이의 거리 (115) 는 약 2cm이다. 부가적으로, 도 2b 및 도 2d의 예시적인 실시형태들에 도시된 바와 같은 배플 구조 (109) 가 단면이 직사각형으로 형상화되지만, 배플 구조 (109) 가 다른 것들 중에서, 크로스-흐름 및 동요 (turbulence) 를 포함하는 프로세스 가스 흐름 조건들을 제어하는 것과 같은 프로세싱 영역 (106) 내의 특정한 효과들을 달성하기 위해, 다른 방식들, 예를 들어, 라운딩 (round) 된 바닥, 각진 바닥, 테이퍼링된 (tapered) 상단 등으로 형상화될 수 있음을 이해해야 한다.In various embodiments, the distance 115 between the baffle structure and the substrate 105 may be up to 5 cm. However, the distance 115 is a function of process gas flow rates and other parameters such as ion and radical fluxes, which are emitted from the first and second plasma chambers 101/102. In one exemplary embodiment, the distance 115 between the baffle structure and the substrate 105 is about 2 cm. Additionally, although the baffle structure 109 as shown in the exemplary embodiments of Figures 2B and 2D is rectangular in cross-section, the baffle structure 109 is of a cross-flow and turbulence type, among others. Such as, for example, a rounded bottom, an angled bottom, a tapered top (not shown) to achieve certain effects within the processing region 106, such as controlling process gas flow conditions, ≪ / RTI > and the like.

몇몇 상황들에서, 플라즈마 내의 라디컬 생성은, 플라즈마 내에서 이온들을 주로 생성하기를 시도할 경우 회피가능하지 않다. 이들 상황에서, 주요 목적이 플라즈마로부터의 이온 성분 전달을 달성하는 것인 경우, 생성된 플라즈마로부터의 라디컬 성분 전달이 또한 다소 회피가능하지 않다. 또한, 플라즈마로부터 이온들을 추출하는 것은, 이온 소스, 즉 플라즈마와 프로세싱 영역, 예를 들어, 프로세싱 영역 (106) 사이의 개구가 시스 (sheath) 가 플라즈마 추출을 금지하지 않기에 충분히 크고, 추출 매체 벽들과의 충돌들이 이온들을 중성화시키지 않을 만큼 낮다는 것을 추론한다. 본 발명의 일 실시형태에서, 이온 소스 영역은, 이온 소스와 프로세싱 영역 사이의 개구에서 정의될 수 있다. 이러한 이온 소스 영역은, 이온 소스로부터의 이온 추출을 향상시키기 위해 보충적인 전자 생성을 제공하도록 에너자이징된 유출구 영역으로서 구현될 수 있다. 예를 들어, 일 실시형태에서, 프로세싱 영역에 대한 노출부에 존재하는 플라즈마 챔버의 유출구 영역은, 유출구 영역 그 자체 내에서의 이온 생성을 향상시키고 대응하여 플라즈마 챔버로부터의 이온 추출을 향상시키기 위해 중공 캐소드로서 정의될 수 있다.In some situations, radical generation in a plasma is not avoidable when attempting to generate ions predominantly in the plasma. In these situations, if the primary objective is to achieve ionic component transfer from the plasma, the transfer of radical components from the resulting plasma is also somewhat unavoidable. Extraction of ions from the plasma is also advantageous because the ion source, i.e., the opening between the plasma and the processing region, e.g., the processing region 106, is large enough so that the sheath does not inhibit plasma extraction, Are so low that they do not neutralize the ions. In one embodiment of the present invention, the ion source region may be defined at the opening between the ion source and the processing region. This ion source region may be implemented as an energized outlet region to provide supplemental electron production to enhance ion extraction from the ion source. For example, in one embodiment, the outlet region of the plasma chamber present in the exposed portion for the processing region may be a hollow portion of the plasma chamber to enhance ion production within the outlet region itself, Can be defined as a cathode.

도 2d는 본 발명의 일 실시형태에 따른, 이온 추출을 향상시키기 위해 에너자이징된 유출구 영역 (225) 을 갖는 제 2 플라즈마 챔버 (102A) 의 변형을 도시한다. 그러나, 제 1 및 제 2 플라즈마 챔버들 (101/102) 중 하나 또는 양자가 이온 추출을 증가시키도록 보충적인 전자 생성을 제공하기 위해, 정의된 에너자이징가능한 플라즈마 유출구 영역 (225) 을 갖도록 정의될 수 있음을 이해해야 한다. 일 실시형태에서, 에너자이징가능한 플라즈마 유출구 영역 (225) 이 중공 캐소드로서 정의된다. 이러한 실시형태의 일 버전에서, 유출구 영역 (225) 은, DC 전력, RF 전력, 또는 이들의 조합에 의재 전력공급될 수 있는 전극 (220) 에 의해 둘러싸인다. 플라즈마 (102A) 로부터의 반응성 성분들이 에너자이징가능한 플라즈마 유출구 영역 (225) 을 통해 흐를 경우, 전극 (220) 으로부터 발산하는 전력은 유출구 영역 (225) 내에서 빠른 전자들을 유리 (liberate) 시킬 것이며, 이는 차례로, 유출구 영역 (225) 을 통해 프로세스 가스들에서의 추가적인 이온화를 초래할 것이고, 그에 의해, 플라즈마 챔버 (102) 로부터의 이온 추출을 향상시킨다. 부가적으로, 바이어스 전극 (112) 에 의해 프로세싱 영역 (106) 에 걸쳐 인가된 바이어스는, 챔버 (102) 내의 플라즈마 (102A) 및 기판 (105) 을 향한 유출구 영역 (225) 으로부터의 이온들을 인출 (draw) 시키도록 기능할 것이다.Figure 2D illustrates a variation of a second plasma chamber 102A having an energized outlet region 225 to improve ion extraction, in accordance with an embodiment of the present invention. However, one or both of the first and second plasma chambers 101/102 may be defined to have a defined energizable plasma outlet region 225 to provide supplemental electron production to increase ion extraction. It should be understood. In one embodiment, the energizable plasma outlet region 225 is defined as a hollow cathode. In one version of this embodiment, the outlet region 225 is surrounded by an electrode 220 that can be powered by DC power, RF power, or a combination thereof. When reactive components from the plasma 102A flow through the energizable plasma outlet region 225, the power emanating from the electrode 220 will liberate the fast electrons within the outlet region 225, which in turn , Resulting in additional ionization in the process gases through the outlet region 225 thereby improving ion extraction from the plasma chamber 102. [ Additionally, the bias applied across the processing region 106 by the bias electrode 112 causes ions from the plasma 102A in the chamber 102 and the outlet region 225 toward the substrate 105 to be drawn draw.

도 3a는 본 발명의 일 실시형태에 따른, 반도체 기판 프로세싱 시스템 (400) 의 수직 단면도를 도시한다. 시스템 (400) 은 상단 구조 (401B), 바닥 구조 (401C), 및 상단 구조 (401B) 와 바닥 구조 (401C) 사이에서 연장하는 측벽들 (401A) 에 의해 형성된 챔버 (401) 를 포함한다. 챔버 (401) 는 프로세싱 영역 (106) 을 둘러싼다. 다양한 실시형태들에서, 챔버 측벽들 (401A), 상단 구조 (401B), 및 바닥 구조 (401C) 는, 챔버 (401) 재료들이 그들이 플라즈마 프로세싱 동안 노출될 압력 차이들 및 온도들을 구조적으로 견딜 수 있고, 플라즈마 프로세싱 환경과 화학적으로 호환가능한 한, 예로서, 스테인리스 스틸 또는 알루미늄과 같은 상이한 재료들로부터 형성될 수 있다.FIG. 3A shows a vertical cross-sectional view of a semiconductor substrate processing system 400, in accordance with an embodiment of the invention. The system 400 includes a top structure 401B, a bottom structure 401C and a chamber 401 formed by sidewalls 401A extending between the top structure 401B and the bottom structure 401C. The chamber 401 surrounds the processing region 106. In various embodiments, the chamber sidewalls 401A, top structure 401B, and bottom structure 401C can be configured such that the chamber 401 materials are structurally resistant to pressure differences and temperatures to which they are exposed during plasma processing , As long as it is chemically compatible with the plasma processing environment, e.g., from stainless steel or aluminum.

시스템 (400) 은 또한, 챔버 (401) 내에 배치되고, 프로세싱 영역 (106) 에 대한 노출부에서 기판 (105) 을 지지하도록 정의되는 기판 지지부 (107) 를 포함한다. 기판 지지부 (107) 는, 기판 (105) 상에서의 플라즈마 프로세싱 동작의 수행 동안 상부에 기판 (105) 을 홀딩하도록 정의된다. 도 3a의 예시적인 실시형태에서, 기판 지지부 (107) 는 챔버 (401) 의 벽 (401A) 에 부착된 캔틸레버된 아암 (cantilevered arm) (405) 에 의해 홀딩된다. 그러나, 일 실시형태들에서, 기판 지지부 (107) 는, 챔버 (401) 의 바닥 플레이트 (401C) 또는 챔버 (401) 내부에 배치된 다른 부재에 부착될 수 있다. 다양한 실시형태들에서, 기판 지지부 (107) 는, 기판 지지부 (107) 가 그것이 플라즈마 프로세싱 동안 노출될 압력 차이들 및 온도들을 구조적으로 견딜 수 있고, 플라즈마 프로세싱 환경과 화학적으로 호환가능한 한, 예로서, 스테인리스 스틸, 알루미늄, 또는 세라믹과 같은 상이한 재료들로부터 형성될 수 있다.The system 400 also includes a substrate support 107 that is disposed within the chamber 401 and is defined to support the substrate 105 at an exposed portion to the processing region 106. The substrate support 107 is defined to hold the substrate 105 on top during the performance of a plasma processing operation on the substrate 105. In the exemplary embodiment of FIG. 3A, the substrate support 107 is held by a cantilevered arm 405 attached to the wall 401A of the chamber 401. In some embodiments, however, the substrate support 107 may be attached to the bottom plate 401C of the chamber 401 or other member disposed within the chamber 401. [ In various embodiments, the substrate support 107 may be configured such that the substrate support 107 can be structurally compatible with the plasma processing environment as long as it is structurally resistant to pressure differences and temperatures to be exposed during plasma processing and is chemically compatible with the plasma processing environment, Such as stainless steel, aluminum, or ceramics.

일 실시형태에서, 기판 지지부 (107) 는, 기판 지지부 (107) 를 향해, 및 그에 의해 기판 지지부 (107) 상에 홀딩된 기판 (105) 을 향해 이온들을 끌어당기기 위한 전기장을 생성하기 위한 바이어스 전극 (112) 을 포함한다. 또한, 일 실시형태에서, 기판 지지부 (107) 는, 냉각 유체가 기판 (105) 의 온도 제어를 유지시키기 위해 플라즈마 프로세싱 동작들 동안 흐르게 될 수 있는 다수의 냉각 채널들 (116) 을 포함한다. 또한, 일 실시형태에서, 기판 지지부 (107) 는 기판 지지부 (107) 에 관해 기판 (105) 을 리프트 및 하강시키도록 정의된 다수의 리프팅 핀들 (411) 을 포함할 수 있다. 일 실시형태에서, 도어 어셈블리 (413) 는, 기판 (105) 의 챔버 (401) 로의/로부터의 삽입 및 제거를 가능하게 하기 위해 챔버 벽 (401A) 내에 배치된다. 부가적으로, 일 실시형태에서, 기판 지지부 (107) 는, 플라즈마 프로세싱 동작들 동안 기판 지지부 (107) 상에 기판 (105) 을 단단하게 홀딩하기 위한 정전장을 생성하도록 장착된 정전척으로서 정의된다.In one embodiment, the substrate support 107 includes a bias electrode 107 for generating an electric field for attracting ions toward the substrate support 107 and thereby toward the substrate 105 held on the substrate support 107. In one embodiment, (112). Further, in one embodiment, the substrate support 107 includes a plurality of cooling channels 116 through which cooling fluid may flow during plasma processing operations to maintain temperature control of the substrate 105. Further, in one embodiment, the substrate support 107 may include a plurality of lifting pins 411 defined to lift and lower the substrate 105 relative to the substrate support 107. In one embodiment, the door assembly 413 is disposed within the chamber wall 401A to enable insertion and removal of the substrate 105 into / from the chamber 401. Additionally, in one embodiment, the substrate support 107 is defined as an electrostatic chuck mounted to generate a static charge to firmly hold the substrate 105 on the substrate support 107 during plasma processing operations .

시스템 (400) 은 기판 지지부 (107) 상에 위치된 경우, 기판 (105) 위에 위치되고 기판 (105) 으로부터 이격되기 위해, 기판 지지부 (107) 위의 그리고 기판 지지부 (107) 로부터 이격된 챔버 (401) 내에 배치된 상단 플레이트 어셈블리 (407) 를 더 포함한다. 기판 프로세싱 영역 (106) 은, 기판 지지부 (107) 상에 위치된 경우 기판 (105) 위에 존재하기 위해, 상단 플레이트 어셈블리 (407) 와 기판 지지부 (107) 사이에 존재한다. 이전에 언급된 바와 같이, 일 실시형태에서, 기판 지지부 (107) 는, 상단 플레이트 어셈블리 (407) 와 기판 지지부 (107) 사이의 프로세싱 영역 (106) 에 걸쳐 수직으로 측정된 바와 같은 프로세스 갭 거리가 약 2cm 로부터 약 10cm 까지 연장하는 범위 내에서 조정가능하도록 하는 방향 (110) 으로 이동가능하다. 또한, 일 실시형태에서, 상단 플레이트 어셈블리 (407) 에 관한 기판 지지부 (107) 의 수직 위치 또는 그의 역은, 플라즈마 프로세싱 동작의 수행 동안 또는 플라즈마 프로세싱 동작들 사이에서 조정가능하다.The system 400 is positioned above the substrate support 107 and away from the substrate support 107 in order to be located on the substrate 105 and away from the substrate 105 when positioned on the substrate support 107. [ 401) disposed in the top plate assembly (407). The substrate processing area 106 is between the top plate assembly 407 and the substrate support 107 to be on the substrate 105 when positioned on the substrate support 107. As previously noted, in one embodiment, the substrate support 107 has a process gap distance as measured vertically across the processing region 106 between the top plate assembly 407 and the substrate support 107 To be adjustable within a range extending from about 2 cm to about 10 cm. Further, in one embodiment, the vertical position of the substrate support 107 relative to the top plate assembly 407, or vice versa, is adjustable during or during the plasma processing operations.

상단 플레이트 어셈블리 (407) 는, 프로세싱 영역 (106) 에 노출되고, 기판 지지부 (107) 의 상단 표면에 반대쪽에 있는 하부 표면을 갖는다. 상단 플레이트 어셈블리 (407) 는, 제 1 플라즈마 (101A) 의 반응성 성분들을 프로세싱 영역 (106) 에 공급하도록 접속된 제 1 복수의 플라즈마 포트들을 포함한다. 더 상세하게, 도 3a의 실시형태에서, 제 1 복수의 플라즈마 마이크로챔버들 (101) 은 상단 플레이트 어셈블리 (407) 의 상단 표면에 걸쳐 배치되며, 제 1 복수의 플라즈마 포트들은 제 1 복수의 플라즈마 마이크로챔버들 (101) 의 각각의 개구들과 유체 연통한다. 따라서, 제 1 복수의 플라즈마 포트들은, 프로세싱 영역 (106) 과 유체 연통하는 제 1 복수의 플라즈마 마이크로챔버들 (101) 의 개구들을 배치시키도록 기능한다. 도 1 내지 도 2g에 관해 상술된 바와 같이, 제 1 복수의 플라즈마 마이크로챔버들의 각각이 제 1 플라즈마 챔버 (101) 에 대응함을 이해해야 한다.The upper plate assembly 407 has a lower surface exposed to the processing region 106 and opposite the upper surface of the substrate support 107. The top plate assembly 407 includes a first plurality of plasma ports connected to supply reactive components of the first plasma 101A to the processing region 106. [ 3A, the first plurality of plasma microchambers 101 are disposed over the top surface of the top plate assembly 407, and the first plurality of plasma ports are connected to the first plurality of plasma microchannels 101. In one embodiment, Lt; RTI ID = 0.0 > 101 < / RTI > Thus, the first plurality of plasma ports serve to position the apertures of the first plurality of plasma microchambers 101 in fluid communication with the processing region 106. It should be understood that each of the first plurality of plasma microchambers corresponds to the first plasma chamber 101, as described above with respect to Figures 1-2G.

상단 플레이트 어셈블리 (407) 는 또한, 제 2 플라즈마 (102A) 의 반응성 성분들을 프로세싱 영역 (106) 에 공급하도록 접속된 제 2 복수의 플라즈마 포트들을 포함한다. 더 상세하게, 도 3a의 실시형태에서, 제 2 복수의 플라즈마 마이크로챔버들 (102) 은 상단 플레이트 어셈블리 (407) 의 상단 표면에 걸쳐 배치되며, 제 2 복수의 플라즈마 포트들은 제 2 복수의 플라즈마 마이크로챔버들 (102) 의 각각의 개구들과 유체 연통한다. 따라서, 제 2 복수의 플라즈마 포트들은, 프로세싱 영역 (106) 과 유체 연통하는 제 2 복수의 플라즈마 마이크로챔버들 (102) 의 개구들을 배치시키도록 기능한다. 도 1 내지 도 2g에 관해 상술된 바와 같이, 제 2 복수의 플라즈마 마이크로챔버들의 각각이 제 2 플라즈마 챔버 (102) 에 대응함을 이해해야 한다.The top plate assembly 407 also includes a second plurality of plasma ports connected to supply reactive components of the second plasma 102A to the processing region 106. [ 3A, a second plurality of plasma microchambers 102 are disposed over the top surface of the top plate assembly 407, and a second plurality of plasma ports are disposed in a second plurality of plasma micro- Lt; RTI ID = 0.0 > 102 < / RTI > Thus, the second plurality of plasma ports serve to position the apertures of the second plurality of plasma microchambers 102 in fluid communication with the processing region 106. It should be understood that each of the second plurality of plasma microchambers corresponds to the second plasma chamber 102, as described above with respect to Figures 1-2G.

제 1 복수의 플라즈마 마이크로챔버들 (101) 의 각각은, 제 1 플라즈마 (101A) 를 생성하며, 상단 플레이트 어셈블리 (407) 의 하부 표면을 따라 정의된 제 1 복수의 플라즈마 포트들 중 하나 이상에 제 1 플라즈마 (101A) 의 반응성 성분들 (108A) 을 공급하도록 정의된다. 유사하게, 제 2 복수의 플라즈마 마이크로챔버들 (102) 의 각각은, 제 2 플라즈마 (102A) 를 생성하며, 상단 플레이트 어셈블리 (407) 의 하부 표면을 따라 정의된 제 2 복수의 플라즈마 포트들 중 하나 이상에 제 2 플라즈마 (102A) 의 반응성 성분들 (108B) 을 공급하도록 정의된다.Each of the first plurality of plasma microchambers 101 generates a first plasma 101A and is applied to one or more of the first plurality of plasma ports defined along the bottom surface of the top plate assembly 407 1 plasma < RTI ID = 0.0 > 101A. ≪ / RTI > Similarly, each of the second plurality of plasma microchambers 102 generates a second plasma 102A, and one of the second plurality of plasma ports defined along the lower surface of the top plate assembly 407 To supply the reactive components 108B of the second plasma 102A.

도 3b는 본 발명의 일 실시형태에 따른, 도 3a에서 참조된 바와 같은 수평 단면도 A-A를 도시한다. 도 3b에 도시된 바와 같이, 제 1 복수의 플라즈마 포트들이 상단 플레이트 어셈블리 (407) 의 하부 표면에 걸쳐 실질적으로 균일한 방식으로 제 2 복수의 플라즈마 포트들 사이에서 산재되도록, 제 1 및 제 2 플라즈마 마이크로챔버들 (101/102) 은 상단 플레이트 어셈블리 (407) 에 걸쳐 서로 산재된다. 일 실시형태에서, 제 1 및 제 2 플라즈마 마이크로챔버들 (101/102) 은 약 1cm 로부터 약 2cm 까지 연장하는 범위 내의 내부 직경을 갖도록 정의된다. 또한, 일 실시형태에서, 제 1 및 제 2 플라즈마 마이크로챔버들 (101/102) 의 총 수는 약 100이다. 또 다른 예시적인 실시형태에서, 제 1 및 제 2 플라즈마 마이크로챔버들 (101/102) 의 총 수는 약 40 내지 약 60까지 연장하는 범위 내에 있으며, 상단 플레이트 어셈블리 (407) 의 하부 표면에 걸친 제 1 및 제 2 플라즈마 포트들의 총 수는 약 100이다.Figure 3b shows a horizontal cross-sectional view A-A as referenced in Figure 3a, in accordance with an embodiment of the present invention. As shown in FIG. 3B, the first and second plasma ports are arranged such that the first plurality of plasma ports are interspersed between the second plurality of plasma ports in a substantially uniform manner over the bottom surface of the top plate assembly 407, The microchambers 101/102 are interspersed over the top plate assembly 407. In one embodiment, the first and second plasma microchambers 101/102 are defined to have an inner diameter within a range extending from about 1 cm to about 2 cm. Further, in one embodiment, the total number of the first and second plasma microchambers 101/102 is about 100. In another exemplary embodiment, the total number of the first and second plasma microchambers 101/102 is within a range extending from about 40 to about 60, 1 and the second plasma ports is about 100.

상단 플레이트 어셈블리 (407) 에 걸친 제 1 및 제 2 플라즈마 마이크로챔버들 (101/102) 사이의 간격이 상이한 실시형태들 사이에서 변경될 수 있음을 인식해야 한다. 도 3c는 본 발명의 일 실시형태에 따른, 상단 플레이트 어셈블리 (407) 에 걸친 제 1 및 제 2 플라즈마 마이크로챔버들 (101/102) 사이의 간격이 감소되는 도 3b의 수평 단면도의 변형을 도시한다. 도 3d는 본 발명의 일 실시형태에 따른, 상단 플레이트 어셈블리 (407) 에 걸친 제 1 및 제 2 플라즈마 마이크로챔버들 (101/102) 사이의 간격이 증가되는 도 3b의 수평 단면도의 변형을 도시한다. 도 3e는 본 발명의 일 실시형태에 따른, 상단 플레이트 어셈블리 (407) 에 걸친 제 1 및 제 2 플라즈마 마이크로챔버들 (101/102) 사이의 간격이 비균일한 도 3b의 수평 단면도의 변형을 도시한다.It should be appreciated that the spacing between the first and second plasma microchambers 101/102 over the top plate assembly 407 can vary between different embodiments. 3C illustrates a variation of the horizontal cross-sectional view of FIG. 3B in which the spacing between the first and second plasma microchambers 101/102 across the top plate assembly 407 is reduced, according to one embodiment of the present invention . Figure 3d illustrates a variation of the horizontal cross-sectional view of Figure 3b where the spacing between the first and second plasma microchambers 101/102 over the top plate assembly 407 is increased, according to one embodiment of the present invention . 3E illustrates a variation of the horizontal cross-sectional view of FIG. 3B where the spacing between the first and second plasma microchambers 101/102 across the top plate assembly 407 is non-uniform, according to one embodiment of the present invention. do.

제 1 및 제 2 플라즈마 마이크로챔버들 (101/102) 의 수 및/또는 상단 플레이트 어셈블리 (407) 의 하부 표면 내의 플라즈마 포트들의 수에 대한 상술된 예시적인 실시형태들이, 본 발명의 설명을 용이하게 하기 위해 제공되며, 본 발명의 제한들을 임의의 방식으로 표현하지 않음을 이해해야 한다. 다른 실시형태들에서, 제 1 및 제 2 플라즈마 마이크로챔버들 (101/102) 의 본질적으로 임의의 구성/수 및/또는 상단 플레이트 어셈블리 (407) 의 하부 표면 내의 플라즈마 포트들은, 기판 (105) 상의 원하는 플라즈마 프로세싱 결과를 달성하기 위해 프로세싱 영역 (106) 내에서 라디컬 및 이온 성분들의 적절한 혼합을 제공하도록, 필요에 따라 정의 및 배열될 수 있다.The above described exemplary embodiments of the number of first and second plasma microchambers 101/102 and / or the number of plasma ports in the bottom surface of the top plate assembly 407 facilitate the description of the present invention And are not intended to represent the limitations of the invention in any way. In other embodiments, essentially any configuration / number of first and second plasma microchambers 101/102 and / or plasma ports in the bottom surface of the top plate assembly 407 may be provided on the substrate 105 May be defined and arranged as needed to provide an appropriate mix of radical and ion components within the processing region 106 to achieve the desired plasma processing results.

제 1 및 제 2 플라즈마 마이크로챔버들 (101/102) 은 동시 방식 또는 펄싱된 방식으로 동작하도록 정의된다. 펄싱된 방식의 제 1 및 제 2 플라즈마 마이크로챔버들 (101/102) 의 동작은, 주어진 시간에 동작하고 교번하는 시퀀스로 동작하는, 제 1 복수의 플라즈마 마이크로챔버들 (101) 또는 제 2 복수의 플라즈마 마이크로챔버들 (102) 중 어느 하나를 포함한다. 일 실시형태에서, 제 1 복수의 플라즈마 마이크로챔버들 (101) 의 각각은 중공 캐소드 챔버, 또는 전자 사이클로트론 공진 챔버, 또는 마이크로파 구동된 챔버, 또는 유도성 커플링된 챔버, 또는 용량성 커플링된 챔버 중 어느 하나이다. 또한, 일 실시형태에서, 제 2 복수의 플라즈마 마이크로챔버들 (102) 의 각각은 중공 캐소드 챔버, 또는 전자 사이클로트론 공진 챔버, 또는 마이크로파 구동된 챔버, 또는 유도성 커플링된 챔버, 또는 용량성 커플링된 챔버 중 어느 하나이다.The first and second plasma microchambers 101/102 are defined to operate in a synchronous or pulsed manner. The operation of the first and second plasma microchambers 101/102 in the pulsed manner may be performed in a first plurality of plasma microchambers 101 or a second plurality Plasma micro-chambers 102. The plasma micro- In one embodiment, each of the first plurality of plasma microchambers 101 may be a hollow cathode chamber, or an electron cyclotron resonance chamber, or a microwave driven chamber, or an inductively coupled chamber, or a capacitively coupled chamber ≪ / RTI > Further, in one embodiment, each of the second plurality of plasma microchambers 102 may be a hollow cathode chamber, or an electron cyclotron resonance chamber, or a microwave driven chamber, or an inductively coupled chamber, Which is a chamber.

일 예시적인 실시형태에서, 프로세싱 영역 (106) 으로의 라디컬 성분 공급을 주로 담당하는 플라즈마 마이크로챔버들 (101 또는 102) 은, 마이크로파 구동된 플라즈마 마이크로챔버들로서 정의된다. 또한, 일 예시적인 실시형태에서, 프로세싱 영역 (106) 으로의 이온 성분 공급을 주로 담당하는 플라즈마 마이크로챔버들 (101 또는 102) 은, 중공 캐소드 플라즈마 마이크로챔버들, 전자 사이클로트론 공진 플라즈마 마이크로챔버들, 용량성 커플링된 플라즈마 마이크로챔버들, 또는 일 타입의 공진 방전 플라즈마 마이크로챔버 중 어느 하나로서 정의된다. 하나의 특정한 예시적인 실시형태에서, 제 1 복수의 플라즈마 마이크로챔버들 (101) 의 각각은, 프로세싱 영역 (106) 으로 라디컬 성분들을 공급하는 것을 주로 담당하는 유도성 커플링된 플라즈마 마이크로챔버 (101) 로서 정의된다. 또한, 이러한 특정한 예시적인 실시형태에서, 제 2 복수의 플라즈마 마이크로챔버들 (102) 의 각각은, 프로세싱 영역 (106) 으로 이온 성분들을 공급하는 것을 주로 담당하는 용량성 커플링된 플라즈마 마이크로챔버 (102) 로서 정의된다.In one exemplary embodiment, the plasma microchambers 101 or 102, which are primarily responsible for supplying a radical component to the processing region 106, are defined as microwave driven plasma microchambers. Further, in one exemplary embodiment, the plasma microchambers 101 or 102, which are primarily responsible for ionic component supply to the processing region 106, may include hollow cathode plasma microchambers, electron cyclotron resonance plasma microchambers, Coupled plasma microchambers, or one type of resonant discharge plasma microchambers. In one particular exemplary embodiment, each of the first plurality of plasma microchambers 101 includes an inductive coupled plasma microchamber 101 (FIG. 1) primarily responsible for supplying the radical components to the processing region 106 ). Further, in this particular exemplary embodiment, each of the second plurality of plasma microchambers 102 includes a capacitively coupled plasma microchamber 102 (FIG. 1) primarily responsible for supplying ion components to the processing region 106 ).

제 1 및 제 2 플라즈마 마이크로챔버들 (101/102) 의 타입들에 대한 상술된 예시적인 실시형태들이 본 발명의 설명을 용이하게 하기 위해 제공되고, 임의의 방식으로 본 발명의 제한들을 표현하지 않음을 이해해야 한다. 다른 실시형태들에서, 제 1 및 제 2 플라즈마 마이크로챔버들 (101/102) 이 그들이 기판 (105) 상의 원하는 플라즈마 프로세싱 결과를 달성하기 위해, 공급하는 것을 주로 담당하는 프로세싱 영역 (106) 에 반응성 성분(들)의 타입(들)을 공급하도록 정의되는 한, 제 1 및 제 2 플라즈마 마이크로챔버들 (101/102) 은 본질적으로 임의의 타입의 플라즈마 마이크로챔버, 또는 플라즈마 마이크로챔버들의 타입들의 조합으로서 각각 정의될 수 있다.The above-described exemplary embodiments of the types of the first and second plasma microchambers 101/102 are provided to facilitate the description of the present invention and do not represent limitations of the present invention in any way . In other embodiments, the first and second plasma microchambers 101/102 may be configured to include a reactive component 106 in the processing region 106, which is primarily responsible for supplying them to achieve the desired plasma processing results on the substrate 105. [ The first and second plasma microchambers 101/102 are essentially any combination of types of plasma microchambers or plasma microchambers, as long as they are defined to supply the type (s) of the plasma microchambers (s) Can be defined.

시스템 (400) 은, 제 1 복수의 플라즈마 마이크로챔버들 (101) 로 제 1 전력을 공급하도록 정의된 제 1 전력 공급부 (103A) 를 더 포함한다. 시스템 (400) 은 또한, 제 1 복수의 플라즈마 마이크로챔버들 (101) 로 제 1 프로세스 가스를 공급하도록 정의된 제 1 프로세스 가스 공급부 (104A) 를 포함한다. 시스템 (400) 은 또한, 제 2 복수의 플라즈마 마이크로챔버들 (102) 로 제 2 전력을 공급하도록 정의된 제 2 전력 공급부 (103B) 를 포함한다. 시스템 (400) 은 또한, 제 2 복수의 플라즈마 마이크로챔버들 (102) 로 제 2 프로세스 가스를 공급하도록 정의된 제 2 프로세스 가스 공급부 (104B) 를 포함한다. 일 실시형태에서, 제 1 및 제 2 전력 공급부들 (103A/103B) 은 독립적으로 제어가능하다. 일 실시형태에서, 제 1 및 제 2 프로세스 가스 공급부들 (104A/104B) 은 독립적으로 제어가능하다. 일 실시형태에서, 제 1 및 제 2 전력 공급부들 (103A/103B) 양자, 및 제 1 및 제 2 프로세스 가스 공급부들 (104A/104B) 은 독립적으로 제어가능하다. 일 실시형태에서, 제 1 복수의 플라즈마 마이크로챔버들 (101) 에 공급된 제 1 전력은 DC 전력, RF 전력, 또는 DC 및 RF 전력의 조합 중 어느 하나이다. 또한, 일 실시형태에서, 제 2 복수의 플라즈마 마이크로챔버들 (102) 로 공급된 제 2 전력은 DC 전력, RF 전력, 또는 DC 및 RF 전력의 조합 중 어느 하나이다.The system 400 further includes a first power supply 103A that is defined to supply a first power to the first plurality of plasma microchambers 101. [ The system 400 also includes a first process gas supply 104A that is defined to supply a first process gas to a first plurality of plasma microchambers 101. [ The system 400 also includes a second power supply 103B that is defined to supply a second power to a second plurality of plasma microchambers 102. [ The system 400 also includes a second process gas supply 104B defined to supply a second process gas to a second plurality of plasma microchambers 102. [ In one embodiment, the first and second power supplies 103A / 103B are independently controllable. In one embodiment, the first and second process gas supplies 104A / 104B are independently controllable. In one embodiment, both the first and second power supplies 103A / 103B, and the first and second process gas supply units 104A / 104B are independently controllable. In one embodiment, the first power supplied to the first plurality of plasma microchambers 101 is either DC power, RF power, or a combination of DC and RF power. Further, in one embodiment, the second power supplied to the second plurality of plasma microchambers 102 is either DC power, RF power, or a combination of DC and RF power.

제 1 및 제 2 전력 공급부들 (103A/103B) 중 어느 하나에 의한 RF 전력의 공급에 관해, 공급된 RF 전력이 RF 전력 주파수 및/또는 진폭에 관해 독립적으로 제어가능할 수 있음을 이해해야 한다. 또한, 제 1 및 제 2 전력 공급부들 (103A/103B) 의 각각이, 제 1 및 제 2 복수의 플라즈마 마이크로챔버들 (101/102) 각각으로의 효율적인 RF 전력 송신을 보장하기 위해, 그의 RF 전력이 송신되는 각각의 매칭 회로를 포함함을 이해해야 한다. 일 실시형태에서, 제 1 복수의 플라즈마 마이크로챔버들 (101) 의 각각으로 제 1 전력 공급부 (103A) 에 의해 공급된 제 1 전력은, 2MHz, 27MHz, 60MHz, 또는 400kHz 중 어느 하나의 주파수를 갖는 RF 전력이고, 제 2 복수의 플라즈마 마이크로챔버들 (102) 의 각각으로 제 2 전력 공급부 (103B) 에 의해 공급된 제 2 전력은 2MHz, 27MHz, 60MHz, 또는 400kHz 중 어느 하나의 주파수를 갖는 RF 전력이다. 이러한 실시형태에서, 제 1 및 제 2 전력들은 적어도 하나의 상이한 주파수를 갖는다.It should be appreciated that for the supply of RF power by either the first and second power supplies 103A / 103B, the supplied RF power can be independently controllable with respect to the RF power frequency and / or amplitude. In addition, each of the first and second power supplies 103A / 103B may be connected to a first plurality of plasma microchambers 101/102 to ensure efficient RF power transmission to each of the first and second plurality of plasma microchambers 101 / ≪ / RTI > includes each matching circuit being transmitted. In one embodiment, the first power supplied by the first power supply 103A to each of the first plurality of plasma microchambers 101 has a frequency of either 2 MHz, 27 MHz, 60 MHz, or 400 kHz And the second power supplied by the second power supply 103B to each of the second plurality of plasma microchambers 102 is RF power having either a frequency of 2 MHz, 27 MHz, 60 MHz, or 400 kHz, to be. In this embodiment, the first and second powers have at least one different frequency.

시스템 (400) 의 동작 동안, 제 1 및 제 2 프로세스 가스 공급부들 (104A/104B) 에 의해 공급된 프로세스 가스들은, 제 1 및 제 2 복수의 플라즈마 마이크로챔버들 (101/102) 의 각각 내에서 제 1 및 제 2 플라즈마들 (101A/102A) 로 각각 변환된다. 제 1 및 제 2 플라즈마들 (101A/102A) 내의 반응성 종들은 제 1 및 제 2 복수의 플라즈마 마이크로챔버들 (101/102) 로부터, 기판 지지부 (107) 위의, 즉, 기판 지지부 (107) 상에 배치된 경우 기판 (105) 상의 기판 프로세싱 영역 (106) 으로 이동한다.During the operation of the system 400, the process gases supplied by the first and second process gas supplies 104A / 104B are supplied to the first and second plasma microchambers 101/102, respectively, And converted into the first and second plasmas 101A / 102A, respectively. The reactive species in the first and second plasmas 101A / 102A are transferred from the first and second plurality of plasma microchambers 101/102 onto the substrate support 107, To the substrate processing area 106 on the substrate 105.

일 실시형태에서, 제 1 및 제 2 복수의 플라즈마 마이크로챔버들 (101/102) 로부터 기판 프로세싱 영역 (106) 으로 진입할 시에, 사용된 프로세스 가스는 주변 벤트들 (427) 을 통해 흐르고, 배출 펌프 (431) 에 의하여 배출 포트들 (429) 을 통해 펌핑 아웃 (pump out) 된다. 일 실시형태에서, 흐름 스로틀링 디바이스 (433) 는, 기판 프로세싱 영역 (106) 으로부터 사용된 프로세스 가스의 유동율을 제어하도록 제공된다. 일 실시형태에서, 흐름 스로틀링 디바이스 (433) 는 화살표들 (435) 에 의해 표시된 바와 같이, 주변 벤트들 (427) 을 향해 및 주변 벤트들 (427) 로부터 떨어져 이동가능한 링 구조로서 정의된다.In one embodiment, when entering the substrate processing region 106 from the first and second plurality of plasma microchambers 101/102, the process gas used flows through the peripheral vents 427 and is discharged And is pumped out through the discharge ports 429 by the pump 431. In one embodiment, a flow throttling device 433 is provided to control the flow rate of the process gas used from the substrate processing region 106. In one embodiment, flow throttling device 433 is defined as a ring structure that is movable toward and away from peripheral vents 427, as indicated by arrows 435. [

시스템 (400) 이 각각의 타입의 플라즈마 소스로부터 실질적으로 균일한 방식으로 기판 (105) 으로 결합된 반응성 성분 플럭스를 전달하기 위해, 많은 수의 다른 타입의 작은 플라즈마 소스들, 즉, 제 2 복수의 플라즈마 마이크로챔버들 (102) 사이에 산재된 많은 수의 일 타입의 작은 플라즈마 소스들, 즉, 제 1 복수의 플라즈마 마이크로챔버들 (101) 을 이용함을 인식해야 한다. 일 실시형태에서, 일 타입의 플라즈마 소스는 이온 성분들에 비해 더 큰 밀도의 라디컬 성분들을 생성하고, 다른 타입의 플라즈마 소스는 라디컬 성분들에 비해 더 큰 밀도의 이온 성분들을 생성하며, 그에 의해, 프로세싱 영역 (106) 내의 이온 및 라디컬 농도들의 독립적인 제어를 제공한다.In order for system 400 to transfer reactive component fluxes coupled to substrate 105 in a substantially uniform manner from each type of plasma source, a large number of different types of small plasma sources, It should be appreciated that a large number of one type of small plasma sources interspersed between the plasma microchambers 102, i.e., the first plurality of plasma microchambers 101, is used. In one embodiment, one type of plasma source produces a greater density of radical components than the ion components, while the other type of plasma source produces ion components of greater density than the radical components, Thereby providing independent control of ion and radical concentrations in the processing region 106. [

도 4a는 본 발명의 일 실시형태에 따른, 기판 플라즈마 프로세싱을 위한 다른 시스템 (500) 을 도시한다. 시스템 (500) 은 챔버 (401), 기판 지지부 (107), 주변 벤트들 (427), 흐름 스로틀링 디바이스 (433), 배출 포트들 (429), 및 배출 펌프 (431) 에 관해 도 3a의 시스템 (400) 과 본질적으로 동등하다. 그러나, 시스템 (500) 은 도 3a에 관해 상술된 바와 같이, 상단 플레이트 어셈블리 (407A) 에 걸쳐 배치되는 제 1 및 제 2 복수의 플라즈마 마이크로챔버들 (101/102) 상에서의 변화를 포함한다. 상세하게, 상단 플레이트 어셈블리 (407) 에서 그들 각각의 반응성 성분들을 플라즈마 포트들에 공급하기 위해 제 1 및 제 2 플라즈마 마이크로챔버들 (101/102) 의 많은 인스턴스들을 포함하는 것 대신에, 시스템 (500) 은, 제 1 플라즈마 (101A) 를 생성하고, 상단 플레이트 어셈블리 (407) 내의 제 1 복수의 플라즈마 포트들 각각에 제 1 플라즈마 (101A) 의 반응성 성분들을 공급하도록 정의된 큰 제 1 플라즈마 챔버 (501) 를 포함한다. 유사하게, 시스템 (500) 은, 제 2 플라즈마 (102A) 를 생성하고, 상단 플레이트 어셈블리 (407) 내의 제 2 복수의 플라즈마 포트들 각각에 제 2 플라즈마 (102A) 의 반응성 성분들을 공급하도록 정의된 큰 제 2 플라즈마 챔버 (502) 를 포함한다.FIG. 4A illustrates another system 500 for substrate plasma processing, in accordance with an embodiment of the present invention. The system 500 is shown in Figure 3A with respect to the chamber 401, the substrate support 107, the peripheral vents 427, the flow throttling device 433, the discharge ports 429, (400). ≪ / RTI > However, the system 500 includes variations on the first and second plurality of plasma microchambers 101/102 disposed over the top plate assembly 407A, as described above with respect to Fig. 3A. In detail, instead of including many instances of the first and second plasma microchambers 101/102 to supply their respective reactive components to the plasma ports in the top plate assembly 407, the system 500 Is configured to generate a first plasma 101A and a first plasma chamber 501 defined to supply the reactive components of the first plasma 101A to each of the first plurality of plasma ports in the top plate assembly 407 ). Similarly, the system 500 may include a plurality of plasma ports 102A defined to generate the second plasma 102A and to supply the reactive components of the second plasma 102A to each of the second plurality of plasma ports in the top plate assembly 407, And a second plasma chamber 502.

일 실시형태에서, 시스템 (500) 은, 제 1 플라즈마 (101A) 의 반응성 성분들을 프로세싱 영역 (106) 에 공급하기 위한 제 1 플라즈마 챔버 (501) 의 단일 인스턴스를 포함한다. 또한, 이러한 실시형태에서, 시스템 (500) 은 제 2 플라즈마 (102A) 의 반응성 성분들을 프로세싱 영역 (106) 에 공급하기 위한 제 2 플라즈마 챔버 (501) 의 단일 인스턴스를 포함한다. 다른 실시형태들에서, 시스템 (500) 은, 프로세싱 영역 (106) 으로 제 1 플라즈마 (101A) 의 반응성 성분들을 공급하기 위한 제 1 플라즈마 챔버 (501) 의 1개 초과의 인스턴스를 포함할 수 있으며, 여기서, 제 1 플라즈마 챔버 (501) 의 각각의 인스턴스는 상단 플레이트 어셈블리 (407) 내의 다수의 플라즈마 포트들에 유동적으로 접속된다. 유사하게, 다른 실시형태들에서, 시스템 (500) 은 프로세싱 영역 (106) 으로 제 2 플라즈마 (102A) 의 반응성 성분들을 공급하기 위한 제 2 플라즈마 챔버 (502) 의 하나 초과의 인스턴스를 포함할 수 있으며, 여기서, 제 2 플라즈마 챔버 (502) 의 각각의 인스턴스는 상단 플레이트 어셈블리 (407) 내의 다수의 플라즈마 포트들에 유동적으로 접속된다.In one embodiment, the system 500 includes a single instance of a first plasma chamber 501 for supplying reactive components of the first plasma 101A to the processing region 106. In one embodiment, In addition, in this embodiment, the system 500 includes a single instance of a second plasma chamber 501 for supplying reactive components of the second plasma 102A to the processing region 106. [ In other embodiments, the system 500 may include more than one instance of the first plasma chamber 501 for supplying reactive components of the first plasma 101A to the processing region 106, Here, each instance of the first plasma chamber 501 is fluidly connected to a plurality of plasma ports in the top plate assembly 407. Similarly, in other embodiments, the system 500 may include more than one instance of a second plasma chamber 502 for supplying reactive components of the second plasma 102A to the processing region 106 , Wherein each instance of the second plasma chamber 502 is fluidly connected to a plurality of plasma ports in the top plate assembly 407.

또한, 도 2a 내지 도 2d의 제 1 플라즈마 챔버 (101) 에 관해 이전에 설명된 특징들 및 동작 조건들이 제 1 플라즈마 챔버 (501) 에 동등하게 적용가능함을 이해해야 한다. 또한, 도 2a 내지 도 2d의 제 2 플라즈마 챔버에 관해 이전에 설명된 특징들 및 동작 조건들이 제 2 플라즈마 챔버 (502) 에 동등하게 적용가능함을 이해해야 한다.It should also be appreciated that the features and operating conditions previously described with respect to the first plasma chamber 101 of Figures 2A-2D are equally applicable to the first plasma chamber 501. [ It should also be appreciated that the features and operating conditions previously described with respect to the second plasma chamber of Figs. 2A-2D are equally applicable to the second plasma chamber 502. [

제 1 플라즈마 챔버 (501) 에 유동적으로 접속된 상단 플레이트 어셈블리 (407) 내의 플라즈마 포트들은, 제 2 플라즈마 챔버 (502) 에 유동적으로 접속된 상단 플레이트 어셈블리 (407) 내의 플라즈마 포트들과 실질적으로 균일한 방식으로 상단 플레이트 어셈블리 (407) 에 걸쳐 산재된다. 도 4b는 본 발명의 일 실시형태에 따른, 도 4a에서 참조된 바와 같은 수평 단면도 B-B를 도시한다. 도 4b에 도시된 바와 같이, 제 1 및 제 2 플라즈마 챔버들 (501/502) 의 출력들은, 실질적으로 균일한 방식으로 상단 플레이트 어셈블리 (407) 에 걸쳐 서로 산재된다.The plasma ports in the top plate assembly 407 that are fluidly connected to the first plasma chamber 501 are substantially uniformly spaced from the plasma ports in the top plate assembly 407 fluidly connected to the second plasma chamber 502 Lt; RTI ID = 0.0 > 407 < / RTI > Figure 4b shows a horizontal cross-sectional view B-B as referenced in Figure 4a, in accordance with an embodiment of the present invention. As shown in FIG. 4B, the outputs of the first and second plasma chambers 501/502 are interspersed over the top plate assembly 407 in a substantially uniform manner.

상단 플레이트 어셈블리 (407) 에 걸친 제 1 및 제 2 플라즈마 챔버들 (501/502) 과 연관된 플라즈마 포트들 사이의 간격이 상이한 실시형태들 사이에서 변경될 수 있음을 인식해야 한다. 도 4c는 본 발명의 일 실시형태에 따른, 상단 플레이트 어셈블리 (407) 에 걸친 제 1 및 제 2 플라즈마 챔버들 (501/502) 과 연관된 플라즈마 포트들 사이의 간격이 감소되는 도 4b의 수평 단면도의 변형을 도시한다. 도 4d는 본 발명의 일 실시형태에 따른, 상단 플레이트 어셈블리 (407) 에 걸친 제 1 및 제 2 플라즈마 챔버들 (501/502) 과 연관된 플라즈마 포트들 사이의 간격이 증가되는 도 4b의 수평 단면도의 변형을 도시한다. 도 4e는 본 발명의 일 실시형태에 따른, 상단 플레이트 어셈블리 (407) 에 걸친 제 1 및 제 2 플라즈마 챔버들 (501/502) 과 연관된 플라즈마 포트들 사이의 간격이 비균일한 도 4b의 수평 단면도의 변형을 도시한다.It should be appreciated that the spacing between the plasma ports associated with the first and second plasma chambers 501/502 over the top plate assembly 407 can vary between different embodiments. 4C is a cross-sectional view of FIG. 4B in which the spacing between plasma ports associated with the first and second plasma chambers 501/502 across the top plate assembly 407, in accordance with an embodiment of the present invention, Lt; / RTI > 4D is a cross-sectional view of the horizontal cross-sectional view of FIG. 4B in which the spacing between the plasma ports associated with the first and second plasma chambers 501/502 across the top plate assembly 407, according to an embodiment of the present invention, Lt; / RTI > 4E is a horizontal section of FIG. 4B in which the spacing between the plasma ports associated with the first and second plasma chambers 501/502 across the top plate assembly 407 is non-uniform, according to one embodiment of the present invention Fig.

일 실시형태에서, 제 1 플라즈마 챔버 (501) 는 프로세싱 영역 (106) 으로 라디컬 성분들을 공급하는 것을 주로 담당하고, 제 2 플라즈마 챔버 (502) 는 프로세싱 영역 (106) 으로 이온 성분들을 공급하는 것을 주로 담당한다. 이러한 실시형태에서, 제 1 플라즈마 챔버 (501) 의 큰 플라즈마 생성 볼륨은, 상단 플레이트 어셈블리 (407) 내에 다수의 라디컬 성분 디스펜스 포트들을 피드 (feed) 하는데 사용된다. 또한, 이러한 실시형태에서, 제 2 플라즈마 챔버 (502) 의 큰 플라즈마 생성 볼륨은 상단 플레이트 어셈블리 (407) 내의 다수의 이온 성분 디스펜스 포트들을 피드하는데 사용된다. 이러한 실시형태에서, 다수의 라디컬 및 이온 디스펜스 포트들은, 프로세싱 영역 (106) 내의 실질적으로 균일한 라디컬/이온 혼합물을 제공하기 위해 서로 산재된다.In one embodiment, the first plasma chamber 501 is primarily responsible for supplying the radical components to the processing region 106 and the second plasma chamber 502 is responsible for supplying the ion components to the processing region 106 Mainly responsible. In this embodiment, the large plasma generation volume of the first plasma chamber 501 is used to feed a number of radical component dispense ports into the top plate assembly 407. Also, in this embodiment, a large plasma generation volume of the second plasma chamber 502 is used to feed a plurality of ion component dispense ports in the top plate assembly 407. [ In this embodiment, a plurality of radical and ion dispense ports are interspersed with each other to provide a substantially uniform mixture of radicals / ions in the processing region 106.

시스템 (500) 은 또한, 제 1 플라즈마 챔버 (501) 에 전력을 공급하도록 정의된 제 1 전력 공급부 (103A), 및 제 1 플라즈마 챔버에 프로세스 가스를 공급하도록 정의된 제 1 프로세스 가스 공급부 (104A) 를 포함한다. 또한, 시스템 (500) 은, 제 2 플라즈마 챔버 (502) 에 전력을 공급하도록 정의된 제 2 전력 공급부 (103B), 및 제 2 플라즈마 챔버 (502) 에 프로세스 가스를 공급하도록 정의된 제 2 프로세스 가스 공급부 (104B) 를 포함한다. 시스템 (400) 에 간해, 시스템 (500) 에서, 제 1 및 제 2 전력 공급부들 (103A/103B) 중 어느 하나는 독립적으로 제어가능하거나, 제 1 및 제 2 프로세스 가스 공급부 (104A/104B) 는 독립적으로 제어가능하거나, 제 1 및 제 2 전력 공급부들 (103A/103B) 및 제 1 및 제 2 프로세스 가스 공급부들 (104A/104B) 양자는 독립적으로 제어가능하다. 부가적으로, 일 실시형태에서, 시스템 (500) 의 제 1 및 제 2 플라즈마 챔버들 (501/502) 은 동시 방식 또는 펄싱된 방식 중 어느 하나로 동작하도록 정의된다. 펄싱된 방식으로 동작된 경우, 제 1 플라즈마 챔버 (501) 또는 제 2 플라즈마 챔버 (502) 는 주어진 시간으로 동작되며, 제 1 및 제 2 플라즈마 챔버들 (501/502) 은 교번하는 시퀀스로 동작된다.The system 500 also includes a first power supply 103A defined to supply power to the first plasma chamber 501 and a first process gas supply 104A defined to supply a process gas to the first plasma chamber. . The system 500 also includes a second power supply 103B defined to supply power to the second plasma chamber 502 and a second power supply 103B defined to supply process gas to the second plasma chamber 502. [ And a supply unit 104B. Any of the first and second power supplies 103A / 103B can be independently controlled or the first and second process gas supply units 104A / 104B can be independently controlled in the system 500, Independently controllable, or both the first and second power supplies 103A / 103B and the first and second process gas supply units 104A / 104B are independently controllable. Additionally, in one embodiment, the first and second plasma chambers 501/502 of the system 500 are defined to operate in either a simultaneous or pulsed manner. When operated in a pulsed manner, the first plasma chamber 501 or the second plasma chamber 502 is operated at a given time, and the first and second plasma chambers 501/502 are operated in an alternating sequence .

도 5a는 본 발명의 일 실시형태에 따른, 기판 플라즈마 프로세싱을 위한 또 다른 시스템 (600) 을 도시한다. 시스템 (600) 은, 챔버 (401) 및 기판 지지부 (107) 에 관해 도 3a의 시스템 (400) 과 본질적으로 동등하다. 그러나, 시스템 (600) 은 도 3a에 관해 이전에 설명된 바와 같이, 배출 채널들 (607) 내에 형성된 제 1 세트의 플라즈마 마이크로챔버들 (605) 및 제 2 세트의 플라즈마 마이크로챔버들 (603) 을 포함하는 상단 플레이트 어셈블리 (601) 와 상단 플레이트 어셈블리 (407) 를 대체한다.5A illustrates another system 600 for substrate plasma processing, in accordance with an embodiment of the present invention. The system 600 is essentially equivalent to the system 400 of FIG. 3A with respect to the chamber 401 and the substrate support 107. However, the system 600 includes a first set of plasma microchambers 605 and a second set of plasma microchambers 603 formed in the exit channels 607, as previously described with respect to Figure 3A. To replace the top plate assembly 601 and the top plate assembly 407 that comprise the top plate assembly.

시스템 (600) 은, 상단 구조 (401B), 바닥 구조 (401C), 및 상단 및 바닥 구조들 (401B/401C) 사이에서 연장하는 측벽들 (401A) 을 갖는 챔버 (401) 를 포함한다. 챔버 (401) 는 또한, 프로세싱 영역 (106) 을 포함한다. 기판 지지부 (107) 는 챔버 (401) 내에 배치되며, 프로세싱 영역 (106) 에 대한 노출부에서 기판 (105) 을 지지하도록 정의된 상단 표면을 갖는다. 상단 플레이트 어셈블리 (601) 는 기판 지지부 (107) 위의 챔버 (401) 내에 배치된다. 상단 플레이트 어셈블리 (601) 는 프로세싱 영역 (106) 에 노출되고, 기판 지지부 (107) 의 상단 표면 반대쪽에 있는 하부 표면을 갖는다.The system 600 includes a chamber 401 having a top structure 401B, a bottom structure 401C and side walls 401A extending between the top and bottom structures 401B / 401C. The chamber 401 also includes a processing region 106. The substrate support 107 is disposed within the chamber 401 and has a top surface defined to support the substrate 105 at the exposed portion to the processing region 106. The top plate assembly 601 is disposed within the chamber 401 above the substrate support 107. The upper plate assembly 601 is exposed to the processing region 106 and has a lower surface opposite the upper surface of the substrate support 107.

상단 플레이트 어셈블리 (601) 는, 상단 플레이트 어셈블리 (601) 의 하부 표면으로 각각 형성된 제 1 세트의 플라즈마 마이크로챔버들 (605) 을 포함한다. 상단 플레이트 어셈블리 (601) 는 또한, 제 1 가스 공급부 (104A) 로부터 제 1 세트의 플라즈마 마이크로챔버들 (605) 의 각각으로 제 1 프로세스 가스를 흐르게 하도록 형성된 가스 공급 채널들 (611) 의 제 1 네트워크를 포함한다. 가스 공급 채널들 (611) 의 제 1 네트워크로의 제 1 프로세스 가스의 공급은 도 5a의 라인들 (611A) 에 의해 표시된다. 제 1 세트의 플라즈마 마이크로챔버들 (605) 각각은 제 1 전력 공급부 (103A) 로부터 전력을 수신하도록 접속되며, 프로세싱 영역 (106) 에 대한 노출부에서 제 1 플라즈마로 제 1 프로세스 가스를 변환시키기 위해 이러한 수신 전력을 사용하도록 정의된다. 제 1 세트의 플라즈마 마이크로챔버들 (605) 로의 제 1 전력의 공급은 도 5a의 라인들 (611A) 에 의해 또한 표시된다.The top plate assembly 601 includes a first set of plasma microchambers 605 formed respectively on the bottom surface of the top plate assembly 601. The top plate assembly 601 also includes a gas supply channel 611 configured to flow a first process gas from the first gas supply 104A to each of the first set of plasma microchambers 605, . The supply of the first process gas to the first network of gas supply channels 611 is indicated by the lines 611A of FIG. 5A. Each of the first set of plasma microchambers 605 is connected to receive power from a first power supply 103A and is configured to convert the first process gas from the exposed portion to the first plasma to the first plasma It is defined to use this received power. The supply of the first power to the first set of plasma microchambers 605 is also indicated by the lines 611A of Fig. 5A.

제 1 세트의 전력 전달 컴포넌트들 (615) 은, 제 1 세트의 플라즈마 마이크로챔버들 (605) 에 대해 상단 플레이트 어셈블리 (601) 내에 각각 배치된다. 제 1 세트의 전력 전달 컴포넌트들 (615) 의 각각은, 제 1 전력 공급부 (103A) 로부터 제 1 전력을 수신하고, 제 1 세트의 플라즈마 마이크로챔버들 (605) 중 그의 관련된 하나에 제 1 전력을 공급하도록 접속된다. 일 실시형태에서, 제 1 세트의 전력 전달 컴포넌트들 (615) 의 각각은 제 1 세트의 플라즈마 마이크로챔버들 (605) 중 주어진 하나를 한정 (circumscribe) 하도록 형성된 코일로서 정의된다. 그러나, 다른 실시형태들에서, 제 1 세트의 전력 전달 컴포넌트들 (615) 이 코일 이외의 방식들로 정의될 수 있음을 이해해야 한다. 예를 들어, 일 실시형태에서, 제 1 세트의 전력 전달 컴포넌트들 (615) 의 각각은, 제 1 세트의 플라즈마 마이크로챔버들 (605) 중 그의 관련된 하나에 제 1 전력을 운반하도록 구성 및 배치된 하나 이상의 전극들로서 정의된다.A first set of power transfer components 615 is disposed within the top plate assembly 601 for the first set of plasma microchambers 605, respectively. Each of the first set of power transfer components 615 receives a first power from a first power supply 103A and a first power to its associated one of the first set of plasma microchambers 605 . In one embodiment, each of the first set of power transfer components 615 is defined as a coil configured to circumscribe a given one of the first set of plasma microchambers 605. However, it should be appreciated that in other embodiments, the first set of power transfer components 615 may be defined in other ways than the coils. For example, in one embodiment, each of the first set of power transfer components 615 is configured and arranged to carry a first power to its associated one of the first set of plasma microchambers 605 Is defined as one or more electrodes.

상단 플레이트 어셈블리 (601) 는 또한, 프로세싱 영역 (106) 으로부터의 배출 가스들의 제거를 제공하기 위해 상단 플레이트 어셈블리 (601) 의 하부 표면을 통해 형성된 일 세트의 배출 채널들 (607) 을 포함한다. 각각의 배출 채널 (607) 은, 채널들, 튜빙, 플래넘(들) 등과 같은 배출 유체 운반 시스템 (607A) 에 유동적으로 접속되며, 그 시스템은 차례로, 배출 펌프 (619) 에 유동적으로 접속된다. 동작된 경우, 배출 펌프 (619) 는 프로세싱 영역 (106) 으로부터 프로세스 가스들을 제거하기 위해 일 세트의 배출 채널들 (607) 에 흡입관 (suction) 을 배출 유체 운반 시스템 (607A) 를 통하여 적용한다. 화살표들 (617) 에 의해 표시된 바와 같이, 제 1 세트의 플라즈마 마이크로챔버들 (605) 을 통해 프로세싱 영역 (106) 으로 흐르는 프로세스 가스들은 배출 채널들 (607) 을 향해 및 배출 채널 (607) 들로 인출된다.The top plate assembly 601 also includes a set of discharge channels 607 formed through the bottom surface of the top plate assembly 601 to provide for the removal of exhaust gases from the processing region 106. Each discharge channel 607 is fluidly connected to a discharge fluid delivery system 607A such as channels, tubing, plenum (s), etc., which in turn is fluidly connected to a discharge pump 619. The drain pump 619 applies a suction to the set of drain channels 607 through the drain fluid delivery system 607A to remove process gases from the processing region 106. [ Process gases flowing into the processing region 106 through the first set of plasma microchambers 605 are directed toward the discharge channels 607 and into the discharge channels 607 as indicated by arrows 617 .

제 2 세트의 플라즈마 마이크로챔버들 (603) 은 일 세트의 배출 채널들 (607) 내부에 각각 형성된다. 가스 공급부 채널들 (609) 의 제 2 네트워크는, 제 2 프로세스 가스 공급부 (104B) 로부터 제 2 세트의 플라즈마 마이크로챔버들 (603) 의 각각으로 제 2 프로세스 가스를 흐르게 하도록 형성된다. 가스 공급 채널들 (609) 의 제 2 네트워크로의 제 2 프로세스 가스의 공급은 도 5a의 라인들 (609A) 에 의해 표시된다. 제 2 세트의 플라즈마 마이크로챔버들 (603) 의 각각은, 제 2 전력 공급부 (103B) 로부터 전력을 수신하도록 접속되고, 프로세싱 영역 (106) 에 대한 노출부에서 제 2 플라즈마로 제 2 프로세스 가스를 변환시키기 위해 이러한 수신 전력을 사용하도록 정의된다. 제 2 세트의 플라즈마 마이크로챔버들 (603) 로의 제 2 전력의 공급은 도 5a의 라인들 (609A) 에 의해 또한 표시된다.A second set of plasma microchambers 603 are formed within a set of discharge channels 607, respectively. A second network of gas supply channels 609 is formed to flow the second process gas from the second process gas supply 104B to each of the second set of plasma microchambers 603. The supply of the second process gas to the second network of gas supply channels 609 is indicated by the lines 609A of Figure 5A. Each of the second set of plasma microchambers 603 is connected to receive power from a second power supply 103B and converts the second process gas from the exposed portion to the second plasma to the second plasma Lt; / RTI > The supply of the second power to the second set of plasma microchambers 603 is also indicated by lines 609A in Fig. 5A.

제 2 세트의 전력 전달 컴포넌트들 (613) 은, 제 2 세트의 플라즈마 마이크로챔버들 (603) 에 대해 상단 플레이트 어셈블리 (601) 내에 각각 배치된다. 제 2 세트의 전력 전달 컴포넌트들 (613) 의 각각은, 제 2 전력 공급부 (103B) 로부터 제 2 전력을 수신하고, 제 2 세트의 플라즈마 마이크로챔버들 (603) 중 그의 관련된 하나에 제 2 전력을 공급하도록 접속된다. 일 실시형태에서, 제 2 세트의 전력 전달 컴포넌트들 (613) 의 각각은 제 2 세트의 플라즈마 마이크로챔버들 (603) 중 주어진 하나를 한정하도록 형성된 코일로서 정의된다. 그러나, 다른 실시형태들에서, 제 2 세트의 전력 전달 컴포넌트들 (613) 이 코일 이외의 방식들로 정의될 수 있음을 이해해야 한다. 예를 들어, 일 실시형태에서, 제 2 세트의 전력 전달 컴포넌트들 (613) 의 각각은, 제 2 세트의 플라즈마 마이크로챔버들 (603) 중 그의 관련된 하나에 제 2 전력을 운반하도록 구성 및 배치된 하나 이상의 전극들로서 정의된다.A second set of power transfer components 613 are disposed within the top plate assembly 601 for the second set of plasma microchambers 603, respectively. Each of the second set of power transfer components 613 receives a second power from the second power supply 103B and a second power to its associated one of the second set of plasma microchambers 603 . In one embodiment, each of the second set of power transfer components 613 is defined as a coil configured to define a given one of the second set of plasma microchambers 603. However, it should be appreciated that in other embodiments, the second set of power transfer components 613 may be defined in other ways than the coils. For example, in one embodiment, each of the second set of power transfer components 613 is configured and arranged to carry a second power to its associated one of the second set of plasma microchambers 603 Is defined as one or more electrodes.

기판 지지부 (107) 내의 전극 (112) 은 기판 지지부 (107) 와 상단 플레이트 어셈블리 (601) 의 하부 표면 사이의 프로세싱 영역 (106) 에 걸쳐 바이어스 전압을 인가하도록 정의된다. 제 2 세트의 플라즈마 마이크로챔버들 (603) 로, 즉 배출 채널들 (607) 로의 가스 공급 채널들 (609) 의 제 2 네트워크를 통해 흐르는 프로세스 가스들은, 프로세싱 영역 (106) 으로부터 떨어져 인출되고, 프로세싱 영역 (106) 으로 진입하지 않는다. 따라서, 제 2 세트의 플라즈마 마이크로챔버들 (603) 이 배출 채널들 (607) 내에 형성되기 때문에, 제 2 세트의 플라즈마 마이크로챔버들 (603) 내에 형성된 라디컬들은 배출 채널들 (607) 을 통한 배출 가스 흐름 경로를 따를 것이다. 그러나, 제 2 세트의 플라즈마 마이크로챔버들 (603) 내에 형성된 이온들은, 전극 (112) 에 의해 프로세싱 영역 (106) 에 걸쳐 인가된 바이어스 전압에 의하여 프로세싱 영역 (106) 으로 당겨질 것이다. 이러한 방식으로, 제 2 세트의 플라즈마 마이크로챔버들 (603) 은 프로세싱 영역 (106) 에 대한 실질적으로 순수한 이온 소스로서 동작할 수 있다.The electrode 112 within the substrate support 107 is defined to apply a bias voltage across the processing region 106 between the substrate support 107 and the bottom surface of the top plate assembly 601. [ The process gases flowing through the second set of plasma microchambers 603, i.e., the second network of gas supply channels 609 to the discharge channels 607, are withdrawn from the processing region 106, And does not enter the area 106. Thus, since the second set of plasma microchambers 603 are formed in the discharge channels 607, the radicals formed in the second set of plasma microchambers 603 are discharged through the discharge channels 607 Will follow the gas flow path. Ions formed in the second set of plasma microchambers 603 will be attracted to the processing region 106 by a bias voltage applied across the processing region 106 by the electrode 112. [ In this manner, the second set of plasma microchambers 603 can operate as a substantially pure ion source for the processing region 106.

제 1 세트의 플라즈마 마이크로챔버들 (605) 은 상단 플레이트 어셈블리 (601) 의 하부 표면에 걸쳐 실질적으로 균일한 방식으로 제 2 세트의 플라즈마 마이크로챔버들 (603) 과 산재됨을 이해해야 한다. 이러한 방식으로, 제 1 세트의 플라즈마 마이크로챔버들 (605) 로부터의 반응성 라디컬 성분들은, 기판 (105) 에 도달하기 전에 프로세싱 영역 (106) 내의 제 2 세트의 플라즈마 마이크로챔버들 (603) 로부터의 이온 성분들과 실질적으로 균일한 방식으로 혼합될 수 있다. 도 5b는 본 발명의 일 실시형태에 따른, 도 5a에 참조된 바와 같은 수평 단면도 C-C를 도시한다. 도 5b에 도시된 바와 같이, 제 1 및 제 2 세트들의 플라즈마 마이크로챔버들 (605/603) 은 상단 플레이트 어셈블리 (601) 의 하부 표면에 걸쳐 실질적으로 균일한 방식으로 분배된다.It should be appreciated that the first set of plasma microchambers 605 are interspersed with the second set of plasma microchambers 603 in a substantially uniform manner across the bottom surface of the top plate assembly 601. In this manner, the reactive radical components from the first set of plasma microchambers 605 are removed from the second set of plasma microchambers 603 in the processing region 106 before reaching the substrate 105 Ionic components in a substantially uniform manner. Figure 5b shows a horizontal cross-sectional view C-C as referenced in Figure 5a, in accordance with an embodiment of the present invention. As shown in FIG. 5B, the first and second sets of plasma microchambers 605/603 are distributed in a substantially uniform manner across the bottom surface of the top plate assembly 601.

상단 플레이트 어셈블리 (601) 의 하부 표면에 걸친 제 1 및 제 2 세트들의 플라즈마 마이크로챔버들 (605/603) 사이의 간격이 상이한 실시형태들 사이에서 변경될 수 있음을 인식해야 한다. 도 5c는 본 발명의 일 실시형태에 따른, 상단 플레이트 어셈블리 (601) 의 하부 표면에 걸친 제 1 및 제 2 세트들의 플라즈마 마이크로챔버들 (605/603) 사이의 간격이 감소되는 도 5b의 수평 단면도의 변형을 도시한다. 도 5d는 본 발명의 일 실시형태에 따른, 상단 플레이트 어셈블리 (601) 의 하부 표면에 걸친 제 1 및 제 2 세트들의 플라즈마 마이크로챔버들 (605/603) 사이의 간격이 증가되는 도 5b의 수평 단면도의 변형을 도시한다. 도 5e는 본 발명의 일 실시형태에 따른, 상단 플레이트 어셈블리 (601) 의 하부 표면에 걸친 제 1 및 제 2 세트들의 플라즈마 마이크로챔버들 (605/603) 사이의 간격이 비균일한 도 5b의 수평 단면도의 변형을 도시한다.It should be appreciated that the spacing between the first and second sets of plasma microchambers 605/603 over the bottom surface of the top plate assembly 601 can vary between different embodiments. 5C is a horizontal cross-sectional view of FIG. 5B in which the spacing between the first and second sets of plasma microchambers 605/603 over the bottom surface of the top plate assembly 601, according to an embodiment of the present invention, Fig. 5D is a horizontal cross-sectional view of FIG. 5B in which the spacing between the first and second sets of plasma microchambers 605/603 over the bottom surface of the top plate assembly 601 is increased, according to one embodiment of the present invention Fig. Figure 5e is a side elevation of the plasma microchambers 605/603 of the first and second sets across the bottom surface of the top plate assembly 601, according to one embodiment of the present invention, Fig.

도 2a 내지 도 2g, 도 3a 내지 도 3e, 도 4a 내지 도 4e의 실시형태들에 관해, 도 5a 내지 도 5e의 실시형태들에서, 제 1 및 제 2 전력 공급부들 (103A/103B) 및 제 1 및 제 2 가스 공급부들 (104A/104B) 은 다양한 방식들로 제어될 수 있다. 일 실시형태에서, 제 1 및 제 2 전력 공급부들 (103A/103B) 은 독립적으로 제어가능하다. 일 실시형태에서, 제 1 및 제 2 프로세스 가스 공급부들 (104A/104B) 은 독립적으로 제어가능하다. 또 다른 실시형태에서, 제 1 및 제 2 전력 공급부들 (103A/103B) 및 제 1 및 제 2 프로세스 가스 공급부들 (104A/104B) 양자가 독립적으로 제어가능하다. 다음으로, 제 1 및 제 2 세트들의 플라즈마 마이크로챔버들 (605/603) 이 동시 방식 또는 펄싱된 방식 중 어느 하나로 동작하도록 정의됨을 이해해야 한다. 펄싱된 방식으로 동작된 경우, 제 1 세트의 플라즈마 마이크로챔버들 (605) 또는 제 2 세트의 플라즈마 마이크로챔버들 (603) 중 어느 하나는 주어진 시간으로 동작되며, 제 1 및 제 2 세트들의 플라즈마 마이크로챔버들 (605/603) 은 교번하는 시퀀스로 동작된다.With respect to the embodiments of Figs. 2A to 2G, 3A to 3E, and 4A to 4E, in the embodiments of Figs. 5A to 5E, the first and second power supplies 103A / 1 and the second gas supply units 104A / 104B can be controlled in various ways. In one embodiment, the first and second power supplies 103A / 103B are independently controllable. In one embodiment, the first and second process gas supplies 104A / 104B are independently controllable. In another embodiment, both the first and second power supplies 103A / 103B and the first and second process gas supply units 104A / 104B are independently controllable. Next, it should be understood that the first and second sets of plasma microchambers 605/603 are defined to operate in either a synchronous or pulsed manner. When operated in a pulsed manner, either the first set of plasma microchambers 605 or the second set of plasma microchambers 603 are operated at a given time, and the first and second sets of plasma microchambers 603, Chambers 605/603 operate in an alternating sequence.

도 5a의 실시형태가 주어지면, 플라즈마로 하여금 그의 발생 영역으로부터 탈출하게 하는 (예를 들어, 쌍극선 확산 (ambipolar diffusion)) 드라이버들이, 라디컬들로 하여금 프로세스 가스 흐름 방향을 반전시킴으로써 플라즈마 영역으로 탈출하게 하는 드라이버들과 반대로 행해질 수 있음을 인식해야 한다. 이온 소스들, 즉 제 2 세트의 플라즈마 마이크로챔버들 (603) 로 상단 펌핑을 부가하는 것은, 플라즈마 소스 그 자체로부터의 더 큰 이온/중성 플럭스 비율 및 더 효율적인 이온 추출 (더 넓은 개구들) 양자를 용이하게 한다. 부가적으로, 일 실시형태에서, 배출 채널들 (607) 을 통한 상단 배출 흐름에 부가하여 주변 배출 흐름을 가능하게 하기 위해, 도 3a 및 도 4a의 실시형태들에 관해 상술된 바와 같이, 도 5a의 챔버 (401) 가 주변 벤트들 (427), 흐름 스로틀링 디바이스 (433), 배출 포트들 (429), 및 배출 펌프 (431) 을 추가적으로 장착할 수 있음을 이해해야 한다.Given the embodiment of FIG. 5A, drivers (e.g., ambipolar diffusion) that cause the plasma to escape from its region of origin may be used by radicals to invert the process gas flow direction to the plasma region It should be appreciated that it can be done in reverse to the drivers that cause it to escape. Adding the upper pumping to the ion sources, i. E., The second set of plasma microchambers 603, results in both a larger ion / neutral flux ratio from the plasma source itself and more efficient ion extraction (wider apertures) . Additionally, in one embodiment, to enable peripheral exhaust flow in addition to the top exhaust flow through the exhaust channels 607, as described above with respect to the embodiments of Figures 3A and 4A, It is to be appreciated that the chamber 401 of the apparatus 100 may additionally include peripheral vents 427, flow throttling device 433, discharge ports 429, and discharge pump 431.

여기에 기재된 다양한 실시형태들에서, 상이한 이온 및 라디컬 플라즈마 소스들은 가스 흐름, 가스 압력, 전력 주파수, 전력 진폭, 온 지속기간, 오프 지속기간, 및 타이밍 시퀀스에 관해 프로세스 제어될 수 있다. 또한, 상이한 타입들의 플라즈마 소스들은, 이웃한 플라즈마 소스들 사이의 통신을 완화시키도록 펄싱될 수 있다. 2개의 상이한 플라즈마 소스 타입들은 또한, 하나의 플라즈마 소스로부터의 이온들의 더 높은 플럭스 및 다른 플라즈마 소스로부터의 라디컬들의 더 높은 플럭스의 조건을 달성하기 위해 상이한 가스 혼합물들을 사용하여 동작될 수 있다. 이온 및 라디컬 플라즈마 소스들의 혼합된 어레이를 이용하여, 일 실시형태에서, 각각의 플라즈마 소스는 그 자신의 별개로 제어된 전력 및 가스 공급부들에 접속될 수 있다. 또한, 다른 실시형태에서, 혼합된 어레이 내의 모든 이온 플라즈마 소스들은 공통 가스 공급부 및 공통 전력 공급부에 접속될 수 있으며, 혼합된 어레이 내의 모든 라디컬 플라즈마 소스는 다른 공통 가스 공급부 및 다른 공통 전력 공급부에 접속될 수 있다.In various embodiments described herein, different ion and radical plasma sources may be process controlled with respect to gas flow, gas pressure, power frequency, power amplitude, on duration, off duration, and timing sequence. In addition, different types of plasma sources may be pulsed to mitigate communication between neighboring plasma sources. Two different plasma source types may also be operated using different gas mixtures to achieve higher flux of ions from one plasma source and higher flux of radicals from another plasma source. Using a mixed array of ions and radical plasma sources, in one embodiment, each plasma source may be connected to its own separately controlled power and gas supplies. Further, in another embodiment, all of the ion plasma sources in the mixed array can be connected to a common gas supply and a common power supply, and all of the radical plasma sources in the mixed array can be connected to other common gas supplies and other common power supplies .

일 실시형태에서, 도 5a의 시스템 (600) 은, 플라즈마 프로세싱 영역 (601) 에 노출된 프로세스-측 표면을 갖는 플레이트 어셈블리 (601) 를 갖춘 반도체 기판 프로세싱 시스템을 나타낸다. 플레이트 어셈블리 (601) 는, 플라즈마 프로세싱 영역 (601) 으로부터의 배출 가스들의 제거를 제공하기 위해, 플레이트 어셈블리 (601) 의 프로세스-측 표면을 통해 형성되는 배출 채널 (607) 을 포함한다. 플라즈마 마이크로챔버 (603) 는 배출 채널 내부에 형성된다. 가스 공급 채널 (609) 은, 배출 채널 (607) 에서 플라즈마 마이크로챔버 (603) 에 프로세스 가스를 흐르게 하도록 플레이트 어셈블리 (601) 를 통해 형성된다. 전력 전달 컴포넌트 (613) 는, 배출 채널 (607) 에서 플라즈마 마이크로챔버 (603) 내의 플라즈마로 프로세스 가스를 변환시키기 위해, 전력을 플라즈마 마이크로챔버 영역 (603) 에 송신하도록 플레이트 어셈블리 (601) 내에 형성된다.In one embodiment, the system 600 of FIG. 5A represents a semiconductor substrate processing system with a plate assembly 601 having a process-side surface exposed to a plasma processing region 601. The plate assembly 601 includes a discharge channel 607 formed through the process-side surface of the plate assembly 601 to provide for the removal of exhaust gases from the plasma processing region 601. The plasma micro chamber 603 is formed inside the discharge channel. The gas supply channel 609 is formed through the plate assembly 601 to flow the process gas to the plasma microchamber 603 at the discharge channel 607. The power delivery component 613 is formed within the plate assembly 601 to transmit power to the plasma microchamber region 603 to convert the process gas to plasma within the plasma microchamber 603 at the exit channel 607 .

일 실시형태에서, 전력 전달 컴포넌트 (613) 에 공급된 전력은, DC 전력, RF 전력, 또는 DC 및 RF 전력의 조합 중 어느 하나이다. 일 실시형태에서, 전력 전달 컴포넌트 (613) 에 공급된 전력은 2MHz, 27MHz, 60MHz, 또는 400kHz 중 어느 하나의 주파수를 갖는 RF 전력이다. 일 실시형태에서, 전력 전달 컴포넌트 (613) 는, 배출 채널 (607) 에서 플라즈마 마이크로챔버 (603) 를 한정하도록 플레이트 어셈블리 (601) 내에서 형성된 코일로서 정의된다.In one embodiment, the power supplied to power transfer component 613 is either DC power, RF power, or a combination of DC and RF power. In one embodiment, the power supplied to the power transfer component 613 is RF power having a frequency of either 2 MHz, 27 MHz, 60 MHz, or 400 kHz. In one embodiment, the power transfer component 613 is defined as a coil formed in the plate assembly 601 to define the plasma microchamber 603 in the discharge channel 607.

시스템 (600) 은, 에너자이징된 경우, 이온들로 하여금 배출 채널 (607) 내의 플라즈마 마이크로챔버 (603) 로부터 플라즈마 프로세싱 영역 (106) 으로 끌어당겨지게 하는 플레이트 어셈블리 (601) 의 외부에 배치된 전극 (112) 을 또한 포함한다. 일 실시형태에서, 전극 (112) 은 기판 지지부 (107) 내에 배치되며, 기판 지지부 (107) 는 플라즈마 프로세싱 영역 (106) 에 대한 노출부에서 기판 (105) 을 지지하도록 배치된다. 또한, 일 실시형태에서, 배출 채널 (607) 은, 기판 (105) 이 지지될 기판 지지부 (107) 의 표면에 실질적으로 수직하고 그 표면으로부터 떨어진 방향으로 프로세싱 영역 (106) 으로부터 가스들을 제거하도록 정의된다.The system 600 includes an electrode (not shown) disposed externally of the plate assembly 601 that, when energized, causes ions to be drawn from the plasma microchamber 603 in the discharge channel 607 to the plasma processing region 106 112). The electrode 112 is disposed within the substrate support 107 and the substrate support 107 is positioned to support the substrate 105 at the exposed portion to the plasma processing region 106. In one embodiment, Further, in one embodiment, the exit channel 607 is defined to remove gases from the processing region 106 in a direction substantially perpendicular to and away from the surface of the substrate support 107 on which the substrate 105 is to be supported do.

도 6은 본 발명의 일 실시형태에 따른, 반도체 기판을 프로세싱하기 위한 방법의 흐름도를 도시한다. 방법은, 프로세싱 영역 (106) 에 대한 노출부에서 기판 지지부 (107) 상에 기판 (105) 을 배치하기 위한 동작 (701) 을 포함한다. 방법은 또한, 제 1 플라즈마 타입의 제 1 플라즈마 (101A) 를 생성하기 위한 동작 (703) 을 포함한다. 방법은 또한, 제 1 플라즈마 타입과는 상이한 제 2 플라즈마 타입의 제 2 플라즈마 (102A) 를 생성하기 위한 동작 (705) 을 포함한다. 방법은 또한, 기판 (105) 의 프로세싱에 영향을 주기 위해, 제 1 및 제 2 플라즈마들 (101A/102A) 양자의 반응성 성분들 (108A/108B) 을 프로세싱 영역 (106) 에 공급하기 위한 동작 (707) 을 포함한다.Figure 6 shows a flow diagram of a method for processing a semiconductor substrate, in accordance with an embodiment of the present invention. The method includes an act 701 for placing a substrate 105 on a substrate support 107 at an exposure to the processing region 106. The method also includes an operation 703 for generating a first plasma 101A of the first plasma type. The method also includes an operation 705 for generating a second plasma 102A of a second plasma type that is different from the first plasma type. The method also includes the act of supplying the reactive components 108A / 108B of both the first and second plasma 101A / 102A to the processing region 106 to affect the processing of the substrate 105 707).

방법은 또한, 제 1 플라즈마 (101A) 를 생성하기 위해 제 1 전력 및 제 1 프로세스 가스를 사용하고, 제 2 플라즈마 (102A) 를 생성하기 위해 제 2 전력 및 제 2 프로세스 가스를 사용하기 위한 동작을 포함한다. 일 실시형태에서, 방법은, 제 1 및 제 2 전력들 또는 제 1 및 제 2 프로세스 가스들 중 하나, 또는 제 1 및 제 2 전력들 및 제 1 및 제 2 프로세스 가스들 양자를 독립적으로 제어하기 위한 동작을 포함한다. 또한, 일 실시형태에서, 제 1 전력은 DC 전력, RF 전력, 또는 DC 및 RF 전력의 조합 중 어느 하나이고, 제 2 전력은 DC 전력, RF 전력, 또는 DC 및 RF 전력의 조합 중 어느 하나이다. 일 예시적인 실시형태에서, 제 1 전력은 2MHz, 27MHz, 60MHz, 또는 400kHz 중 어느 하나의 제 1 주파수를 갖는 RF 전력이고, 제 2 전력은 2MHz, 27MHz, 60MHz, 또는 400kHz 중 어느 하나의 제 2 주파수를 갖는 RF 전력이며, 제 2 주파수는 제 1 주파수와는 상이하다.The method also includes using a first power and a first process gas to produce a first plasma 101A and an operation to use a second power and a second process gas to produce a second plasma 102A . In one embodiment, the method includes the steps of independently controlling either the first and second powers or the first and second process gases, or both the first and second powers and the first and second process gases Lt; / RTI > Further, in one embodiment, the first power is either DC power, RF power, or a combination of DC and RF power, and the second power is any combination of DC power, RF power, or DC and RF power. In one exemplary embodiment, the first power is RF power having a first frequency of either 2 MHz, 27 MHz, 60 MHz, or 400 kHz, and the second power is RF power of any one of 2 MHz, 27 MHz, 60 MHz, RF power having a frequency, and the second frequency is different from the first frequency.

방법에서, 제 1 플라즈마 (101A) 는 라디컬 밀도 대 이온 밀도의 제 1 비율을 갖도록 생성되고, 제 2 플라즈마 (102A) 는 라디컬 밀도 대 이온 밀도의 제 2 비율을 갖도록 생성된다. 제 2 플라즈마 (102A) 내의 라디컬 밀도 대 이온 밀도의 제 2 비율은 제 1 플라즈마 (101A) 내의 라디컬 밀도 대 이온 밀도의 제 1 비율과는 상이하다. 방법에서, 제 1 및 제 2 플라즈마들 (101A/102A) 양자로부터의 반응성 성분들은, 기판 (105) 에 대한 노출부에서 프로세싱 영역 (106) 전반에 걸쳐 실질적으로 균일한 방식으로 공급된다. 또한, 다양한 실시형태들에서, 제 1 및 제 2 플라즈마들 (101A/102A) 로부터의 반응성 성분들은 동시 방식 또는 펄싱된 방식 어느 하나로 생성 및 공급된다. 펄싱된 방식으로의 제 1 및 제 2 플라즈마들 (101A/102A) 의 생성 및 공급은, 주어진 시간에서 그리고 교번하는 시퀀스로 제 1 플라즈마 (101A) 또는 제 2 플라즈마 (102A) 중 어느 하나의 반응성 성분들의 생성 및 공급을 포함한다.In the method, the first plasma 101A is generated to have a first rate of radical density versus ion density, and the second plasma 102A is generated to have a second rate of radical density versus ion density. The second ratio of the density of ions to the density of ions in the second plasma 102A is different from the first ratio of the density of ions to the density of ions in the first plasma 101A. Reactive components from both the first and second plasma 101A / 102A are supplied in a substantially uniform manner throughout the processing region 106 at the exposed portion to the substrate 105. [ Also, in various embodiments, the reactive components from the first and second plasmas 101A / 102A are generated and supplied in either a synchronous or pulsed manner. The generation and supply of the first and second plasmas 101A / 102A in a pulsed manner may be performed at a given time and in an alternating sequence, with either the first plasma 101A or the second plasma 102A reactive component Lt; / RTI >

방법은 또한, 예를 들어, 도 2d에 관해 설명된 바와 같이, 제 1 및 제 2 플라즈마들 (101A/102A) 중 하나 또는 양자로부터 프로세싱 영역 (106) 으로의 이온 추출을 증가시키기 위해 보충적인 전자들을 생성하기 위한 동작을 포함할 수 있다. 또한, 방법은 전극 (112) 의 동작에 관해 여기에 설명된 것과 같이, 제 1 및 제 2 플라즈마들 (101A/102A) 중 하나 또는 양자로부터 기판 (105) 을 향해 이온들을 끌어당기기 위하여, 기판 지지부 (107) 로부터 프로세싱 영역 (106) 에 걸쳐 바이어스 전압을 인가하기 위한 동작을 포함할 수 있다.The method may also be used to increase the ion extraction from one or both of the first and second plasmas 101A / 102A to the processing region 106, for example, as described with respect to Figure 2D. For example, to generate an < / RTI > In addition, the method may be used to attract ions from the one or both of the first and second plasma 101A / 102A toward the substrate 105, as described herein with respect to the operation of the electrode 112, And to apply a bias voltage across the processing region 106 from the source region 107.

부가적으로, 일 실시형태에서, 방법은, 제 1 플라즈마 (101A) 의 반응성 성분들이 프로세싱 영역 (106) 에 공급되는 제 1 포트와 제 2 플라즈마 (102A) 의 반응성 성분들이 프로세싱 영역 (106) 에 공급되는 제 2 포트 사이에 배플 구조 (109) 를 위치시키기 위한 동작을 포함할 수 있다. 이러한 실시형태에서, 방법은 또한, 제 1 및 제 2 플라즈마 (101A/102A) 의 반응성 성분들이 프로세싱 영역 (106) 으로 방출되는 제 1 및 제 2 포트들 사이의 유체 연통 및 전력 통신 중 하나 또는 양자를 제한하기 위해, 기판 지지부 (107) 에 관한 배플 구조 (109) 의 위치를 제어하기 위한 동작을 포함할 수 있다.Additionally, in one embodiment, the method includes the steps of providing reactive components of the first plasma and the second plasma 102A to the processing region 106 where the reactive components of the first plasma 101A are supplied to the processing region 106 And positioning the baffle structure 109 between the second ports to be supplied. In such an embodiment, the method also includes the step of providing the first and second plasma 101A / 102A with one or both of fluid communication and power communication between the first and second ports where the reactive components of the first and second plasma 101A / 102A are discharged into the processing region 106 To control the position of the baffle structure 109 relative to the substrate support 107 to limit the baffle structure 109. [

도 7은 본 발명의 일 실시형태에 따른, 반도체 기판을 프로세싱하기 위한 방법의 흐름도를 도시한다. 방법은, 프로세싱 영역 (106) 에 대한 노출부에서 기판 지지부 (107) 상에 기판 (105) 을 배치시키기 위한 동작 (801) 을 포함한다. 방법은 또한, 프로세싱 영역 (106) 에 대한 노출부에서 제 1 세트의 플라즈마 마이크로챔버들 (605) 을 동작시키기 위한 동작 (803) 을 포함하며, 그에 의해, 제 1 세트의 플라즈마 마이크로챔버들 (605) 의 각각은 제 1 플라즈마를 생성하고, 프로세싱 영역 (106) 에 제 1 플라즈마의 반응성 성분들을 공급한다. 제 1 세트의 플라즈마 마이크로챔버들 (605) 은 기판 지지부 (107) 로부터 반대쪽인 프로세싱 영역 (106) 위에 위치된다. 방법은 또한, 프로세싱 영역 (106) 에 대한 노출부에서 제 2 세트의 플라즈마 마이크로챔버들 (603) 을 동작시키기 위한 동작 (805) 을 포함하며, 그에 의해, 제 2 세트의 플라즈마 마이크로챔버들 (603) 의 각각은 제 2 플라즈마를 생성하고, 프로세싱 영역 (106) 에 제 2 플라즈마의 반응성 성분들을 공급한다. 제 2 플라즈마는 제 1 플라즈마와 상이하다. 또한, 제 2 세트의 플라즈마 마이크로챔버들 (603) 은, 기판 지지부 (107) 로부터 반대쪽에 있는 프로세싱 영역 (106) 위에 위치되며, 제 1 세트의 플라즈마 마이크로챔버들 (605) 사이에서 실질적으로 균일한 방식으로 산재된다.Figure 7 shows a flow diagram of a method for processing a semiconductor substrate, in accordance with an embodiment of the present invention. The method includes an act 801 for placing a substrate 105 on a substrate support 107 at an exposed portion of the processing region 106. The method also includes an operation 803 for operating a first set of plasma microchambers 605 at an exposure to the processing region 106 such that a first set of plasma microchambers 605 Each generate a first plasma and supply reactive components of the first plasma to the processing region 106. [ A first set of plasma microchambers 605 is positioned above the processing region 106 that is opposite from the substrate support 107. The method also includes an act 805 for operating a second set of plasma microchambers 603 at an exposure to the processing region 106 such that a second set of plasma microchambers 603 Each generate a second plasma and supply reactive components of the second plasma to the processing region 106. [ The second plasma differs from the first plasma. The second set of plasma microchambers 603 are also located above the processing region 106 opposite from the substrate support 107 and are substantially uniform between the first set of plasma microchambers 605 .

방법은, 제 1 세트의 플라즈마 마이크로챔버들 (605) 로 제 1 전력을 공급하기 위한 동작, 제 1 세트의 플라즈마 마이크로챔버들 (605) 에 제 1 프로세스 가스를 공급하기 위한 동작, 제 2 세트의 플라즈마 마이크로챔버들 (603) 로 제 2 전력을 공급하기 위한 동작, 및 제 2 세트의 플라즈마 마이크로챔버들 (603) 로 제 2 프로세스 가스를 공급하기 위한 동작을 더 포함한다. 다양한 실시형태들에서, 방법은, 제 1 및 제 2 전력들 또는 제 1 및 제 2 프로세스 가스들 중 어느 하나, 또는 제 1 및 제 2 전력들 및 제 1 및 제 2 프로세스 가스들 양자를 독립적으로 제어하기 위한 동작을 포함한다. 일 실시형태에서, 제 1 전력은 DC 전력, RF 전력, 또는 DC 및 RF 전력의 조합 중 어느 하나이고, 제 2 전력은 DC 전력, RF 전력, 또는 DC 및 RF 전력의 조합 중 어느 하나이다. 일 예시적인 실시형태에서, 제 1 전력은 2MHz, 27MHz, 60MHz, 또는 400kHz 중 어느 하나의 제 1 주파수를 갖는 RF 전력이고, 제 2 전력은 2MHz, 27MHz, 60MHz, 또는 400kHz 중 어느 하나의 제 2 주파수를 갖는 RF 전력이며, 제 2 주파수는 제 1 주파수와는 상이하다.The method includes the steps of: supplying a first power to a first set of plasma microchambers 605; providing a first set of plasma microchambers 605 for supplying a first process gas to a first set of plasma microchambers 605; Further includes supplying the second process gas to the plasma micro-chambers 603, and supplying the second process gas to the second set of plasma micro-chambers 603. In various embodiments, the method includes the steps of generating either first and second powers or either the first and second process gases, or both the first and second powers and the first and second process gases independently Lt; / RTI > In one embodiment, the first power is either DC power, RF power, or a combination of DC and RF power, and the second power is any one of DC power, RF power, or a combination of DC and RF power. In one exemplary embodiment, the first power is RF power having a first frequency of either 2 MHz, 27 MHz, 60 MHz, or 400 kHz, and the second power is RF power of any one of 2 MHz, 27 MHz, 60 MHz, RF power having a frequency, and the second frequency is different from the first frequency.

방법은, 기판 (105) 이 배치되는 기판 지지부 (107) 의 상단 표면에 실질적으로 수직하고 그 표면으로부터 떨어진 방향으로 프로세싱 영역 (107) 으로부터 가스들을 제거하도록 정의된 일 세트의 배출 채널들 (607) 을 통하여 프로세싱 영역 (106) 으로부터 배출 가스들을 제거하기 위한 동작을 더 포함한다. 일 실시형태에서, 제 2 세트의 플라즈마 마이크로챔버들 (603) 은 일 세트의 배출 채널들 (607) 내에 각각 정의된다.The method includes a set of discharge channels 607 defined to remove gases from the processing region 107 in a direction substantially perpendicular to and away from a top surface of a substrate support 107 on which the substrate 105 is disposed, Lt; RTI ID = 0.0 > 106 < / RTI > In one embodiment, the second set of plasma microchambers 603 are each defined within a set of discharge channels 607.

방법은, 라디컬 밀도 대 이온 밀도의 제 1 비율을 갖도록 제 1 플라즈마를 생성하기 위해 제 1 세트의 플라즈마 마이크로챔버들 (605) 을 동작시키는 단계, 및 라디컬 밀도 대 이온 밀도의 제 2 비율을 갖도록 제 2 플라즈마를 생성하기 위해 제 2 세트의 플라즈마 마이크로챔버들 (603) 을 동작시키는 단계를 포함하며, 여기서, 제 2 플라즈마에서의 라디컬 밀도 대 이온 밀도의 제 2 비율은 제 1 플라즈마에서의 라디컬 밀도 대 이온 밀도의 제 1 비율과는 상이하다. 또한, 제 2 세트의 플라즈마 마이크로챔버들 (603) 이 일 세트의 배출 채널들 (607) 내부에 각각 정의되는 실시형태에서, 제 1 플라즈마는 이온 밀도보다 더 높은 라디컬 밀도를 갖고, 제 2 플라즈마는 라디컬 밀도보다 더 높은 이온 밀도를 갖는다.The method includes operating a first set of plasma microchambers (605) to produce a first plasma to have a first rate of radical density versus ion density, and operating a second set of plasma density versus ion density Operating the second set of plasma microchambers (603) to produce a second plasma to have a second density of ions in the second plasma, wherein the second ratio of the density of ions to the density of ions in the second plasma Which is different from the first rate of radical density versus ion density. Also, in embodiments in which the second set of plasma microchambers 603 are each defined within a set of discharge channels 607, the first plasma has a higher density of ions than the ion density, and the second plasma Has a higher ion density than the radical density.

일 실시형태에서, 방법은 동시 방식의 제 1 및 제 2 세트들의 플라즈마 마이크로챔버들 (605/603) 의 동작을 포함한다. 다른 실시형태에서, 제 1 및 제 2 세트들의 플라즈마 마이크로챔버들 (605/603) 은, 제 1 세트의 플라즈마 마이크로챔버들 (605) 또는 제 2 세트의 플라즈마 마이크로챔버들 (603) 이 주어진 시간에서 동작되고, 제 1 및 제 2 세트들의 플라즈마 마이크로챔버들 (605/603) 이 교번하는 시퀀스로 동작되는 펄싱된 방식으로 동작된다. 부가적으로, 방법은 전극 (112) 에 관해 여기에 설명된 것과 같이, 제 1 및 제 2 세트들의 플라즈마 마이크로챔버들 (605/603) 내에서 각각 생성된 제 1 및 제 2 플라즈마들 중 하나 또는 양자로부터 기판 (105) 을 향해 이온들을 끌어당기기 위해, 기판 지지부 (107) 로부터 프로세싱 영역 (106) 에 걸쳐 바이어스 전압을 적용하기 위한 동작을 포함할 수 있다.In one embodiment, the method includes operation of the first and second sets of plasma microchambers 605/603 in a synchronous manner. In another embodiment, the first and second sets of plasma microchambers 605/603 may be configured such that a first set of plasma microchambers 605 or a second set of plasma microchambers 603 are provided at a given time And the first and second sets of plasma microchambers 605/603 are operated in a pulsed manner operating in an alternating sequence. Additionally, the method may be performed by one of the first and second plasma generated in the first and second sets of plasma microchambers 605/603, respectively, as described herein for electrode 112 And to apply a bias voltage across the processing region 106 from the substrate support 107 to attract ions from both toward the substrate 105.

본 발명이 수 개의 실시형태들의 관점들에서 설명되었지만, 이전의 명세서들을 판독하고 도면들을 검토할 시에 당업자들이 본 발명의 다양한 수정물들, 부가물들, 치환물들 및 등가물들을 인지할 것임을 인식할 것이다. 따라서, 본 발명이 본 발명의 실제 사상 및 범위 내에 있는 바와 같은 그러한 모든 수정물들, 부가물들, 치환물들, 및 등가물들을 포함함이 의도된다.While the invention has been described in terms of several embodiments, those skilled in the art will recognize that the various modifications, additions, substitutions and equivalents thereof will be recognized by those skilled in the art upon reading the preceding specification and reviewing the drawings. Accordingly, it is intended that the present invention include all such modifications, additions, substitutions, and equivalents as fall within the true spirit and scope of the present invention.

Claims (55)

프로세싱 영역에 대한 노출부 (exposure) 에서 기판을 지지하도록 정의된 기판 지지부;
제 1 플라즈마 챔버로서, 상기 제 1 플라즈마 챔버의 내부 영역 내에서 제 1 플라즈마를 생성하고 상기 제 1 플라즈마의 반응성 성분들을 상기 제 1 플라즈마 챔버의 상기 내부 영역으로부터 상기 프로세싱 영역에 공급하도록 정의되고, 상기 제 1 플라즈마 챔버는 상기 제 1 플라즈마 챔버의 상기 내부 영역 내의 상부 표면 상에 위치한 제 1 상부 전극을 포함하고, 상기 제 1 상부 전극은 상기 제 1 플라즈마 챔버의 상기 내부 영역으로 제 1 프로세스 가스의 유체 연통을 가능하게 하도록 정의된 적어도 하나의 홀을 포함하고, 상기 제 1 플라즈마 챔버는 상기 제 1 플라즈마 챔버의 상기 내부 영역 내의 하부 표면 상에 위치한 제 1 하부 전극을 포함하고, 상기 제 1 하부 전극은 상기 제 1 플라즈마 챔버의 상기 내부 영역으로부터 상기 프로세싱 영역으로 상기 제 1 플라즈마의 반응성 성분들의 전달을 가능하게 하도록 정의된 적어도 하나의 홀을 포함하고, 상기 제 1 상부 전극 및 상기 제 1 하부 전극은 상기 기판 지지부에 평행한 방향으로 위치하고, 상기 제 1 상부 전극 및 상기 제 1 하부 전극은 상기 제 1 플라즈마 챔버의 상기 내부 영역에 의해 서로 분리되는, 상기 제 1 플라즈마 챔버;
제 2 플라즈마 챔버로서, 상기 제 2 플라즈마 챔버의 내부 영역 내에서 제 2 플라즈마를 생성하고 상기 제 2 플라즈마의 반응성 성분들을 상기 제 2 플라즈마 챔버의 상기 내부 영역으로부터 상기 프로세싱 영역에 공급하도록 정의되고, 상기 제 2 플라즈마 챔버는 상기 제 2 플라즈마 챔버의 상기 내부 영역 내의 상부 표면 상에 위치한 제 2 상부 전극을 포함하고, 상기 제 2 상부 전극은 상기 제 2 플라즈마 챔버의 상기 내부 영역으로 제 2 프로세스 가스의 유체 연통을 가능하게 하도록 정의된 적어도 하나의 홀을 포함하고, 상기 제 2 플라즈마 챔버는 상기 제 2 플라즈마 챔버의 상기 내부 영역 내의 하부 표면 상에 위치한 제 2 하부 전극을 포함하고, 상기 제 2 하부 전극은 상기 제 2 플라즈마 챔버의 상기 내부 영역으로부터 상기 프로세싱 영역으로 상기 제 2 플라즈마의 반응성 성분들의 전달을 가능하게 하도록 정의된 적어도 하나의 홀을 포함하고, 상기 제 2 상부 전극 및 상기 제 2 하부 전극은 상기 기판 지지부에 평행한 방향으로 위치하고, 상기 제 2 상부 전극 및 상기 제 2 하부 전극은 상기 제 2 플라즈마 챔버의 상기 내부 영역에 의해 서로 분리되고, 상기 제 1 플라즈마 챔버 및 상기 제 2 플라즈마 챔버는 독립적으로 제어되도록 정의되는, 상기 제 2 플라즈마 챔버;
상기 제 1 플라즈마 챔버와 상기 제 2 플라즈마 챔버 사이에 형성된 배출 채널; 및
상기 제 1 플라즈마 챔버와 상기 제 2 플라즈마 챔버 사이의 상기 배출 채널 내에 배치되고 상기 제 1 플라즈마 챔버 및 상기 제 2 플라즈마 챔버로부터 분리된 배플 (baffle) 구조로서, 상기 배플 구조는 상기 기판 지지부로 향하는 제 1 방향으로 그리고 상기 기판 지지부로부터 멀어지는 제 2 방향으로 이동가능하게 구성되고, 상기 배플 구조는 상기 제 1 플라즈마 챔버 및 상기 제 2 플라즈마 챔버의 대응하는 이동 없이 상기 제 1 방향 및 상기 제 2 방향으로 이동가능하게 구성되고, 상기 배플 구조는 상기 배플 구조와 상기 제 1 플라즈마 챔버 및 상기 제 2 플라즈마 챔버 각각 사이의 상기 배출 채널을 통한 배출 흐름을 제공하기 위해 상기 배출 채널보다 작게 사이징 (size) 되는, 상기 배플 구조를 포함하는, 반도체 기판 프로세싱 시스템.
A substrate support defined to support a substrate at an exposure to a processing region;
A first plasma chamber being defined to produce a first plasma within an interior region of the first plasma chamber and to supply reactive components of the first plasma from the interior region of the first plasma chamber to the processing region, Wherein the first plasma chamber comprises a first upper electrode located on an upper surface within the interior region of the first plasma chamber and wherein the first upper electrode contacts the interior region of the first plasma chamber with a fluid Wherein the first plasma chamber includes a first lower electrode located on a lower surface within the inner region of the first plasma chamber, The method of claim 1, wherein the first plasma chamber 1 plasma, wherein the first upper electrode and the first lower electrode are positioned in a direction parallel to the substrate support, and the first upper electrode and the first lower electrode are positioned in a direction parallel to the substrate support, Wherein the first lower electrode is separated from the first plasma chamber by the inner region of the first plasma chamber;
A second plasma chamber, wherein the second plasma chamber is defined to produce a second plasma within an interior region of the second plasma chamber and to supply reactive components of the second plasma from the interior region of the second plasma chamber to the processing region, The second plasma chamber comprises a second upper electrode located on the upper surface within the inner region of the second plasma chamber and the second upper electrode is connected to the inner region of the second plasma chamber by a fluid Wherein the second plasma chamber includes a second lower electrode positioned on a lower surface within the inner region of the second plasma chamber, The second plasma chamber, and the second plasma chamber, 2 plasma, wherein the second upper electrode and the second lower electrode are positioned in a direction parallel to the substrate support, and the second upper electrode and the second lower electrode are positioned in a direction parallel to the substrate support, The second lower electrode being separated from each other by the inner region of the second plasma chamber, and wherein the first plasma chamber and the second plasma chamber are defined to be controlled independently;
A discharge channel formed between the first plasma chamber and the second plasma chamber; And
A baffle structure disposed within the discharge channel between the first plasma chamber and the second plasma chamber and separated from the first plasma chamber and the second plasma chamber, Wherein the baffle structure is movable in one direction and in a second direction away from the substrate support, wherein the baffle structure is movable in the first and second directions without corresponding movement of the first plasma chamber and the second plasma chamber Wherein the baffle structure is sized smaller than the discharge channel to provide a discharge flow through the discharge channel between the baffle structure and each of the first plasma chamber and the second plasma chamber, Wherein the semiconductor substrate processing system comprises a baffle structure.
제 1 항에 있어서,
상기 제 1 플라즈마 챔버 내의 상기 제 1 상부 전극 및 상기 제 1 하부 전극에 제 1 전력을 공급하도록 정의된 제 1 전력 공급부;
상기 제 1 플라즈마 챔버의 상기 내부 영역에 제 1 프로세스 가스를 공급하도록 정의된 제 1 프로세스 가스 공급부;
상기 제 2 플라즈마 챔버 내의 상기 제 2 상부 전극 및 상기 제 2 하부 전극에 제 2 전력을 공급하도록 정의된 제 2 전력 공급부; 및
상기 제 2 플라즈마 챔버의 상기 내부 영역에 제 2 프로세스 가스를 공급하도록 정의된 제 2 프로세스 가스 공급부를 더 포함하는, 반도체 기판 프로세싱 시스템.
The method according to claim 1,
A first power supply configured to supply a first power to the first upper electrode and the first lower electrode in the first plasma chamber;
A first process gas supply configured to supply a first process gas to the interior region of the first plasma chamber;
A second power supply defined to supply a second power to the second upper electrode and the second lower electrode in the second plasma chamber; And
Further comprising: a second process gas supply portion defined to supply a second process gas to the interior region of the second plasma chamber.
제 2 항에 있어서,
상기 제 1 전력 공급부 및 상기 제 2 전력 공급부는 독립적으로 제어가능하거나, 상기 제 1 프로세스 가스 공급부 및 상기 제 2 프로세스 가스 공급부는 독립적으로 제어가능하거나, 상기 제 1 전력 공급부와 상기 제 2 전력 공급부 및 상기 제 1 프로세스 가스 공급부와 상기 제 2 프로세스 가스 공급부 모두는 독립적으로 제어가능한, 반도체 기판 프로세싱 시스템.
3. The method of claim 2,
The first process gas supply unit and the second process gas supply unit may be independently controllable, or the first power supply unit and the second power supply unit may be independently controllable, or the first process gas supply unit and the second process gas supply unit may be independently controllable, Wherein both the first process gas supply and the second process gas supply are independently controllable.
제 2 항에 있어서,
상기 제 1 전력은 직류 (DC) 전력, 무선주파수 (RF) 전력, 또는 DC 전력 및 RF 전력의 조합 중 어느 하나이고,
상기 제 2 전력은 DC 전력, RF 전력, 또는 DC 전력 및 RF 전력의 조합 중 어느 하나인, 반도체 기판 프로세싱 시스템.
3. The method of claim 2,
Wherein the first power is one of direct current (DC) power, radio frequency (RF) power, or a combination of DC power and RF power,
Wherein the second power is one of DC power, RF power, or a combination of DC power and RF power.
제 1 항에 있어서,
상기 제 1 플라즈마 챔버 및 상기 제 2 플라즈마 챔버는 동시 방식 또는 펄싱된 방식으로 동작하도록 정의되며,
상기 펄싱된 방식은, 주어진 시간에서 그리고 교번하는 시퀀스로 동작하는 상기 제 1 플라즈마 챔버 또는 상기 제 2 플라즈마 챔버를 포함하는, 반도체 기판 프로세싱 시스템.
The method according to claim 1,
Wherein the first plasma chamber and the second plasma chamber are defined to operate in a synchronous or pulsed manner,
Wherein the pulsed manner comprises the first plasma chamber or the second plasma chamber operating at a given time and in an alternating sequence.
제 1 항에 있어서,
상기 기판 지지부는, 상기 기판이 지지될 상기 기판 지지부의 상단 표면에 수직한 방향으로 이동가능하도록 정의되는, 반도체 기판 프로세싱 시스템.
The method according to claim 1,
Wherein the substrate support is defined to be movable in a direction perpendicular to an upper surface of the substrate support to which the substrate is to be supported.
제 1 항에 있어서,
상기 제 1 플라즈마 챔버 및 상기 제 2 플라즈마 챔버 중 하나 또는 양자는, 이온 추출을 증가시키기 위해 보충적인 전자 생성을 제공하도록 정의된 에너자이징가능한 플라즈마 유출구 영역을 갖도록 정의되는, 반도체 기판 프로세싱 시스템.
The method according to claim 1,
Wherein one or both of the first plasma chamber and the second plasma chamber is defined to have an energizable plasma outlet region defined to provide supplemental electron production to increase ion extraction.
제 2 항에 있어서,
상기 기판 지지부는, 상기 기판 지지부와 상기 제 1 플라즈마 챔버 및 상기 제 2 플라즈마 챔버 사이의 상기 프로세싱 영역에 걸쳐 바이어스 전압을 인가하도록 정의된 전극을 포함하는, 반도체 기판 프로세싱 시스템.
3. The method of claim 2,
Wherein the substrate support comprises an electrode defined to apply a bias voltage across the processing area between the substrate support and the first plasma chamber and the second plasma chamber.
제 1 항에 있어서,
상기 배플 구조는 상기 제 1 플라즈마 챔버와 상기 제 2 플라즈마 챔버 사이의 전력 전달을 감소시키도록 유전체 재료로 형성되는, 반도체 기판 프로세싱 시스템.
The method according to claim 1,
Wherein the baffle structure is formed of a dielectric material to reduce power transfer between the first plasma chamber and the second plasma chamber.
제 1 항에 있어서,
상기 배출 채널은 상기 기판이 지지될 상기 기판 지지부의 상단 표면에 수직한 방향으로 상기 프로세싱 영역으로부터 멀어지게 연장되는, 반도체 기판 프로세싱 시스템.
The method according to claim 1,
The discharge channel extending away from the processing region in a direction perpendicular to an upper surface of the substrate support to which the substrate is to be supported.
제 10 항에 있어서,
상기 배플 구조는, 상기 제 1 플라즈마 챔버와 상기 제 2 플라즈마 챔버 사이의 유체 연통을 감소시키도록 정의되는, 반도체 기판 프로세싱 시스템.
11. The method of claim 10,
Wherein the baffle structure is defined to reduce fluid communication between the first plasma chamber and the second plasma chamber.
상단 구조, 바닥 구조, 및 상기 상단 구조와 상기 바닥 구조 사이에서 연장하는 측벽들을 갖는 챔버로서, 상기 챔버는 프로세싱 영역을 둘러싸는, 상기 챔버;
상기 챔버 내에 배치되고, 상기 프로세싱 영역에 대한 노출부에서 기판을 지지하도록 정의되는 기판 지지부; 및
상기 기판 지지부 위의 상기 챔버 내에 배치된 상단 플레이트 어셈블리로서, 상기 상단 플레이트 어셈블리는 상기 프로세싱 영역에 노출되고 상기 기판 지지부의 상단 표면 반대쪽에 있는 하부 표면을 갖고, 상기 상단 플레이트 어셈블리는 제 1 플라즈마의 반응성 성분들을 상기 프로세싱 영역에 공급하도록 접속된 제 1 복수의 플라즈마 포트들을 포함하며, 상기 상단 플레이트 어셈블리는 제 2 플라즈마의 반응성 성분들을 상기 프로세싱 영역에 공급하도록 접속된 제 2 복수의 플라즈마 포트들을 포함하고,
상기 제 1 복수의 플라즈마 포트들 및 상기 제 2 복수의 플라즈마 포트들 중 하나 또는 양자는 보충적인 전자들의 생성을 위한 에너자이징 가능한 플라즈마 유출구 영역을 갖도록 구성되는, 상기 상단 플레이트 어셈블리를 포함하는, 반도체 기판 프로세싱 시스템.
A chamber having a top structure, a bottom structure, and sidewalls extending between the top structure and the bottom structure, the chamber surrounding the processing area;
A substrate support disposed within the chamber and defined to support a substrate at an exposed portion to the processing region; And
A top plate assembly disposed within the chamber above the substrate support, the top plate assembly having a bottom surface exposed in the processing region and opposite the top surface of the substrate support, the top plate assembly having a reactive surface Wherein the top plate assembly includes a second plurality of plasma ports connected to supply reactive components of a second plasma to the processing region, wherein the first plurality of plasma ports are connected to supply components to the processing region,
Wherein the top plate assembly is configured to have an energizable plasma outlet region for the generation of supplemental electrons, wherein one or both of the first plurality of plasma ports and the second plurality of plasma ports system.
제 12 항에 있어서,
상기 기판 지지부는, 상기 기판이 지지될 상기 기판 지지부의 상단 표면에 수직한 방향으로 이동가능하도록 정의되는, 반도체 기판 프로세싱 시스템.
13. The method of claim 12,
Wherein the substrate support is defined to be movable in a direction perpendicular to an upper surface of the substrate support to which the substrate is to be supported.
제 12 항에 있어서,
상기 기판 지지부는, 상기 기판 지지부와 상기 상단 플레이트 어셈블리의 상기 하부 표면 사이의 상기 프로세싱 영역에 걸쳐 바이어스 전압을 인가하도록 정의된 전극을 포함하는, 반도체 기판 프로세싱 시스템.
13. The method of claim 12,
Wherein the substrate support comprises an electrode defined to apply a bias voltage across the processing region between the substrate support and the lower surface of the top plate assembly.
제 12 항에 있어서,
상기 제 1 플라즈마를 생성하고, 상기 제 1 복수의 플라즈마 포트들 중 하나 이상에 상기 제 1 플라즈마의 반응성 성분들을 공급하도록 각각 정의된 제 1 복수의 플라즈마 마이크로챔버들; 및
상기 제 2 플라즈마를 생성하고, 상기 제 2 복수의 플라즈마 포트들 중 하나 이상에 상기 제 2 플라즈마의 반응성 성분들을 공급하도록 각각 정의된 제 2 복수의 플라즈마 마이크로챔버들을 더 포함하는, 반도체 기판 프로세싱 시스템.
13. The method of claim 12,
A first plurality of plasma microchambers each defined to produce the first plasma and to supply reactive components of the first plasma to at least one of the first plurality of plasma ports; And
Further comprising a second plurality of plasma microchambers each defined to produce the second plasma and to supply reactive components of the second plasma to at least one of the second plurality of plasma ports.
제 15 항에 있어서,
상기 제 1 복수의 플라즈마 마이크로챔버들에 제 1 전력을 공급하도록 정의된 제 1 전력 공급부;
상기 제 1 복수의 플라즈마 마이크로챔버들에 제 1 프로세스 가스를 공급하도록 정의된 제 1 프로세스 가스 공급부;
상기 제 2 복수의 플라즈마 마이크로챔버들에 제 2 전력을 공급하도록 정의된 제 2 전력 공급부; 및
상기 제 2 복수의 플라즈마 마이크로챔버들에 제 2 프로세스 가스를 공급하도록 정의된 제 2 프로세스 가스 공급부를 더 포함하는, 반도체 기판 프로세싱 시스템.
16. The method of claim 15,
A first power supply configured to supply a first power to the first plurality of plasma microchambers;
A first process gas supply configured to supply a first process gas to the first plurality of plasma microchambers;
A second power supply configured to supply a second power to the second plurality of plasma microchambers; And
Further comprising: a second process gas supply section defined to supply a second process gas to the second plurality of plasma microchambers.
제 16 항에 있어서,
상기 제 1 전력 공급부 및 상기 제 2 전력 공급부는 독립적으로 제어가능하거나, 상기 제 1 프로세스 가스 공급부 및 상기 제 2 프로세스 가스 공급부는 독립적으로 제어가능하거나, 상기 제 1 전력 공급부와 상기 제 2 전력 공급부 및 상기 제 1 프로세스 가스 공급부와 상기 제 2 프로세스 가스 공급부 모두는 독립적으로 제어가능한, 반도체 기판 프로세싱 시스템.
17. The method of claim 16,
The first process gas supply unit and the second process gas supply unit may be independently controllable, or the first power supply unit and the second power supply unit may be independently controllable, or the first process gas supply unit and the second process gas supply unit may be independently controllable, Wherein both the first process gas supply and the second process gas supply are independently controllable.
제 12 항에 있어서,
상기 제 1 플라즈마를 생성하고 상기 제 1 플라즈마의 반응성 성분들을 상기 제 1 복수의 플라즈마 포트들의 각각에 공급하도록 정의된 제 1 플라즈마 챔버; 및
상기 제 2 플라즈마를 생성하고 상기 제 2 플라즈마의 반응성 성분들을 상기 제 2 복수의 플라즈마 포트들의 각각에 공급하도록 정의된 제 2 플라즈마 챔버를 더 포함하는, 반도체 기판 프로세싱 시스템.
13. The method of claim 12,
A first plasma chamber defined to generate the first plasma and supply reactive components of the first plasma to each of the first plurality of plasma ports; And
Further comprising a second plasma chamber defined to generate the second plasma and supply reactive components of the second plasma to each of the second plurality of plasma ports.
제 18 항에 있어서,
상기 제 1 플라즈마 챔버에 제 1 전력을 공급하도록 정의된 제 1 전력 공급부;
상기 제 1 플라즈마 챔버에 제 1 프로세스 가스를 공급하도록 정의된 제 1 프로세스 가스 공급부;
상기 제 2 플라즈마 챔버에 제 2 전력을 공급하도록 정의된 제 2 전력 공급부; 및
상기 제 2 플라즈마 챔버에 제 2 프로세스 가스를 공급하도록 정의된 제 2 프로세스 가스 공급부를 더 포함하는, 반도체 기판 프로세싱 시스템.
19. The method of claim 18,
A first power supply defined to supply a first power to the first plasma chamber;
A first process gas supply configured to supply a first process gas to the first plasma chamber;
A second power supply defined to supply a second power to the second plasma chamber; And
Further comprising: a second process gas supply portion defined to supply a second process gas to the second plasma chamber.
제 19 항에 있어서,
상기 제 1 전력 공급부 및 상기 제 2 전력 공급부는 독립적으로 제어가능하거나, 상기 제 1 프로세스 가스 공급부 및 상기 제 2 프로세스 가스 공급부는 독립적으로 제어가능하거나, 상기 제 1 전력 공급부와 상기 제 2 전력 공급부 및 상기 제 1 프로세스 가스 공급부와 상기 제 2 프로세스 가스 공급부 모두는 독립적으로 제어가능한, 반도체 기판 프로세싱 시스템.
20. The method of claim 19,
The first process gas supply unit and the second process gas supply unit may be independently controllable, or the first power supply unit and the second power supply unit may be independently controllable, or the first process gas supply unit and the second process gas supply unit may be independently controllable, Wherein both the first process gas supply and the second process gas supply are independently controllable.
반도체 기판을 프로세싱하기 위한 방법에 있어서,
프로세싱 영역에 대한 노출부에서 기판 지지부 상에 기판을 배치시키는 단계;
제 1 플라즈마 챔버의 내부 영역 내에서 제 1 플라즈마 타입의 제 1 플라즈마를 생성하는 단계로서, 상기 제 1 플라즈마 챔버는 상기 제 1 플라즈마 챔버의 상기 내부 영역 내의 상부 표면 상에 위치한 제 1 상부 전극을 포함하고, 상기 제 1 상부 전극은 상기 제 1 플라즈마 챔버의 상기 내부 영역으로 제 1 프로세스 가스의 유체 연통을 가능하게 하도록 정의된 적어도 하나의 홀을 포함하고, 상기 제 1 플라즈마 챔버는 상기 제 1 플라즈마 챔버의 상기 내부 영역 내의 하부 표면 상에 위치한 제 1 하부 전극을 포함하고, 상기 제 1 하부 전극은 상기 제 1 플라즈마 챔버의 상기 내부 영역으로부터 상기 프로세싱 영역으로 상기 제 1 플라즈마의 반응성 성분들의 전달을 가능하게 하도록 정의된 적어도 하나의 홀을 포함하고, 상기 제 1 상부 전극 및 상기 제 1 하부 전극은 상기 기판 지지부에 평행한 방향으로 위치하고, 상기 제 1 상부 전극 및 상기 제 1 하부 전극은 상기 제 1 플라즈마 챔버의 상기 내부 영역에 의해 서로 분리되는, 상기 제 1 플라즈마를 생성하는 단계;
제 2 플라즈마 챔버의 내부 영역 내에서 제 2 플라즈마 타입의 제 2 플라즈마를 생성하는 단계로서, 상기 제 2 플라즈마 챔버는 상기 제 2 플라즈마 챔버의 상기 내부 영역 내의 상부 표면 상에 위치한 제 2 상부 전극을 포함하고, 상기 제 2 상부 전극은 상기 제 2 플라즈마 챔버의 상기 내부 영역으로 제 2 프로세스 가스의 유체 연통을 가능하게 하도록 정의된 적어도 하나의 홀을 포함하고, 상기 제 2 플라즈마 챔버는 상기 제 2 플라즈마 챔버의 상기 내부 영역 내의 하부 표면 상에 위치한 제 2 하부 전극을 포함하고, 상기 제 2 하부 전극은 상기 제 2 플라즈마 챔버의 상기 내부 영역으로부터 상기 프로세싱 영역으로 상기 제 2 플라즈마의 반응성 성분들의 전달을 가능하게 하도록 정의된 적어도 하나의 홀을 포함하고, 상기 제 2 상부 전극 및 상기 제 2 하부 전극은 상기 기판 지지부에 평행한 방향으로 위치하고, 상기 제 2 상부 전극 및 상기 제 2 하부 전극은 상기 제 2 플라즈마 챔버의 상기 내부 영역에 의해 서로 분리되고, 상기 제 2 플라즈마 타입은 상기 제 1 플라즈마 타입과는 상이하고, 상기 제 1 플라즈마 챔버 및 상기 제 2 플라즈마 챔버는 독립적으로 제어되는, 상기 제 2 플라즈마를 생성하는 단계; 및
상기 기판의 프로세싱에 영향을 주기 위해 상기 제 1 플라즈마 및 상기 제 2 플라즈마 양자의 반응성 성분들을 상기 프로세싱 영역에 공급하는 단계;
상기 제 1 플라즈마 챔버와 상기 제 2 플라즈마 챔버 사이에 위치한 배출 채널 내에 배플 구조를 위치시키는 단계로서, 상기 배플 구조는 배출 흐름이 상기 배플 구조와 상기 제 1 플라즈마 챔버 및 상기 제 2 플라즈마 챔버 각각 사이의 상기 배출 채널을 통해 상기 프로세싱 영역으로부터 제공되도록 상기 배출 채널보다 작게 사이징되는, 상기 배플 구조를 위치시키는 단계; 및
상기 제 1 플라즈마 챔버 및 상기 제 2 플라즈마 챔버의 대응하는 이동 없이 상기 기판 지지부로 향하는 제 1 방향으로 상기 배플 구조를 이동시키거나, 상기 제 1 플라즈마 챔버 및 상기 제 2 플라즈마 챔버의 대응하는 이동 없이 상기 기판 지지부로부터 멀어지는 제 2 방향으로 상기 배플 구조를 이동시키는 단계를 포함하는, 반도체 기판을 프로세싱하기 위한 방법.
A method for processing a semiconductor substrate,
Disposing a substrate on a substrate support at an exposed portion to a processing region;
Generating a first plasma of a first plasma type within an interior region of a first plasma chamber, wherein the first plasma chamber includes a first upper electrode located on an upper surface within the interior region of the first plasma chamber Wherein said first upper electrode includes at least one hole defined to enable fluid communication of a first process gas to said interior region of said first plasma chamber, The first lower electrode being capable of transferring reactive components of the first plasma from the inner region of the first plasma chamber to the processing region, the first lower electrode being located on a lower surface within the inner region of the first plasma chamber, The first upper electrode and the first lower electrode, Electrode includes the steps of producing the first plasma, separated from one another by said inner region located in a direction parallel to said substrate support, said first top electrode and said first bottom electrode of the first plasma chamber;
Generating a second plasma of a second plasma type within the interior region of the second plasma chamber, wherein the second plasma chamber includes a second upper electrode located on an upper surface within the interior region of the second plasma chamber And wherein the second upper electrode includes at least one hole defined to enable fluid communication of a second process gas to the interior region of the second plasma chamber, Said second lower electrode being capable of transferring reactive components of said second plasma from said inner region of said second plasma chamber to said processing region, said second lower electrode being located on a lower surface within said inner region of said second plasma chamber, And at least one hole defined for said second upper electrode and said second lower And the second upper electrode and the second lower electrode are separated from each other by the inner region of the second plasma chamber, and the second plasma type is formed in the first plasma type Wherein the first plasma chamber and the second plasma chamber are independently controlled; generating the second plasma; And
Supplying reactive components of both the first plasma and the second plasma to the processing region to affect processing of the substrate;
Positioning a baffle structure in an exit channel positioned between the first plasma chamber and the second plasma chamber, wherein the baffle structure is configured such that the discharge flow is directed between the baffle structure and each of the first plasma chamber and the second plasma chamber, Positioning the baffle structure such that it is sized smaller than the discharge channel to be provided from the processing region through the discharge channel; And
Moving the baffle structure in a first direction toward the substrate support without corresponding movement of the first plasma chamber and the second plasma chamber or moving the baffle structure in a first direction toward the substrate support without corresponding movement of the first plasma chamber and the second plasma chamber, And moving the baffle structure in a second direction away from the substrate support.
제 21 항에 있어서,
상기 제 1 플라즈마는, 라디컬 밀도 대 이온 밀도의 제 1 비율을 갖도록 생성되고,
상기 제 2 플라즈마는, 라디컬 밀도 대 이온 밀도의 제 2 비율을 갖도록 생성되며,
상기 제 2 플라즈마에서의 라디컬 밀도 대 이온 밀도의 상기 제 2 비율은, 상기 제 1 플라즈마에서의 라디컬 밀도 대 이온 밀도의 상기 제 1 비율과는 상이한, 반도체 기판을 프로세싱하기 위한 방법.
22. The method of claim 21,
Wherein the first plasma is generated to have a first rate of radical density versus ion density,
Wherein the second plasma is generated to have a second ratio of the density of ions to the density of ions,
Wherein the second ratio of the radial density versus ion density in the second plasma is different from the first ratio of the radial density in the first plasma to the ion density.
제 21 항에 있어서,
상기 제 1 플라즈마를 생성하도록 제 1 전력 및 제 1 프로세스 가스를 사용하는 단계; 및
상기 제 2 플라즈마를 생성하도록 제 2 전력 및 제 2 프로세스 가스를 사용하는 단계를 더 포함하는, 반도체 기판을 프로세싱하기 위한 방법.
22. The method of claim 21,
Using a first power and a first process gas to produce the first plasma; And
Further comprising using a second power and a second process gas to produce the second plasma. ≪ Desc / Clms Page number 17 >
제 23 항에 있어서,
상기 제 1 전력 및 상기 제 2 전력, 또는 상기 제 1 프로세스 가스 및 상기 제 2 프로세스 가스, 또는 상기 제 1 전력과 상기 제 2 전력 및 상기 제 1 프로세스 가스와 상기 제 2 프로세스 가스 양자를 독립적으로 제어하는 단계를 더 포함하는, 반도체 기판을 프로세싱하기 위한 방법.
24. The method of claim 23,
The first power and the second power, or the first process gas and the second process gas, or both the first power and the second power, and both the first process gas and the second process gas independently ≪ / RTI > further comprising the step of:
제 23 항에 있어서,
상기 제 1 전력은 직류 (DC) 전력, 무선주파수 (RF) 전력, 또는 DC 전력 및 RF 전력의 조합 중 어느 하나이고,
상기 제 2 전력은 DC 전력, RF 전력, 또는 DC 전력 및 RF 전력의 조합 중 어느 하나인, 반도체 기판을 프로세싱하기 위한 방법.
24. The method of claim 23,
Wherein the first power is one of direct current (DC) power, radio frequency (RF) power, or a combination of DC power and RF power,
Wherein the second power is one of DC power, RF power, or a combination of DC power and RF power.
제 21 항에 있어서,
상기 제 1 플라즈마 및 상기 제 2 플라즈마 양자로부터의 반응성 성분들은 상기 기판에 대한 노출부에서 상기 프로세싱 영역 전반에 걸쳐 균일한 방식으로 공급되는, 반도체 기판을 프로세싱하기 위한 방법.
22. The method of claim 21,
Wherein reactive components from both the first plasma and the second plasma are applied across the processing region at an exposed portion to the substrate ≪ / RTI > is supplied in a uniform manner.
제 21 항에 있어서,
상기 제 1 플라즈마 및 상기 제 2 플라즈마로부터의 반응성 성분들은 동시 방식 또는 펄싱된 방식 중 어느 하나로 생성 및 공급되며,
상기 펄싱된 방식은, 주어진 시간에서 그리고 교번하는 시퀀스로 상기 제 1 플라즈마 또는 상기 제 2 플라즈마 중 어느 하나의 반응성 성분들의 생성 및 공급을 포함하는, 반도체 기판을 프로세싱하기 위한 방법.
22. The method of claim 21,
Wherein the reactive components from the first plasma and the second plasma are generated and supplied either in a synchronous or pulsed manner,
Wherein the pulsed scheme comprises the generation and supply of reactive components of either the first plasma or the second plasma at a given time and in an alternating sequence.
제 21 항에 있어서,
상기 제 1 플라즈마 및 상기 제 2 플라즈마 중 하나 또는 양자로부터 상기 프로세싱 영역으로의 이온 추출을 증가시키기 위해 보충적인 전자들을 생성하는 단계를 더 포함하는, 반도체 기판을 프로세싱하기 위한 방법.
22. The method of claim 21,
Further comprising generating supplemental electrons to increase ion extraction from one or both of the first plasma and the second plasma to the processing region. ≪ Desc / Clms Page number 19 >
제 21 항에 있어서,
상기 제 1 플라즈마 및 상기 제 2 플라즈마 중 하나 또는 양자로부터 상기 기판을 향해 이온들을 끌어당기기 위해 상기 기판 지지부로부터 상기 프로세싱 영역에 걸쳐 바이어스 전압을 인가하는 단계를 더 포함하는, 반도체 기판을 프로세싱하기 위한 방법.
22. The method of claim 21,
Further comprising applying a bias voltage across the processing region from the substrate support to attract ions from one or both of the first plasma and the second plasma toward the substrate. .
제 21 항에 있어서,
상기 배플 구조는 상기 제 1 플라즈마 챔버와 상기 제 2 플라즈마 챔버 사이의 전력 전달을 감소시키도록 유전체 재료로 형성되는, 반도체 기판을 프로세싱하기 위한 방법.
22. The method of claim 21,
Wherein the baffle structure is formed of a dielectric material to reduce power transfer between the first plasma chamber and the second plasma chamber.
플라즈마 프로세싱 영역에 노출된 프로세스-측 표면을 갖는 플레이트 어셈블리;
상기 플라즈마 프로세싱 영역으로부터의 배출 가스들의 제거를 제공하기 위해, 상기 플레이트 어셈블리의 상기 프로세스-측 표면을 통해 형성된 배출 채널;
상기 배출 채널 내부에 형성된 플라즈마 마이크로챔버;
상기 배출 채널 내의 상기 플라즈마 마이크로챔버로 프로세스 가스를 흐르게 하기 위해 상기 플레이트 어셈블리를 통하여 형성된 가스 공급 채널; 및
상기 배출 채널 내의 상기 플라즈마 마이크로챔버 내에서 상기 프로세스 가스를 플라즈마로 변환시키기 위해, 상기 플라즈마 마이크로챔버에 전력을 송신하도록 상기 플레이트 어셈블리 내에 형성된 전력 전달 컴포넌트를 포함하는, 반도체 기판 프로세싱 시스템.
A plate assembly having a process-side surface exposed to a plasma processing region;
A discharge channel formed through the process-side surface of the plate assembly to provide removal of exhaust gases from the plasma processing region;
A plasma micro chamber formed within the discharge channel;
A gas supply channel formed through the plate assembly to flow the process gas into the plasma microchamber in the discharge channel; And
And a power delivery component formed within the plate assembly to transmit power to the plasma microchamber to convert the process gas into a plasma within the plasma microchamber within the discharge channel.
제 31 항에 있어서,
에너자이징된 경우, 이온들로 하여금, 상기 배출 채널 내의 상기 플라즈마 마이크로챔버로부터 상기 플라즈마 프로세싱 영역으로 끌어당겨지게 하는, 상기 플레이트 어셈블리의 외부에 배치된 전극을 더 포함하는, 반도체 기판 프로세싱 시스템.
32. The method of claim 31,
Further comprising an electrode disposed outside the plate assembly that, when energized, causes ions to be drawn from the plasma micro chamber in the discharge channel to the plasma processing region.
제 32 항에 있어서,
상기 플라즈마 프로세싱 영역에 대한 노출부에서 기판을 지지하도록 배치된 기판 지지부를 더 포함하며,
상기 전극은 상기 기판 지지부 내에 배치되는, 반도체 기판 프로세싱 시스템.
33. The method of claim 32,
Further comprising a substrate support disposed to support a substrate in an exposed portion for the plasma processing region,
Wherein the electrode is disposed within the substrate support.
제 33 항에 있어서,
상기 배출 채널은, 상기 기판이 지지될 상기 기판 지지부의 표면에 수직하고 상기 표면으로부터 멀어지는 방향으로 상기 프로세싱 영역으로부터 가스들을 제거하도록 정의되는, 반도체 기판 프로세싱 시스템.
34. The method of claim 33,
Wherein the exit channel is defined to remove gasses from the processing region in a direction perpendicular to and away from the surface of the substrate support to which the substrate is to be supported.
제 31 항에 있어서,
상기 전력 전달 컴포넌트에 상기 전력을 공급하도록 정의된 전력 공급부; 및
상기 가스 공급 채널에 프로세스 가스를 공급하도록 정의된 프로세스 가스 공급부를 더 포함하는, 반도체 기판 프로세싱 시스템.
32. The method of claim 31,
A power supply defined to supply the power to the power transfer component; And
Further comprising: a process gas supply unit configured to supply a process gas to the gas supply channel.
제 31 항에 있어서,
상기 전력 전달 컴포넌트는, 상기 배출 채널 내의 상기 플라즈마 마이크로챔버를 한정 (circumscribe) 하도록 상기 플레이트 어셈블리 내에 형성되는 코일로서 정의되는, 반도체 기판 프로세싱 시스템.
32. The method of claim 31,
Wherein the power transfer component is defined as a coil formed within the plate assembly to circumscribe the plasma microchamber within the discharge channel.
상단 구조, 바닥 구조, 및 상기 상단 구조와 상기 바닥 구조 사이에서 연장하는 측벽들을 갖는 챔버로서, 상기 챔버는 프로세싱 영역을 포함하는, 상기 챔버;
상기 챔버 내에 배치된 기판 지지부로서, 상기 기판 지지부는 상기 프로세싱 영역에 대한 노출부에서 기판을 지지하도록 정의된 상단 표면을 갖는, 상기 기판 지지부;
상기 기판 지지부 위의 상기 챔버 내에 배치된 상단 플레이트 어셈블리로서, 상기 상단 플레이트 어셈블리는 상기 프로세싱 영역에 노출되고 상기 기판 지지부의 상단 표면 반대쪽에 있는 하부 표면을 갖는, 상기 상단 플레이트 어셈블리를 포함하며,
상기 상단 플레이트 어셈블리는,
상기 상단 플레이트 어셈블리의 상기 하부 표면으로 각각 형성된 제 1 세트의 플라즈마 마이크로챔버들,
상기 제 1 세트의 플라즈마 마이크로챔버들 각각에 제 1 프로세스 가스를 흐르게 하도록 형성된 가스 공급 채널들의 제 1 네트워크로서, 상기 제 1 세트의 플라즈마 마이크로챔버들의 각각은, 상기 프로세싱 영역에 대한 노출부에서 상기 제 1 프로세스 가스를 제 1 플라즈마로 변환시키도록 정의되는, 상기 가스 공급 채널들의 제 1 네트워크,
상기 프로세싱 영역으로부터의 배출 가스들의 제거를 제공하기 위해 상기 상단 플레이트 어셈블리의 상기 하부 표면을 통해 형성된 일 세트의 배출 채널들,
상기 일 세트의 배출 채널들 내부에 각각 형성된 제 2 세트의 플라즈마 마이크로챔버들, 및
상기 제 2 세트의 플라즈마 마이크로챔버들 각각에 제 2 프로세스 가스를 흐르게 하도록 형성된 가스 공급 채널들의 제 2 네트워크로서, 상기 제 2 세트의 플라즈마 마이크로챔버들의 각각은, 상기 프로세싱 영역에 대한 노출부에서 상기 제 2 프로세스 가스를 제 2 플라즈마로 변환시키도록 정의되는, 상기 가스 공급 채널들의 제 2 네트워크를 포함하는, 반도체 기판 프로세싱 시스템.
A chamber having a top structure, a bottom structure, and sidewalls extending between the top structure and the bottom structure, the chamber including a processing region;
A substrate support disposed within the chamber, the substrate support having a top surface defined to support a substrate at an exposed portion to the processing region;
A top plate assembly disposed within the chamber above the substrate support, the top plate assembly having a bottom surface exposed to the processing region and opposite a top surface of the substrate support,
The upper plate assembly includes:
A first set of plasma microchambers, each defined by the lower surface of the top plate assembly,
A first network of gas supply channels configured to flow a first process gas into each of the first set of plasma microchambers, wherein each of the first set of plasma microchambers includes a first set of plasma microchambers, A first network of gas supply channels defined to convert one process gas into a first plasma,
A set of discharge channels formed through the lower surface of the top plate assembly to provide removal of exhaust gases from the processing region,
A second set of plasma microchambers respectively formed within said one set of discharge channels, and
A second network of gas supply channels configured to flow a second process gas into each of the second set of plasma microchambers, wherein each of the second set of plasma microchambers includes a first set of plasma microchambers, 2. A semiconductor substrate processing system, comprising: a second network of gas supply channels defined to convert process gas to a second plasma.
제 37 항에 있어서,
상기 제 1 세트의 플라즈마 마이크로챔버들은 상기 상단 플레이트 어셈블리의 상기 하부 표면에 걸쳐 균일한 방식으로 상기 제 2 세트의 플라즈마 마이크로챔버들과 산재 (intersperse) 되는, 반도체 기판 프로세싱 시스템.
39. The method of claim 37,
Wherein the first set of plasma microchambers are interspersed with the second set of plasma microchambers in a uniform manner across the bottom surface of the top plate assembly.
제 37 항에 있어서,
상기 제 1 세트의 플라즈마 마이크로챔버들에 제 1 전력을 공급하도록 정의된 제 1 전력 공급부;
상기 가스 공급 채널들의 상기 제 1 네트워크에 제 1 프로세스 가스를 공급하도록 정의된 제 1 프로세스 가스 공급부;
상기 제 2 세트의 플라즈마 마이크로챔버들에 제 2 전력을 공급하도록 정의된 제 2 전력 공급부; 및
상기 가스 공급 채널들의 상기 제 2 네트워크에 제 2 프로세스 가스를 공급하도록 정의된 제 2 프로세스 가스 공급부를 더 포함하는, 반도체 기판 프로세싱 시스템.
39. The method of claim 37,
A first power supply configured to supply a first power to the first set of plasma microchambers;
A first process gas supply configured to supply a first process gas to the first network of gas supply channels;
A second power supply defined to supply a second power to the second set of plasma microchambers; And
Further comprising: a second process gas supply section defined to supply a second process gas to the second network of gas supply channels.
제 39 항에 있어서,
상기 제 1 전력 공급부 및 상기 제 2 전력 공급부는 독립적으로 제어가능하거나, 상기 제 1 프로세스 가스 공급부 및 상기 제 2 프로세스 가스 공급부는 독립적으로 제어가능하거나, 상기 제 1 전력 공급부와 상기 제 2 전력 공급부 및 상기 제 1 프로세스 가스 공급부와 상기 제 2 프로세스 가스 공급부 모두는 독립적으로 제어가능한, 반도체 기판 프로세싱 시스템.
40. The method of claim 39,
The first process gas supply unit and the second process gas supply unit may be independently controllable, or the first power supply unit and the second power supply unit may be independently controllable, or the first process gas supply unit and the second process gas supply unit may be independently controllable, Wherein both the first process gas supply and the second process gas supply are independently controllable.
제 39 항에 있어서,
상기 제 1 세트의 플라즈마 마이크로챔버들에 대해 상기 상단 플레이트 어셈블리 내에 각각 배치된 제 1 세트의 전력 전달 컴포넌트들로서, 상기 제 1 세트의 전력 전달 컴포넌트들의 각각은 상기 제 1 전력 공급부로부터 상기 제 1 전력을 수신하도록 접속되는, 상기 제 1 세트의 전력 전달 컴포넌트들; 및
상기 제 2 세트의 플라즈마 마이크로챔버들에 대해 상기 상단 플레이트 어셈블리 내에 각각 배치된 제 2 세트의 전력 전달 컴포넌트들로서, 상기 제 2 세트의 전력 전달 컴포넌트들의 각각은 상기 제 2 전력 공급부로부터 상기 제 2 전력을 수신하도록 접속되는, 상기 제 2 세트의 전력 전달 컴포넌트들을 더 포함하는, 반도체 기판 프로세싱 시스템.
40. The method of claim 39,
A first set of power transfer components each disposed within the top plate assembly for the first set of plasma microchambers, wherein each of the first set of power transfer components includes a first set of power transfer components The first set of power transfer components connected to receive; And
A second set of power transfer components each disposed within the top plate assembly for the second set of plasma microchambers, each of the second set of power transfer components receiving the second power from the second power supply Said second set of power transfer components being connected to receive said second set of power transfer components.
제 37 항에 있어서,
상기 제 1 세트의 플라즈마 마이크로챔버들 및 상기 제 2 세트의 플라즈마 마이크로챔버들은 동시 방식 또는 펄싱된 방식으로 동작하도록 정의되며,
상기 펄싱된 방식은, 주어진 시간에서 그리고 교번하는 시퀀스로 동작하는 상기 제 1 세트의 플라즈마 마이크로챔버들 또는 상기 제 2 세트의 플라즈마 마이크로챔버들 중 어느 하나를 포함하는, 반도체 기판 프로세싱 시스템.
39. The method of claim 37,
Wherein the first set of plasma microchambers and the second set of plasma microchambers are defined to operate in a synchronous or pulsed manner,
Wherein the pulsed manner comprises either the first set of plasma microchambers or the second set of plasma microchambers operating at a given time and in an alternating sequence.
제 37 항에 있어서,
상기 기판 지지부는, 상기 기판이 지지될 상기 기판 지지부의 상기 상단 표면과 상기 상단 플레이트 어셈블리의 상기 하부 표면 사이에서 수직으로 연장하는 방향으로 이동가능하도록 정의되는, 반도체 기판 프로세싱 시스템.
39. The method of claim 37,
Wherein the substrate support is defined to be movable in a direction that extends vertically between the upper surface of the substrate support to which the substrate is to be supported and the lower surface of the upper plate assembly.
제 37 항에 있어서,
상기 기판 지지부는 상기 기판 지지부와 상기 상단 플레이트 어셈블리의 상기 하부 표면 사이의 상기 프로세싱 영역에 걸쳐 바이어스 전압을 인가하도록 정의된 전극을 포함하는, 반도체 기판 프로세싱 시스템.
39. The method of claim 37,
Wherein the substrate support comprises an electrode defined to apply a bias voltage across the processing region between the substrate support and the lower surface of the top plate assembly.
반도체 기판을 프로세싱하기 위한 방법에 있어서,
프로세싱 영역에 대한 노출부에서 기판 지지부 상에 기판을 배치시키는 단계;
상기 프로세싱 영역에 대한 노출부에서 제 1 세트의 플라즈마 마이크로챔버들을 동작시키는 단계로서, 상기 제 1 세트의 플라즈마 마이크로챔버들의 각각은 제 1 플라즈마를 생성하고 상기 제 1 플라즈마의 반응성 성분들을 상기 프로세싱 영역에 공급하며, 상기 제 1 세트의 플라즈마 마이크로챔버들은 상기 기판 지지부로부터 반대쪽에 있는 상기 프로세싱 영역 위에 위치되는, 상기 제 1 세트의 플라즈마 마이크로챔버들을 동작시키는 단계;
상기 프로세싱 영역에 대한 노출부에서 제 2 세트의 플라즈마 마이크로챔버들을 동작시키는 단계로서, 상기 제 2 세트의 플라즈마 마이크로챔버들의 각각은 제 2 플라즈마를 생성하고 상기 제 2 플라즈마의 반응성 성분들을 상기 프로세싱 영역에 공급하고, 상기 제 2 플라즈마는 상기 제 1 플라즈마와 상이하며, 상기 제 2 세트의 플라즈마 마이크로챔버들은 상기 기판 지지부로부터 반대쪽에 있는 상기 프로세싱 영역 위에 위치되고, 상기 제 1 세트의 플라즈마 마이크로챔버들 사이에서 균일한 방식으로 산재되는, 상기 제 2 세트의 플라즈마 마이크로챔버들을 동작시키는 단계; 및
상기 기판이 위치되는 상기 기판 지지부의 상단 표면으로부터 멀어지고 그리고 상기 상단 표면에 수직한 방향으로 상기 프로세싱 영역으로부터 가스들을 제거하도록 정의된 배출 채널들의 세트를 통해 상기 프로세싱 영역으로부터 배출 가스들을 제거하는 단계를 포함하고,
상기 제 2 세트의 플라즈마 마이크로챔버들은 상기 배출 채널들의 세트 내부에 각각 정의되는, 반도체 기판을 프로세싱하기 위한 방법.
A method for processing a semiconductor substrate,
Disposing a substrate on a substrate support at an exposed portion to a processing region;
Operating a first set of plasma microchambers in an exposure portion for the processing region, wherein each of the first set of plasma microchambers generates a first plasma and applies reactive components of the first plasma to the processing region Wherein the first set of plasma microchambers are located above the processing region opposite from the substrate support, the method comprising: operating the first set of plasma microchambers;
Operating a second set of plasma microchambers at an exposure for the processing region, wherein each of the second set of plasma microchambers generates a second plasma and applies reactive components of the second plasma to the processing region Wherein the second plasma is different from the first plasma and the second set of plasma microchambers are located above the processing region opposite from the substrate support and between the first set of plasma microchambers Operating the second set of plasma microchambers, interspersed in a uniform manner; And
Removing the exhaust gases from the processing region through a set of exhaust channels defined to remove gases from the processing region away from an upper surface of the substrate support where the substrate is located and perpendicular to the upper surface, Including,
Wherein the second set of plasma microchambers are each defined within the set of discharge channels.
제 45 항에 있어서,
상기 제 1 세트의 플라즈마 마이크로챔버들에 제 1 전력을 공급하는 단계;
상기 제 1 세트의 플라즈마 마이크로챔버들에 제 1 프로세스 가스를 공급하는 단계;
상기 제 2 세트의 플라즈마 마이크로챔버들에 제 2 전력을 공급하는 단계; 및
상기 제 2 세트의 플라즈마 마이크로챔버들에 제 2 프로세스 가스를 공급하는 단계를 더 포함하는, 반도체 기판을 프로세싱하기 위한 방법.
46. The method of claim 45,
Supplying a first power to the first set of plasma microchambers;
Supplying a first process gas to the first set of plasma microchambers;
Supplying a second power to the second set of plasma microchambers; And
And supplying a second process gas to the second set of plasma microchambers. ≪ Desc / Clms Page number 21 >
제 46 항에 있어서,
상기 제 1 전력 및 상기 제 2 전력, 또는 상기 제 1 프로세스 가스 및 상기 제 2 프로세스 가스, 또는 상기 제 1 전력과 상기 제 2 전력 및 상기 제 1 프로세스 가스와 상기 제 2 프로세스 가스 양자를 독립적으로 제어하는 단계를 더 포함하는, 반도체 기판을 프로세싱하기 위한 방법.
47. The method of claim 46,
The first power and the second power, or the first process gas and the second process gas, or both the first power and the second power, and both the first process gas and the second process gas independently ≪ / RTI > further comprising the step of:
제 46 항에 있어서,
상기 제 1 전력은 직류 (DC) 전력, 무선주파수 (RF) 전력, 또는 DC 전력 및 RF 전력의 조합 중 어느 하나이고,
상기 제 2 전력은 DC 전력, RF 전력, 또는 DC 전력 및 RF 전력의 조합 중 어느 하나인, 반도체 기판을 프로세싱하기 위한 방법.
47. The method of claim 46,
Wherein the first power is one of direct current (DC) power, radio frequency (RF) power, or a combination of DC power and RF power,
Wherein the second power is one of DC power, RF power, or a combination of DC power and RF power.
제 45 항에 있어서,
라디컬 밀도 대 이온 밀도의 제 1 비율을 갖도록 상기 제 1 플라즈마를 생성하기 위해 상기 제 1 세트의 플라즈마 마이크로챔버들을 동작시키는 단계; 및
라디컬 밀도 대 이온 밀도의 제 2 비율을 갖도록 상기 제 2 플라즈마를 생성하기 위해 상기 제 2 세트의 플라즈마 마이크로챔버들을 동작시키는 단계로서, 상기 제 2 플라즈마에서의 라디컬 밀도 대 이온 밀도의 상기 제 2 비율은 상기 제 1 플라즈마에서의 라디컬 밀도 대 이온 밀도의 상기 제 1 비율과는 상이한, 상기 제 2 세트의 플라즈마 마이크로챔버들을 동작시키는 단계를 더 포함하는, 반도체 기판을 프로세싱하기 위한 방법.
46. The method of claim 45,
Operating the first set of plasma microchambers to produce the first plasma to have a first ratio of the first plasma density to the second plasma density; And
Operating the second set of plasma microchambers to produce the second plasma so as to have a second ratio of the ion density to the second plasma density, Further comprising operating the second set of plasma microchambers wherein the ratio is different from the first ratio of the density of ions to the density of ions in the first plasma.
제 49 항에 있어서,
상기 제 1 플라즈마는 이온 밀도보다 더 높은 라디컬 밀도를 갖고,
상기 제 2 플라즈마는 라디컬 밀도보다 더 높은 이온 밀도를 갖는, 반도체 기판을 프로세싱하기 위한 방법.
50. The method of claim 49,
Wherein the first plasma has a higher density of ions than the ion density,
Wherein the second plasma has a higher ion density than the radical density.
제 45 항에 있어서,
상기 제 1 세트의 플라즈마 마이크로챔버들 및 상기 제 2 세트의 플라즈마 마이크로챔버들은 동시 방식으로 동작되는, 반도체 기판을 프로세싱하기 위한 방법.
46. The method of claim 45,
Wherein the first set of plasma microchambers and the second set of plasma microchambers are operated in a simultaneous manner.
제 45 항에 있어서,
상기 제 1 세트의 플라즈마 마이크로챔버들 및 상기 제 2 세트의 플라즈마 마이크로챔버들은 펄싱된 방식으로 동작되며,
상기 펄싱된 방식은, 주어진 시간에서 그리고 교번하는 시퀀스로 상기 제 1 세트의 플라즈마 마이크로챔버들 또는 상기 제 2 세트의 플라즈마 마이크로챔버들 중 어느 하나의 동작을 포함하는, 반도체 기판을 프로세싱하기 위한 방법.
46. The method of claim 45,
Wherein the first set of plasma microchambers and the second set of plasma microchambers are operated in a pulsed manner,
Wherein the pulsed manner comprises operation of either the first set of plasma microchambers or the second set of plasma microchambers at a given time and in an alternating sequence.
제 45 항에 있어서,
상기 제 1 플라즈마 및 상기 제 2 플라즈마 중 하나 또는 양자로부터 상기 기판을 향해 이온들을 끌어당기기 위하여 상기 기판 지지부로부터 상기 프로세싱 영역에 걸쳐 바이어스 전압을 인가하는 단계를 더 포함하는, 반도체 기판을 프로세싱하기 위한 방법.
46. The method of claim 45,
Further comprising applying a bias voltage across the processing region from the substrate support to attract ions from one or both of the first plasma and the second plasma toward the substrate. .
삭제delete 삭제delete
KR1020137032848A 2011-05-10 2012-05-07 Semiconductor processing system having multiple decoupled plasma sources KR101947844B1 (en)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US13/104,925 US8900403B2 (en) 2011-05-10 2011-05-10 Semiconductor processing system having multiple decoupled plasma sources
US13/104,923 US8900402B2 (en) 2011-05-10 2011-05-10 Semiconductor processing system having multiple decoupled plasma sources
US13/104,925 2011-05-10
US13/104,923 2011-05-10
PCT/US2012/036762 WO2012154666A1 (en) 2011-05-10 2012-05-07 Semiconductor processing system having multiple decoupled plasma sources

Publications (2)

Publication Number Publication Date
KR20140036224A KR20140036224A (en) 2014-03-25
KR101947844B1 true KR101947844B1 (en) 2019-02-13

Family

ID=48137596

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020137032848A KR101947844B1 (en) 2011-05-10 2012-05-07 Semiconductor processing system having multiple decoupled plasma sources

Country Status (4)

Country Link
KR (1) KR101947844B1 (en)
CN (1) CN103748665B (en)
SG (1) SG193614A1 (en)
TW (1) TWI579911B (en)

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6584786B2 (en) * 2015-02-13 2019-10-02 株式会社日立ハイテクノロジーズ Plasma ion source and charged particle beam device
US20170092470A1 (en) * 2015-09-28 2017-03-30 Applied Materials, Inc. Plasma reactor for processing a workpiece with an array of plasma point sources
KR102312821B1 (en) 2016-11-15 2021-10-13 어플라이드 머티어리얼스, 인코포레이티드 Dynamic phased array plasma source for complete plasma coverage of a moving substrate
CN108668422B (en) * 2017-03-30 2021-06-08 北京北方华创微电子装备有限公司 Plasma generating chamber and plasma processing device
PL3686916T3 (en) * 2019-01-25 2021-06-28 Ining S.R.O. Gasification device and plasma shutter with slowing system of the gasification device
KR102610445B1 (en) * 2020-12-08 2023-12-05 세메스 주식회사 Substrate processing apparatus and method using the plasma

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030153177A1 (en) 2002-02-11 2003-08-14 Applied Materials, Inc. Variable flow deposition apparatus and method in semiconductor substrate processing
US20080314523A1 (en) * 2007-06-25 2008-12-25 Tokyo Electron Limited Gas supply mechanism and substrate processing apparatus
US20110005681A1 (en) * 2009-07-08 2011-01-13 Stephen Edward Savas Plasma Generating Units for Processing a Substrate

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6017221A (en) * 1995-12-04 2000-01-25 Flamm; Daniel L. Process depending on plasma discharges sustained by inductive coupling
WO2001052302A1 (en) * 2000-01-10 2001-07-19 Tokyo Electron Limited Segmented electrode assembly and method for plasma processing
US9184043B2 (en) * 2006-05-24 2015-11-10 Lam Research Corporation Edge electrodes with dielectric covers
US20070281105A1 (en) * 2006-06-02 2007-12-06 Nima Mokhlesi Atomic Layer Deposition of Oxides Using Krypton as an Ion Generating Feeding Gas
US8202393B2 (en) * 2007-08-29 2012-06-19 Lam Research Corporation Alternate gas delivery and evacuation system for plasma processing apparatuses
JP4585574B2 (en) * 2008-02-26 2010-11-24 東京エレクトロン株式会社 Plasma processing apparatus and plasma processing method
KR101338629B1 (en) * 2009-01-14 2013-12-06 가부시키가이샤 아루박 Plasma cvd apparatus

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030153177A1 (en) 2002-02-11 2003-08-14 Applied Materials, Inc. Variable flow deposition apparatus and method in semiconductor substrate processing
US20080314523A1 (en) * 2007-06-25 2008-12-25 Tokyo Electron Limited Gas supply mechanism and substrate processing apparatus
US20110005681A1 (en) * 2009-07-08 2011-01-13 Stephen Edward Savas Plasma Generating Units for Processing a Substrate

Also Published As

Publication number Publication date
TW201301388A (en) 2013-01-01
CN103748665A (en) 2014-04-23
KR20140036224A (en) 2014-03-25
SG193614A1 (en) 2013-10-30
CN103748665B (en) 2016-11-02
TWI579911B (en) 2017-04-21

Similar Documents

Publication Publication Date Title
US20180240686A1 (en) Semiconductor Processing System Having Multiple Decoupled Plasma Sources
US8900402B2 (en) Semiconductor processing system having multiple decoupled plasma sources
CN106486335B (en) Plasma etching system and method using secondary plasma implantation
KR102600919B1 (en) Process chamber for cyclic and selective material removal and etching
US8641916B2 (en) Plasma etching apparatus, plasma etching method and storage medium
KR101947844B1 (en) Semiconductor processing system having multiple decoupled plasma sources
KR101083624B1 (en) Segmented radio frequency electrode apparatus and method for uniformity control
EP2911187A1 (en) Etching method
US20130014895A1 (en) Substrate processing apparatus
CN102376521B (en) Plasma processing apparatus and plasma control method
US20120258607A1 (en) E-Beam Enhanced Decoupled Source for Semiconductor Processing
US20120258606A1 (en) E-Beam Enhanced Decoupled Source for Semiconductor Processing
US20120258601A1 (en) E-Beam Enhanced Decoupled Source for Semiconductor Processing
KR20140029441A (en) Multi-frequency hollow cathode and systems implementing the same
KR101900527B1 (en) E-beam enhanced decoupled source for semiconductor processing
KR20140023350A (en) Multi-frequency hollow cathode systems for substrate plasma processing
US10083820B2 (en) Dual-frequency surface wave plasma source
US20140273538A1 (en) Non-ambipolar electric pressure plasma uniformity control
US8980046B2 (en) Semiconductor processing system with source for decoupled ion and radical control
US11417500B2 (en) Plasma processing apparatus and plasma processing method
CN112534552B (en) Plasma processing apparatus
WO2012142038A1 (en) E-beam enhanced decoupled source for semiconductor processing
JP7278896B2 (en) Plasma processing method and plasma processing apparatus

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant