KR20140001829U - Thermal processing apparatus - Google Patents

Thermal processing apparatus Download PDF

Info

Publication number
KR20140001829U
KR20140001829U KR2020130007670U KR20130007670U KR20140001829U KR 20140001829 U KR20140001829 U KR 20140001829U KR 2020130007670 U KR2020130007670 U KR 2020130007670U KR 20130007670 U KR20130007670 U KR 20130007670U KR 20140001829 U KR20140001829 U KR 20140001829U
Authority
KR
South Korea
Prior art keywords
wafer
plate
cooling gas
substrate
temperature
Prior art date
Application number
KR2020130007670U
Other languages
Korean (ko)
Other versions
KR200482870Y1 (en
Inventor
고우이치 미즈나가
가즈히코 오오시마
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20140001829U publication Critical patent/KR20140001829U/en
Application granted granted Critical
Publication of KR200482870Y1 publication Critical patent/KR200482870Y1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

본 고안은, 웨이퍼를 균일하게 냉각시키고, 또한, 기판 처리 장치 전체의 스루풋 저하를 경감하는 온도 조정 플레이트를 구비하는 열처리 장치를 제공하는 것을 과제로 한다.
배치된 기판을 유지하면서 이 기판을 정해진 온도로 열처리하는 온도 조정 플레이트를 구비하는 열처리 장치에 있어서, 온도 조정 플레이트(71)는, 냉각 기체 유로(75)와, 온도 조정 플레이트의 둘레 가장자리부에 형성된 복수의 노치부(71a)와, 상기 복수의 노치부(71a)에는 냉각 기체를 토출하는 냉각 기체 토출구(75b)를 구비한다.
This invention makes it a subject to provide the heat processing apparatus provided with the temperature control plate which cools a wafer uniformly and reduces the throughput fall of the whole substrate processing apparatus.
In the heat treatment apparatus provided with the temperature adjusting plate which heat-processes this board | substrate to a predetermined temperature, maintaining the arrange | positioned board | substrate, the temperature adjusting plate 71 is formed in the circumferential edge part of the cooling gas flow path 75 and a temperature adjusting plate. The plurality of notches 71a and the plurality of notches 71a are provided with cooling gas discharge ports 75b for discharging cooling gas.

Description

열처리 장치{THERMAL PROCESSING APPARATUS}{THERMAL PROCESSING APPARATUS}

본 고안은, 반도체 제조 및 FPD(플랫 패널 디스플레이) 제조 장치 등의 웨이퍼에 레지스트 도포 처리나 현상 처리 등의 액처리를 행하고, 그 처리한 웨이퍼에 대하여 실시되는 열처리에 사용되는 열처리 장치에 관한 것이다. The present invention relates to a heat treatment apparatus used for heat treatment performed on a wafer such as a semiconductor manufacturing and a flat panel display (FPD) manufacturing apparatus, such as a resist coating treatment or a developing treatment, and performed on the processed wafer.

예컨대 반도체 디바이스의 제조에서의 포토레지스트 처리 공정에서는, 반도체 웨이퍼(이하, 「웨이퍼」라고 칭함) 등의 기판의 표면에 레지스트액을 도포하여 레지스트막을 형성하고, 이어서 이 레지스트막 위에 정해진 패턴을 노광한 후, 이 웨이퍼에 현상액을 도포하여 현상 처리하는 것이 행해진다. 이러한 일련의 처리를 행할 때에, 종래부터 레지스트 도포 현상 처리 장치 및 노광 장치가 사용되고 있다. For example, in a photoresist processing step in the manufacture of a semiconductor device, a resist liquid is applied to the surface of a substrate such as a semiconductor wafer (hereinafter referred to as a "wafer") to form a resist film, and then a predetermined pattern is exposed on the resist film. Thereafter, the developer is applied to the wafer and developed. In performing such a series of processes, the resist coating developing apparatus and the exposure apparatus are conventionally used.

이 레지스트 도포 현상 처리 장치는 도포 현상 처리에 필요한 일련의 처리를 개별적으로 행하는 처리 유닛을 구비하고 있다. 도포 처리 유닛은 레지스트액을 도포하고, 현상 처리 유닛은 노광후의 웨이퍼를 현상하는 현상 처리를 행한다. 각 처리 유닛 사이의 웨이퍼의 반송, 및 각 처리 유닛에 대한 웨이퍼의 반입 반출에는, 웨이퍼를 유지한 상태로 각 처리 유닛에 대하여 반송 가능하게 구성되어 있는 기판 반송 장치가 설치되어 있다. 이 중에는 웨이퍼에 열처리를 행하는 열처리 유닛인 예컨대, 레지스트액 도포후의 웨이퍼를 가열하여 레지스트막을 경화하는 경우나, 다른 열처리 유닛은 노광후의 웨이퍼를 정해진 온도로 가열하기 위한 현상 처리 전후의 열처리 유닛을 구비하고 있다. This resist coating and developing apparatus is provided with a processing unit which individually performs a series of processes required for the coating and developing process. The coating processing unit applies a resist liquid, and the developing processing unit performs a developing process of developing a wafer after exposure. In the conveyance of the wafer between each processing unit and the carry-in / out of the wafer with respect to each processing unit, the board | substrate conveying apparatus comprised so that conveyance with respect to each processing unit in the state which hold | maintained the wafer is provided. In this case, for example, a heat treatment unit for performing heat treatment on a wafer, for example, a wafer after application of a resist solution is heated to cure the resist film, and another heat treatment unit is provided with a heat treatment unit for heating the exposed wafer to a predetermined temperature have.

이들 열처리 유닛에는, 기판 반송 장치로부터 열처리 유닛에 웨이퍼를 전달할 때 열처리 유닛 내에 설치되는 냉각 플레이트에 전달한 후에, 이 냉각 플레이트가 열처리부에 웨이퍼를 유지한 채로 이동하여, 열처리부의 플레이트에 웨이퍼를 전달하여 열처리가 행해진다. 즉, 이 냉각 플레이트는 열처리부와의 사이에서 진퇴 이동 가능하게 구성되어 있는 것이 알려져 있다(예컨대, 특허문헌 1 참조). When these wafers are transferred from the substrate transfer device to the thermal processing unit, they are transferred to a cooling plate installed in the thermal processing unit. Then, the cooling plate moves while holding the wafers in the thermal processing unit and transfers wafers to the plates of the thermal processing unit Heat treatment is performed. That is, it is known that this cooling plate is comprised so that forward and backward movement with the heat processing part is possible (for example, refer patent document 1).

최근, 반도체 제조 장치의 생산성을 향상시키는 개량이 행해지고 있는 가운데, 리소그래피 공정에서의 노광 장치의 스루풋도 매시 300장에 달하여, 레지스트 도포 현상 장치도 이 스루풋에 대응시키는 요구가 나오고 있다. 그 중에서, 이 요구에 대하여 레지스트 도포 현상 장치에서는 각종 처리 유닛의 프로세스 시간을 제외한 동작 시간의 단축을 고려할 필요가 요구되고 있다.In recent years, improvements have been made to improve the productivity of semiconductor manufacturing apparatuses, and the throughput of the exposure apparatus in the lithography process has reached 300 sheets per hour, and there is a demand for resist coating and developing apparatuses to cope with this throughput. Among them, in the resist coating and developing apparatus, it is required to consider the reduction of the operation time excluding the process time of various processing units.

열처리 장치도 그 대상 중 하나이며, 특허문헌 1에 기재된 것은, 웨이퍼를 유지한 기판 반송 장치가 전용 전달 기구 등을 통하지 않고, 또한, 기판 반송 장치에 설치된 기판 지지부에 간섭하지 않고 직접 냉각 플레이트에 웨이퍼를 배치할 수 있도록, 냉각 플레이트의 둘레 가장자리에 예컨대 4개소의 노치부를 형성하고 있다.The heat treatment apparatus is also one of the objects of the present invention. The substrate transfer apparatus holding the wafer does not intervene with a dedicated support mechanism or the like, and does not interfere with the substrate support provided in the substrate transfer apparatus, Four notches, for example, are formed on the peripheral edge of the cooling plate so as to be arranged.

일본 특허 공개 제2006-313863호 공보(도 2, 도 4, 도 6)Japanese Patent Laid-Open No. 2006-313863 (Fig. 2, Fig. 4, Fig. 6).

그러나, 상기 특허문헌 1에 기재된 열처리 유닛의 구성에서는, 열판에서 가열 처리된 웨이퍼를 냉각시키기 위해서 이 웨이퍼를 냉각 플레이트 상에 유지했을 때, 노치부 상부에 위치하는 웨이퍼의 영역은 다른 영역에 비교하여 온도가 강하하기 어렵고, 그 결과, 웨이퍼 온도의 면내 균일성이 악화되어, 웨이퍼 상에 형성되는 패턴의 선폭이나 형상 등에 악영향을 미치거나, 기판 처리 장치 전체의 스루풋 저하를 초래한다. However, in the structure of the heat treatment unit described in Patent Document 1, when the wafer is held on the cooling plate so as to cool the wafer subjected to the heat treatment in the heat plate, the area of the wafer located on the upper portion of the notch portion is As a result, the in-plane uniformity of the wafer temperature is deteriorated, adversely affecting the line width and shape of a pattern formed on the wafer, or causing a reduction in throughput of the entire substrate processing apparatus.

본 고안은 상기 사정을 감안하여 이루어진 것으로, 기판을 균일하게 냉각시키고, 또한, 기판 처리 장치 전체의 스루풋 저하를 경감하는 온도 조정 플레이트를 구비하는 열처리 장치를 제공하는 것을 목적으로 한다.SUMMARY OF THE INVENTION The present invention has been made in view of the above circumstances, and it is an object of the present invention to provide a heat treatment apparatus having a temperature regulation plate for uniformly cooling a substrate and reducing the throughput degradation of the entire substrate processing apparatus.

상기 과제를 해결하기 위해, 본 고안은, 배치된 기판을 유지하면서 이 기판을 정해진 온도로 열처리하는 온도 조정 플레이트를 구비하는 열처리 장치로서, 상기 온도 조정 플레이트는, 냉각 기체를 유통시키기 위한 냉각 기체 유로와, 온도 조정 플레이트의 둘레 가장자리부에 형성된 복수의 노치부와, 이 복수의 노치부에 형성되고, 상기 냉각 기체 유로로부터 냉각 기체를 토출하는 냉각 기체 토출구를 구비하는 것을 특징으로 한다. In order to solve the said subject, this invention is a heat processing apparatus provided with the temperature adjusting plate which heat-processes this board | substrate to a predetermined temperature, maintaining the arranged board | substrate, The said temperature adjusting plate is a cooling gas flow path for circulating a cooling gas. A plurality of notched portions formed in the peripheral edge portion of the temperature regulation plate, and a cooling gas discharge port formed in the plurality of notched portions, for discharging the cooling gas from the cooling gas flow path.

본 고안은, 상기 열처리 장치에 있어서, 상기 냉각 기체 유로는 일부가 상기 노치부 근방에 형성되는 것을 특징으로 한다. The present invention is characterized in that, in the heat treatment apparatus, a portion of the cooling gas flow path is formed near the notch portion.

본 고안은, 상기 열처리 장치에 있어서, 상기 온도 조정 플레이트는 스테인레스, 알루미늄, 티탄, 구리, 카본 또는 니켈로 형성되는 것을 특징으로 한다. The present invention is characterized in that in the heat treatment apparatus, the temperature control plate is formed of stainless steel, aluminum, titanium, copper, carbon or nickel.

본 고안은, 상기 열처리 장치에 있어서, 상기 노치부는 상기 온도 조정 플레이트에 배치되는 기판에 대하여 수평이거나 기판 이면을 향해서 냉각 기체가 토출되도록 형성되는 것을 특징으로 한다. The present invention is characterized in that in the above-described heat treatment apparatus, the notch portion is formed so as to be horizontal with respect to the substrate disposed on the temperature regulation plate, or to discharge the cooling gas toward the back surface of the substrate.

본 고안은, 상기 열처리 장치에 있어서, 상기 기판을 유지하면서 이 기판을 가열하는 가열 플레이트와, 상기 온도 조정 플레이트에 배치된 기판을 상기 가열 플레이트에 대하여 반입 및 반출하는 구동 수단을 구비하는 것을 특징으로 한다. The present invention is characterized in that the heat treatment apparatus includes a heating plate for heating the substrate while holding the substrate, and drive means for carrying in and carrying out the substrate disposed on the temperature adjusting plate with respect to the heating plate. do.

본 고안은, 상기 열처리 장치에 있어서, 상기 냉각 기체 토출구는 드라이 에어, N2 또는 He를 토출하는 것을 특징으로 한다. The present invention is characterized in that in the heat treatment apparatus, the cooling gas discharge port discharges dry air, N 2 or He.

본 고안은, 상기 열처리 장치에 있어서, 상기 기판의 온도를 검지하는 검지부와, 이 검지부가 검지한 기판의 온도에 따라서, 토출된 냉각 기체의 토출 정지 타이밍을 제어하는 제어부를 구비하는 것을 특징으로 한다.The present invention is characterized in that the heat treatment apparatus includes a detection unit that detects the temperature of the substrate and a control unit that controls the discharge stop timing of the discharged cooling gas in accordance with the temperature of the substrate detected by the detection unit. .

본 고안에 의하면, 유지한 기판을 정해진 온도로 열처리하는 온도 조절 플레이트는, 냉각 기체 유로를 유통하는 냉각 기체에 의해서 기판을 냉각시키고, 온도 조절 플레이트의 둘레 가장자리부에 형성된 복수의 노치부에 형성된 냉각 기체 토출구로부터 토출하는 냉각 기체에 의해서 냉각되기 때문에, 기판을 균일하게 냉각시키고, 또한, 기판 처리 장치 전체의 스루풋 저하를 경감할 수 있다.According to the present invention, in the temperature control plate for heat-treating the held substrate at a predetermined temperature, the substrate is cooled by the cooling gas flowing through the cooling gas flow path, and cooling Since the substrate is cooled by the cooling gas discharged from the gas discharge port, it is possible to cool the substrate uniformly and to reduce the throughput degradation of the entire substrate processing apparatus.

도 1은 본 고안에 따른 열처리 장치를 적용한 레지스트 도포·현상 처리 장치의 일례를 나타내는 개략 평면도이다.
도 2는 상기 레지스트 도포·현상 처리 장치의 개략 사시도이다.
도 3은 상기 레지스트 도포·현상 처리 장치의 개략도로서, 처리부의 단위 블록만을 평면 상태로 겹쳐서 나타내는 개략 구성도이다.
도 4는 본 고안에서의 처리 블록의 단위 블록(DEV층)을 나타내는 개략 사시도이다.
도 5는 본 고안에서의 기판 수납부를 나타내는 개략 측면도이다.
도 6은 본 고안에서의 처리 블록의 단위 블록(COT층)을 나타내는 개략 평면도이다.
도 7은 본 고안에서의 처리 블록의 처리 유닛의 일례를 나타내는 개략 단면도이다.
도 8은 본 고안에서의 온도 조정 플레이트를 적용한 열처리 유닛의 일례를 나타내는 개략 종단면도이다.
도 9는 본 고안에서의 온도 조정 플레이트를 적용한 열처리 유닛의 일례를 나타내는 개략 평면도이다.
도 10은 본 고안에 따른 온도 조정 플레이트를 적용한 열처리 유닛의 일례를 나타내는 확대 단면도이다.
도 11a는 본 고안에 따른 온도 조정 플레이트에 웨이퍼를 전달하는 메인 아암(A1)의 평면도이다.
도 11b는 상기 메인 아암과 웨이퍼를 나타내는 사시도이다.
도 12는 열처리 유닛을 구성하는 가열 플레이트 및 천판의 주변의 구조를 나타낸 종단면도이다.
도 13a는 본 고안에서의 온도 조정 플레이트의 일부를 단면으로 나타낸 평면도이다.
도 13b는 본 고안에서의 온도 조정 플레이트의 둘레 가장자리부를 나타내는 확대 단면도이다.
도 14는 본 고안에서의 온도 조정 플레이트의 다른 실시예의 둘레 가장자리부를 나타내는 확대 단면도이다.
도 15a는 본 고안에서의 온도 조정 플레이트를 적용한 열처리 유닛의 다른 일례를 나타내는 개략 평면도이다.
도 15b는 본 고안에서의 온도 조정 플레이트를 적용한 열처리 유닛의 다른 일례를 나타내는 개략 단면도이다.
1 is a schematic plan view showing an example of a resist coating and developing apparatus to which the heat treatment apparatus according to the present invention is applied.
2 is a schematic perspective view of the resist coating and developing apparatus.
Fig. 3 is a schematic diagram of the resist coating and developing processing apparatus, in which only a unit block of the processing unit is shown in a planar state.
4 is a schematic perspective view showing a unit block (DEV layer) of a processing block in the present invention.
5 is a schematic side view showing the substrate storage unit in the present invention.
6 is a schematic plan view showing a unit block (COT layer) of a processing block in the present invention.
7 is a schematic cross-sectional view showing an example of a processing unit of a processing block in the present invention.
8 is a schematic longitudinal cross-sectional view showing an example of a heat treatment unit to which a temperature adjusting plate according to the present invention is applied.
9 is a schematic plan view showing an example of a heat treatment unit to which a temperature adjusting plate according to the present invention is applied.
10 is an enlarged cross-sectional view showing an example of a heat treatment unit to which the temperature adjusting plate according to the present invention is applied.
11A is a plan view of the main arm A1 delivering the wafer to the temperature regulation plate according to the present invention.
11B is a perspective view showing the main arm and the wafer.
It is a longitudinal cross-sectional view which shows the structure of the periphery of the heating plate and the top plate which comprise a heat processing unit.
13A is a plan view showing a part of the temperature adjusting plate in the present invention in cross section.
It is an expanded sectional view which shows the peripheral edge part of the temperature control plate in this invention.
14 is an enlarged cross-sectional view showing a circumferential edge of another embodiment of the temperature adjusting plate in the present invention.
15A is a schematic plan view showing another example of the heat treatment unit to which the temperature adjusting plate according to the present invention is applied.
It is a schematic sectional drawing which shows another example of the heat processing unit to which the temperature adjusting plate in this invention is applied.

이하에, 본 고안에 따른 열처리 장치의 실시형태에 관해서 도면을 참조하여 설명한다. 여기서는, 본 고안에 따른 열처리 장치를 레지스트 도포·현상 처리 장치에 적용한 경우에 관해 설명한다. EMBODIMENT OF THE INVENTION Below, embodiment of the heat processing apparatus which concerns on this invention is described with reference to drawings. Here, the case where the heat treatment apparatus according to the present invention is applied to a resist coating and developing treatment apparatus will be described.

상기 레지스트 도포·현상 처리 장치는, 웨이퍼(W)가 예컨대 13장 밀폐 수용된 캐리어(20)를 반입 반출하기 위한 캐리어 블록(S1)과, 복수개 예컨대 5개의 단위 블록(B1∼B5)을 세로로 배열하여 구성된 처리 블록(S2)과, 인터페이스 블록(S3)과, 제2 처리 블록인 노광 장치(S4)를 구비하고 있다. The resist coating and developing apparatus includes a carrier block S1 for carrying in and carrying out a carrier 20 into which the wafer W is hermetically housed, for example, and a plurality of, for example, five unit blocks B1 to B5. And a processing block S2, an interface block S3, and an exposure apparatus S4 which is a second processing block.

상기 캐리어 블록(S1)에는, 복수개(예컨대 4개)의 캐리어(20)를 배치할 수 있는 배치대(21)와, 이 배치대(21)에서 볼 때 전방의 벽면에 설치되는 개폐부(22)와, 개폐부(22)를 통해 캐리어(20)로부터 웨이퍼(W)를 꺼내기 위한 트랜스퍼 아암(C)이 설치되어 있다. 이 트랜스퍼 아암(C)은, 후술하는 선반 유닛(U5)에 설치된 전달 스테이지(TRS1, TRS2)와의 사이에서 웨이퍼(W)를 전달하도록, 수평의 X, Y 방향 및 수직의 Z 방향으로 이동 가능하게, 그리고 수직축 둘레에 회전 가능하게 구성되어 있다. The carrier block S1 is provided with a placement table 21 on which a plurality of (for example, four) carriers 20 can be arranged, an opening / closing section 22 provided on the front wall surface viewed from the placement table 21, And a transfer arm C for taking out the wafer W from the carrier 20 through the opening and closing part 22 are provided. The transfer arm C is movable in the horizontal X, Y direction and the vertical Z direction so as to transfer the wafer W between the transfer stages TRS1 and TRS2 provided in the lathe unit U5 described later. And rotatable around a vertical axis.

캐리어 블록(S1)의 안쪽에는 케이스(24)로 주위가 둘러싸인 처리 블록(S2)이 접속되어 있다. 처리 블록(S2)은, 이 예에서는, 하방측으로부터, 하단측의 2단이 현상 처리를 행하기 위한 제1 및 제2 단위 블록(DEV층)(B1, B2), 레지스트막의 하층측에 형성되는 반사 방지막(이하, 「제1 반사 방지막」이라고 칭함)의 형성 처리를 행하기 위한 제1 반사 방지막 형성용 단위 블록인 제3 단위 블록(BCT층)(B3), 레지스트액의 도포 처리를 행하기 위한 도포막 형성용 단위 블록인 제4 단위 블록(COT층)(B4), 레지스트막의 상층측에 형성되는 반사 방지막(이하, 「제2 반사 방지막」이라고 칭함)의 형성 처리를 행하기 위한 제2 반사 방지막 형성용 단위 블록인 단위 블록(TCT층)(B5)으로서 할당되어 있다. 여기서 상기 DEV층(B1, B2)이 현상 처리용 단위 블록에, BCT층(B3), COT층(B4), TCT층(B5)이 도포막 형성용 단위 블록에 해당한다. Inside the carrier block S1, a processing block S2 surrounded by a case 24 is connected. In this example, the processing block S2 is formed on the lower layer side of the first and second unit blocks (DEV layers) B1 and B2 for performing development processing from the lower side to the lower stage of the second stage. A third unit block (BCT layer) B3, which is a unit block for forming a first antireflection film, for performing the formation process of the antireflection film (hereinafter referred to as a "first antireflection film"), which is to be formed, is applied to a resist liquid. A fourth unit block (COT layer) B4, which is a unit block for forming a coating film, for forming the antireflection film (hereinafter referred to as a "second antireflection film") formed on the upper layer side of the resist film; 2 is assigned as a unit block (TCT layer) B5 which is a unit block for antireflection film formation. The DEV layers B1 and B2 correspond to the developing unit blocks, and the BCT layer B3, COT layer B4, and TCT layer B5 correspond to the unit block for coating film formation.

다음으로, 제1∼제5 단위 블록(B)(B1∼B5)의 구성에 관해 설명한다. 이들 각 단위 블록(B1∼B5)은, 전면측에 배치되고, 웨이퍼(W)에 대하여 약액을 도포하기 위한 액처리 유닛과, 배면측에 배치되고, 상기 액처리 유닛에서 행해지는 처리의 전처리 및 후처리를 행하기 위한 각종 열처리 유닛 등의 처리 유닛과, 전면측에 배치되는 상기 액처리 유닛과 배면측에 배치되는 열처리 유닛 등의 처리 유닛의 사이에서 웨이퍼(W)를 전달하기 위한 전용 기판 반송 수단인 메인 아암(A1, A3∼A5)을 구비하고 있다. Next, the structure of 1st-5th unit block B (B1-B5) is demonstrated. Each of the unit blocks B1 to B5 includes a liquid processing unit disposed on the front side for applying a chemical liquid to the wafer W and a liquid processing unit disposed on the back side of the liquid processing unit for performing pre- A dedicated substrate for transferring the wafer W between a processing unit such as various heat processing units for performing post-processing, and a processing unit such as a heat processing unit disposed on the back side of the liquid processing unit disposed on the front side, The main arms A1 and A3 to A5 which are means are provided.

이들 단위 블록(B1∼B5)은, 이 예에서는, 각 단위 블록(B1∼B5)의 사이에서, 상기 액처리 유닛과, 열처리 유닛 등의 처리 유닛과, 반송 수단의 배치 레이아웃이 동일하게 형성되어 있다. 여기서, 배치 레이아웃이 동일하다는 것은, 각 처리 유닛에서의 웨이퍼(W)를 배치하는 중심, 즉 액처리 유닛에서의 웨이퍼(W)의 유지 수단인 스핀척의 중심이나, 열처리 유닛에서의 가열 플레이트나 냉각 플레이트의 중심이 동일하다는 의미이다. In this example, the unit blocks B1 to B5 have the same layout layout of the liquid processing unit, the processing unit such as the heat treatment unit, and the conveying means, among the unit blocks B1 to B5. have. Here, the same layout layout means the center of the wafer W in each processing unit, that is, the center of the spin chuck which is a holding means of the wafer W in the liquid processing unit, the heating plate and the cooling in the heat treatment unit. It means that the center of the plate is the same.

상기 DEV층(B1, B2)은 동일하게 구성되어 있고, 이 경우 공통으로 구성되어 있다. 이 DEV층(B1, B2)은, 도 1에 나타낸 바와 같이, DEV층(B1, B2)의 거의 중앙에는, DEV층(B1, B2)의 길이 방향(도면의 Y 방향)으로, 캐리어 블록(S1)과 인터페이스 블록(S3)을 접속하기 위한 웨이퍼(W)의 반송 영역(R1)[메인 아암(A1)의 수평 이동 영역]이 형성되어 있다. The DEV layers B1 and B2 are configured in the same manner, and in this case, they are configured in common. As shown in Fig. 1, the DEV layers B1 and B2 are arranged at substantially the center of the DEV layers B1 and B2 in the longitudinal direction (Y direction in the figure) of the DEV layers B1 and B2, S1 and the interface block S3 are formed in the transfer area R1 (horizontal movement area of the main arm A1) of the wafer W for connection to the interface block S3.

캐리어 블록(S1)측에서 본 이 반송 영역(R1)의 양측에는, 앞쪽[캐리어 블록(S1)측]으로부터 안쪽을 향했을 때 우측에, 상기 액처리 유닛으로서, 현상 처리를 행하기 위한 복수개의 현상 처리부를 구비한 현상 유닛(31)이 예컨대 2단 설치되어 있다. 각 단위 블록(B1∼B5)에 있어서, 앞쪽으로부터 안쪽을 향했을 때 좌측에, 순서대로 열처리계의 유닛을 다단화한 예컨대 4개의 선반 유닛(U1, U2, U3, U4)이 설치되어 있고, 이 도면에서는 현상 유닛(31)에서 행해지는 처리의 전처리 및 후처리를 행하기 위한 각종 유닛을 복수단, 예컨대 3단씩 적층한 구성으로 되어 있다. 이와 같은 식으로, 반송 영역(R1)에 의해 현상 유닛(31)과 선반 유닛(U1∼U4)이 구획되어 있고, 반송 영역(R1)에 청정 에어를 분출시켜 배기함으로써, 이 영역 내의 파티클의 부유를 억제하도록 되어 있다. On both sides of this conveyance area R1 seen from the carrier block S1 side, on the right side when it faces inward from the front side (carrier block S1 side), a plurality of liquids for developing processing are performed as the liquid processing unit. The developing unit 31 provided with the developing processing unit is provided, for example, in two stages. Four unit units U1, U2, U3, and U4, for example, each of which is provided with a plurality of units of heat treatment system in order, are provided on the left side of the unit blocks B1 to B5, In this drawing, various units for performing the preprocessing and the post-processing of the processing performed in the developing unit 31 are constituted by stacking a plurality of units, for example, three units. In this way, the developing unit 31 and the lathe units U1 to U4 are partitioned by the carrying region R1 and the clean air is blown into the carrying region R1 and exhausted, It is supposed to suppress.

전술한 전처리 및 후처리를 행하기 위한 각종 유닛 중에는, 예컨대 도 4에 나타낸 바와 같이, 노광후의 웨이퍼(W)를 가열·냉각 처리하는 포스트 익스포져 베이킹 유닛 등으로 불리고 있는 열처리 유닛(PEB1)이나, 현상 처리후의 웨이퍼(W)의 수분을 날리기 위해서 가열 처리하는 포스트 베이킹 유닛 등으로 불리고 있는 열처리 유닛(POST1) 등이 포함되어 있다. 이들 열처리 유닛(PEB1, POST1) 등의 각 처리 유닛은, 각각 처리 용기(51) 내에 수용되어 있고, 선반 유닛(U1∼U4)은 처리 용기(51)가 3단씩 적층되어 구성되고, 각 처리 용기(51)의 반송 영역(R1)을 향하는 면에는 웨이퍼 반출 반입구(52)가 형성되어 있다. 또한, 열처리 유닛(PEB1)에 관한 상세한 구성은 후술한다. As shown in Fig. 4, various units for performing the above-described pre-treatment and post-processing include a heat treatment unit PEB1 called a post-exposure baking unit or the like for heating and cooling the exposed wafer W, And a post-baking unit (POST1), which is called a post-baking unit or the like, for heating the wafer W after the treatment to remove moisture from the wafer W. Each of the processing units such as the heat treatment units PEB1 and POST1 is housed in a processing vessel 51. The shelf units U1 to U4 are constructed by stacking processing vessels 51 in three stages, Wafer carrying in / out port 52 is formed in the surface which faces 51 conveyance area | region R1. In addition, the detailed structure regarding the heat processing unit PEB1 is mentioned later.

상기 반송 영역(R1)에는 상기 메인 아암(A1)이 설치되어 있다. 이 메인 아암(A1)은 상기 DEV층(B1) 내의 모든 모듈[웨이퍼(W)가 배치되는 장소], 예컨대 선반 유닛(U1∼U4)의 각 처리 유닛, 현상 유닛(31), 선반 유닛(U5)의 각 부와의 사이에서 웨이퍼를 전달하도록 구성되어 있고, 이를 위해 수평의 X, Y 방향 및 수직의 Z 방향으로 이동 가능, 수직축 둘레에 회전 가능하게 구성되어 있다. The said main arm A1 is provided in the said conveyance area | region R1. The main arm A1 is connected to each of the processing units of the shelf units U1 to U4, the developing units 31, the shelf units U5 It is configured to transfer the wafer between each part of the), and for this purpose, it is movable in the horizontal X, Y direction and the vertical Z direction, and configured to be rotatable about a vertical axis.

또, 상기 도포막 형성용 단위 블록(B3∼B5)은 모두 동일하게 구성되어 있고, 전술한 현상 처리용 단위 블록(B1, B2)과 동일하게 구성되어 있다. 구체적으로는, COT층(B4)을 예로 하여 도 3, 도 6 및 도 7을 참조하여 설명하면, 액처리 유닛으로서, 웨이퍼(W)에 대하여 레지스트액의 도포 처리를 행하기 위한 도포 유닛(32)이 설치되고, COT층(B4)의 선반 유닛(U1∼U4)에는, 레지스트액 도포후의 웨이퍼(W)를 가열 처리하는 열처리 유닛(CLHP4)이나, 레지스트액과 웨이퍼(W)의 밀착성을 향상시키기 위한 소수화 처리 유닛(ADH)을 구비하고 있고, DEV층(B1, B2)과 동일하게 구성되어 있다. 즉, 도포 유닛(32)과 열처리 유닛(CLHP4) 및 소수화 처리 유닛(ADH)을 메인 아암(A4)의 반송 영역(R4)[메인 아암(A4)의 수평 이동 영역]에 의해서 구획하도록 구성되어 있다. 그리고, 이 COT층(B4)에서는, 메인 아암(A4)에 의해, 선반 유닛(U5)의 냉각 플레이트(CPL3, CPL4)와, 도포 유닛(32)과, 선반 유닛(U1∼U4)의 각 처리 유닛에 대하여 웨이퍼(W)가 전달되도록 되어 있다. 또한, 상기 소수화 처리 유닛(ADH)은 HMDS 분위기 내에서 가스 처리를 행하는 것이지만, 도포막 형성용 단위 블록(B3∼B5) 중 어느 것에나 설치될 수 있다.The above-mentioned coating film forming unit blocks B3 to B5 are all configured identically, and are the same as the above-described developing processing unit blocks B1 and B2. More specifically, referring to Figs. 3, 6 and 7, the COT layer B4 will be described as an example. As the liquid processing unit, a coating unit 32 for applying a resist solution coating process to the wafer W ) Is provided, and the heat treatment unit CLHP4 which heat-processes the wafer W after resist liquid application | coating to the shelf units U1-U4 of the COT layer B4, and improves the adhesiveness of the resist liquid and the wafer W A hydrophobization treatment unit ADH is provided to be configured, and is configured in the same manner as the DEV layers B1 and B2. That is, it is comprised so that the application | coating unit 32, heat processing unit CLHP4, and hydrophobization processing unit ADH may be divided by the conveyance area | region R4 (horizontal movement area | region of main arm A4) of the main arm A4. . And in this COT layer B4, each process of the cooling plates CPL3 and CPL4 of the shelf unit U5, the application | coating unit 32, and the shelf units U1 to U4 is carried out with the main arm A4. The wafer W is delivered to the unit. In addition, although the said hydrophobization processing unit ADH performs gas processing in HMDS atmosphere, it can be provided in any of the unit blocks B3-B5 for coating film formation.

또, BCT층(B3)은, 액처리 유닛으로서, 웨이퍼(W)에 대하여 제1 반사 방지막의 형성 처리를 행하기 위한 제1 반사 방지막 형성 유닛(33)이 설치되고, 선반 유닛(U1∼U4)에는, 반사 방지막 형성 처리후의 웨이퍼(W)를 가열 처리하는 열처리 유닛(CLHP3)을 구비하고 있고, COT층(B4)과 동일하게 구성되어 있다. 즉, 제1 반사 방지막 형성 유닛(33)과 열처리 유닛(CLHP3)을 메인 아암(A3)의 반송 영역(R3)[메인 아암(A3)의 수평 이동 영역]에 의해 구획하도록 구성되어 있다. 그리고, 이 제3 단위 블록(B3)에서는, 메인 아암(A3)에 의해, 선반 유닛(U5)의 전달 스테이지(TRS1)와, 제1 반사 방지막 형성 유닛(33)과, 선반 유닛(U1∼U4)의 각 처리 유닛에 대하여 웨이퍼(W)가 전달되도록 되어 있다. The BCT layer B3 is provided with a first antireflection film forming unit 33 for forming a first antireflection film on the wafer W as a liquid processing unit, ) Is provided with a heat treatment unit CLHP3 for heating the wafer W after the anti-reflection film formation treatment, and is configured in the same manner as the COT layer B4. That is, it is comprised so that the 1st anti-reflection film formation unit 33 and the heat processing unit CLHP3 may be divided by the conveyance area | region R3 (horizontal movement area | region of main arm A3) of the main arm A3. And in this 3rd unit block B3, with the main arm A3, the transmission stage TRS1 of the shelf unit U5, the 1st antireflection film formation unit 33, and the shelf units U1 to U4. Wafer W is transferred to each processing unit of the wafer.

또, TCT층(B5)은, 액처리 유닛으로서, 웨이퍼(W)에 대하여 제2 반사 방지막의 형성 처리를 행하기 위한 제2 반사 방지막 형성 유닛(34)이 설치되고, 선반 유닛(U1∼U4)에는, 반사 방지막 형성 처리후의 웨이퍼(W)를 가열 처리하는 가열 유닛(CLHP5)이나, 둘레 가장자리 노광 장치(WEE)를 구비하고 있는 것 외에는 COT층(B4)과 동일하게 구성되어 있다. 즉, 제2 반사 방지막 형성 유닛(34)과 열처리 유닛(CLHP5) 및 둘레 가장자리 노광 장치(WEE)를 메인 아암(A5)의 반송 영역(R5)[메인 아암(A5)의 수평 이동 영역]에 의해서 구획하도록 구성되어 있다. 그리고, 이 TCT층(B5)에서는, 메인 아암(A5)에 의해, 선반 유닛(U5)의 냉각 플레이트(CPL5, CPL6)와, 제2 반사 방지막 형성 유닛(34)과, 선반 유닛(U1∼U4)의 각 처리 유닛에 대하여 웨이퍼(W)가 전달되도록 되어 있다. In addition, the TCT layer B5 is provided with a second anti-reflection film forming unit 34 for forming a second anti-reflection film on the wafer W as a liquid processing unit, and the shelf units U1 to U4. Is constructed in the same manner as the COT layer B4 except that the heating unit CLHP5 for heating the wafer W after the antireflection film formation treatment and the peripheral edge exposure apparatus WEE are provided. That is, the 2nd anti-reflection film formation unit 34, the heat processing unit CLHP5, and the peripheral edge exposure apparatus WEE are conveyed by the conveyance area | region R5 (horizontal movement area of the main arm A5) of the main arm A5. It is configured to partition. In this TCT layer B5, the cooling plates CPL5 and CPL6 of the shelf unit U5, the second antireflection film forming unit 34, and the shelf units U1 to U4 are provided by the main arm A5. Wafer W is transferred to each processing unit of the wafer.

또, 처리 블록(S2)에는, 선반 유닛(U5)에 설치되고 전달 스테이지(TRS2)와 인터페이스 블록(S3)측의 선반 유닛(U6)에 설치된 전달 스테이지(TRS5)와의 사이에서 웨이퍼(W)를 전달하는 기판 반송 수단인 셔틀 아암(A)이 수평의 Y 방향으로 이동 가능하게 그리고 수직의 Z 방향으로 승강 가능하게 배치되어 있다. The wafer W is placed between the transfer stage TRS2 and the transfer stage TRS5 provided on the shelf unit U6 on the side of the interface block S3 in the processing block S2, The shuttle arm A which is a substrate conveying means to transfer is arrange | positioned so that a movement to a horizontal Y direction is possible, and a lifting and lowering to a vertical Z direction.

또한, 셔틀 아암(A)의 반송 영역과 상기 메인 아암(A1, A3∼A5)의 반송 영역(R1, R3∼R5)은 각각 구획되어 있다. Moreover, the conveyance area | region of the shuttle arm A and the conveyance area | regions R1, R3-R5 of the said main arms A1, A3-A5 are divided, respectively.

또, 처리 블록(S2)과 캐리어 블록(S1) 사이의 영역은 웨이퍼(W)의 전달 영역(R2)으로 되어 있고, 이 영역(R2)에는, 도 1에 나타낸 바와 같이, 트랜스퍼 아암(C)과 메인 아암(A1, A3∼A5), 셔틀 아암(A)이 액세스할 수 있는 위치에 기판 수납부인 선반 유닛(U5)이 설치되고, 이 선반 유닛(U5)에 대하여 웨이퍼(W)를 전달하기 위한 기판 전달 수단을 이루는 전달 아암(D)을 구비하고 있다. 이 경우, 선반 유닛(U5)은 메인 아암(A1, A3∼A5), 셔틀 아암(A)의 진퇴 방향(Y 방향)으로 제1 개구부(11)를 형성하고, 전달 아암(D)의 진퇴 방향(X 방향)으로 제2 개구부(12)를 형성하고 있다. 1, the region between the processing block S2 and the carrier block S1 serves as a transfer region R2 of the wafer W. In the region R2, And a shelf unit U5, which is a substrate storage unit, is provided at a position accessible to the main arms A1, A3 to A5, and the shuttle arm A, and transfers the wafer W to the shelf unit U5. And a transfer arm (D) constituting the substrate transfer means. In this case, the lathe unit U5 forms the first opening 11 in the retracting and retracting directions (Y direction) of the main arms A1, A3 to A5 and the shuttle arm A, The 2nd opening part 12 is formed in (X direction).

또, 상기 선반 유닛(U5)은, 도 3, 도 5 및 도 6에 나타낸 바와 같이 각 단위 블록(B1∼B5)의 메인 아암(A1, A3∼A5) 및 셔틀 아암(A)과의 사이에서 웨이퍼(W)를 전달하도록, 예컨대 2개의 전달 스테이지(TRS1, TRS2)를 구비하고 있고, 또, 단위 블록(B1∼B5)에 대응하기 위해 복수개로 구획된 수납 블록(10a∼10d)을 구비하고, 각 수납 블록(10a∼10d)에, 복수의 배치 선반(13), 및 레지스트 도포전에 웨이퍼(W)를 정해진 온도로 조정하거나, 반사 방지막 형성 처리전에 웨이퍼(W)를 정해진 온도로 조정하거나, 노광 처리후에 가열 처리된 웨이퍼(W)를 정해진 온도로 조정하기 위한 냉각 플레이트(14)(CPL1∼CPL8)를 구비하고 있다. Moreover, the said shelf unit U5 is between main arm A1, A3-A5, and shuttle arm A of each unit block B1-B5, as shown to FIG. 3, FIG. 5, and FIG. For example, two transfer stages TRS1 and TRS2 for transferring the wafer W and a plurality of storage blocks 10a to 10d partitioned to correspond to the unit blocks B1 to B5 It is also possible to adjust the wafer W to a predetermined temperature or to adjust the wafer W to a predetermined temperature before the antireflection film forming process, And a cooling plate 14 (CPL1 to CPL8) for adjusting the temperature of the wafer W subjected to the heat treatment after the exposure processing to a predetermined temperature.

이 경우, 제1 수납 블록(10a)은 제1 및 제2 단위 블록(B1, B2)(DEV층)에 대응하고, 제2 수납 블록(10b)은 제3 단위 블록(B3)(BCT층)에 대응하며, 제3 수납 블록(10c)은 제4 단위 블록(B4)(COT층)에 대응하고, 제4 수납 블록(10d)은 제5 단위 블록(B5)(TCT층)에 대응한다.In this case, the first accommodating block 10a corresponds to the first and second unit blocks B1 and B2 (DEV layer), the second accommodating block 10b corresponds to the third unit block B3 (BCT layer) The third storage block 10c corresponds to the fourth unit block B4 (COT layer), and the fourth storage block 10d corresponds to the fifth unit block B5 (TCT layer).

제1 수납 블록(10a)에 배치되는 냉각 플레이트(14A)(CPL7, CPL8)는 프레임(16)에 가설된 유지판(17) 상에 지지 기둥(도시하지 않음)을 통해 가로로 설치되어 있고, 이 냉각 플레이트(14A)(CPL7, CPL8)는 메인 아암(A1) 또는 전달 아암(D)과의 사이에서 웨이퍼(W)의 전달 기능을 갖고 있다. The cooling plates 14A (CPL7, CPL8) disposed on the first storage block 10a are horizontally installed on the holding plate 17 installed on the frame 16 via support columns (not shown). The cooling plates 14A (CPL7, CPL8) have a transfer function of the wafer W between the main arm A1 or the transfer arm D. FIG.

이하에, 상기 열처리 유닛(PEB1)의 구성에 관해서 도 8 및 도 9를 이용하여 설명한다. 상기 열처리 유닛(PEB1)은 처리 용기인 케이스(60)를 구비하고 있고, 케이스(60)의 측벽에는 웨이퍼(W)의 반송구(61)가 개구되어 있다. 또, 케이스(60) 내에는 케이스(60) 내부를 상방 영역[웨이퍼(W)의 이동 영역]과 하방 영역(바닥 영역)으로 구획하는 바닥판(62)이 설치되어 있다. 또, 반송구(61)로 향하는 측을 앞쪽으로 하면, 바닥판(62)에는 앞쪽으로부터 안쪽(도면의 X 방향)을 향해서 후술하는 온도 조정 기구(70)가 이동하기 위한 개구부(62b)가 형성되어 있고, 또 바닥판(62)의 안쪽에는 후술하는 제1 중간 배기 덕트(63A)를 통해 바닥판(62)의 상방 영역을 배기하기 위한 예컨대 복수의 작은 구멍으로 이루어진 배기구(62a)가 천공되어 있다. Below, the structure of the said heat processing unit PEB1 is demonstrated using FIG. 8 and FIG. The heat treatment unit PEB1 includes a case 60 which is a processing container, and the conveyance port 61 of the wafer W is opened on the sidewall of the case 60. Moreover, in the case 60, the bottom plate 62 which divides the inside of the case 60 into the upper area | region (moving area of the wafer W) and the lower area | region (bottom area) is provided. Moreover, when the side toward the conveyance port 61 is made forward, the bottom plate 62 is provided with the opening part 62b for the temperature adjustment mechanism 70 mentioned later toward the inside (X direction of drawing) to move from front to inside. And an exhaust port 62a made of, for example, a plurality of small holes for exhausting the upper region of the bottom plate 62 through the first intermediate exhaust duct 63A described later is punched in the bottom plate 62 have.

여기서, 열처리 유닛(PEB1) 내에 설치되어 있는 가열 기구(80)에 관해 설명한다. 도 8에 나타낸 바와 같이 바닥판(62)에 있어서 상기 온도 조정 기구(70)의 안쪽에는 예컨대 원형의 구멍이 형성되고, 이 구멍에는 편평한 원통형의 단열체인 가열 플레이트 서포트 부재(81)가 매립되어 있지만, 이 예에서는 상기 구멍의 둘레벽과 이 가열 플레이트 서포트 부재(81)의 측벽의 사이에는 상방 영역을 배기하기 위한 2 ㎜ 정도의 간극이 형성되어 있다. 도 12에 나타낸 바와 같이, 가열 플레이트 서포트 부재(81)의 바닥벽 부분의 내부 및 측벽 부분의 내부에는 진공 영역인 진공층(82)이 형성된 진공 단열 구조로 되어 있지만, 예컨대 중앙부에는 원형상으로 진공층(82)이 형성되어 있고, 그 주위에는 예컨대 후술하는 가스 공급관(83), 가스 배출 구멍(84) 및 후술하는 구멍(85a)을 피하도록 동심원형으로 진공층(82)이 형성된 구조로 되어 있다. Here, the heating mechanism 80 provided in the heat processing unit PEB1 is demonstrated. As shown in Fig. 8, in the bottom plate 62, for example, a circular hole is formed inside the temperature adjusting mechanism 70, and the heating plate support member 81, which is a flat cylindrical insulator, is embedded in the hole. In this example, a gap of about 2 mm for exhausting the upper region is formed between the circumferential wall of the hole and the side wall of the heating plate support member 81. As shown in Fig. 12, the vacuum insulation structure in which the vacuum layer 82, which is a vacuum region, is formed in the inside of the bottom wall portion and the side wall portion of the heating plate support member 81 is formed. And a vacuum layer 82 is formed concentrically around the periphery of the layer 82 so as to avoid a gas supply pipe 83, a gas discharge hole 84 and a hole 85a described later have.

도면 중 부호 86은 가열 플레이트 서포트 부재(81)를 케이스(60)의 바닥면으로 지지하는 지지 기둥이며, 도면 중 부호 87은 가열 플레이트 서포트 부재(81)의 내측 둘레에 설치된 링형의 지지 부재이다. 지지 부재(87)는 예컨대 내열 수지나 세라믹에 의해 구성되는 단열 링(87a)을 통해 원판형의 가열 플레이트(88)를 지지하고 있다. 가열 플레이트(88)는 웨이퍼(W)의 표면 전체를 커버하는 크기를 갖고 있고, 또 가열 플레이트 서포트 부재(81) 내에 들어가도록 배치되어 있다. 이와 같이 가열 플레이트(88) 및 가열 플레이트 서포트 부재(81)를 구성하는 이유는 가열 플레이트(88)의 방열을 억제하여, 가열 플레이트(88)를 가열하기 위한 소비 전력을 억제하기 위해서이다. In the figure, reference numeral 86 denotes a support column that supports the heating plate support member 81 to the bottom surface of the case 60, and reference numeral 87 in the figure denotes a ring-shaped support member provided around the inner circumference of the heating plate support member 81. The support member 87 supports the disk-shaped heating plate 88 via a heat insulating ring 87a made of, for example, a heat resistant resin or a ceramic. The heating plate 88 has a size covering the entire surface of the wafer W and is disposed so as to enter the heating plate support member 81. The reason why the heating plate 88 and the heating plate support member 81 are configured as described above is to suppress the heat radiation of the heating plate 88 and to suppress the power consumption for heating the heating plate 88. [

도 12에 나타낸 바와 같이, 전력 공급부(90)가 가열 플레이트(88)의 예컨대 하면에 설치된 도시하지 않은 복수의 히터에 접속되어 있고, 또, 제어부(91)가 가열 플레이트(88)의 예컨대 하면에 복수개 설치된 도시하지 않은 감온 센서에 접속되어 있다. 이들 전력 공급부(90) 및 제어부(91)는 서로 전기적으로 접속되어 있고, 가열 플레이트(88)의 온도를 이 감온 센서가 검지하여, 온도 데이터로서 제어부(91)에 출력한다. 제어부(91)는 이 온도 데이터에 기초하여 전력 공급부(90)를 통해 가열 플레이트(88)의 발열량을 제어한다. As shown in FIG. 12, the power supply unit 90 is connected to a plurality of heaters (not shown) provided on, for example, the lower surface of the heating plate 88, and the control unit 91 is connected to, for example, the lower surface of the heating plate 88. It is connected to the temperature sensor which is not shown in figure which was provided in multiple numbers. These electric power supply parts 90 and the control part 91 are electrically connected with each other, this temperature sensor detects the temperature of the heating plate 88, and outputs it to the control part 91 as temperature data. The control unit 91 controls the amount of heat generated by the heating plate 88 through the power supply unit 90 based on the temperature data.

도 12 중 부호 88a는 가열 플레이트(88) 상에 형성된 웨이퍼(W)의 이면을 지지하는 돌기부이며, 이 예에서는 가열 플레이트(88)의 둘레 방향을 따라서 4개의 돌기부(88a)가 형성되어 있다. 도 12 중 부호 85a, 85b는 가열 플레이트 서포트 부재(81), 가열 플레이트(88)의 각각의 중앙부에 둘레 방향으로 천공된 구멍이며, 이들 구멍(85a, 85b)을 통해 가열 플레이트 서포트 부재(81)의 하방에 설치된 승강 기구(89)에 접속되어 있는 지지핀(89a)이 수직 방향으로 승강하여, 가열 플레이트(88) 상에 출몰할 수 있도록 되어 있다. 또한 도 12 중 부호 85c는 지지핀(89a)이 수직으로 출몰하기 위한 통형 가이드이다. In Fig. 12, reference numeral 88a denotes a protrusion supporting the rear surface of the wafer W formed on the heating plate 88. In this example, four protrusions 88a are formed along the circumferential direction of the heating plate 88. In Fig. 12, reference numerals 85a and 85b denote holes formed in the central portions of the heating plate support member 81 and the heating plate 88 in the circumferential direction, and the heating plate support member 81 is provided through these holes 85a and 85b. The support pins 89a connected to the lifting mechanism 89 provided below the lifting mechanism 89 can vertically move up and down on the heating plate 88. [ In addition, the code | symbol 85c in FIG. 12 is a cylindrical guide for the support pin 89a to penetrate vertically.

그런데, 단열 링(87a), 가열 플레이트(88) 및 가열 플레이트 서포트 부재(81)에 의해 둘러싸인 영역을 가스 유통부(8A)라 하면, 가열 플레이트 서포트 부재(81)에는 예컨대 복수 개소에 복수의 가스 공급관(83)의 일단이 관통하여 상기 가스 유통부(8A)에 개구되어 있다. 가스 공급관(83)의 타단은 가열 플레이트(88)의 냉각용 기체인 퍼지용 가스, 예컨대 N2 가스 등의 불활성 가스가 저장되어 있는 가스 공급원(92a)에 접속되어 있다. 또, 가스 유통부(8A)에 연통하는 가스 배출 구멍(84)이 예컨대 가열 플레이트 서포트 부재(81)의 복수 개소에 천공되어 있고, 가스 공급관(83)을 통해 가스 공급원(92a)으로부터 가스 유통부(8A)에 퍼지용 가스가 공급되면, 이 퍼지용 가스는 도시하지 않은 복수의 히터 및 이 히터에 의해 가온된 가열 플레이트(88)의 열을 탈취하여, 가스 배출 구멍(84)을 통해 가스 유통부(8A)의 외부로 유통하도록 되어 있다. 이 퍼지용 가스의 유통은 가열 플레이트(88)의 온도를 낮추기 위해서 행해진다. By the way, if the area | region enclosed by the heat insulation ring 87a, the heating plate 88, and the heating plate support member 81 is gas distribution part 8A, the heating plate support member 81 will be provided with several gas, for example in several places. One end of the supply pipe 83 penetrates and is opened to the gas distribution part 8A. The other end of the gas supply pipe 83 is connected to a gas supply source 92a in which an inert gas such as a purge gas, for example, N 2 gas, which is a gas for cooling the heating plate 88 is stored. Moreover, the gas discharge hole 84 which communicates with 8 A of gas distribution parts is perforated at the several places of the heating plate support member 81, for example, and the gas distribution part from the gas supply source 92a via the gas supply pipe 83 is carried out. When the purge gas is supplied to 8A, the purge gas deodorizes heat of a plurality of heaters (not shown) and the heating plate 88 heated by the heater, and distributes the gas through the gas discharge hole 84. It distributes to the exterior of the part 8A. Distribution of this purge gas is performed in order to lower the temperature of the heating plate 88.

가열 플레이트 서포트 부재(81)의 상측 단부에는 예컨대 4개의 지지 기둥(93)이 간격을 두고 설치되고, 지지 기둥(93)의 상부에는 예컨대 원형상으로 형성된 정류판인 천판(94)의 둘레 가장자리부가 접속되어 있다. 천판(94)은 웨이퍼(W)의 피가열 처리 영역(반도체 디바이스 등의 유효 영역)을 커버하는 크기, 이 예에서는 상기 가열 플레이트(88)를 커버하는 크기를 갖고 있고, 가열 플레이트(88)와 대향하도록 설치되어 있다. 천판(94)의 중앙 하부에는 흡인 배기구(94a)가 하방으로 향할수록 직경이 확장되도록 개구되어 있고, 이 흡인 배기구(94a)는 천판(94)의 상부에 접속된 기류 형성용 배기로인 배기 덕트(94b)와 연통하고, 배기 덕트(94b)의 하류측 단부는 후술하는 제2 중간 배기 덕트(63B)에 접속되어 있다. 후술하는 바와 같이 흡인 배기구(94a)를 통해 천판(94)의 주위의 배기가 행해지면, 가열 플레이트(88)에 배치된 웨이퍼(W)의 외측 둘레로부터 중앙을 향하는 기류를 형성할 수 있도록 천판(94)이 구성되어 있다. At the upper end of the heating plate support member 81, for example, four support pillars 93 are provided at intervals, and at the upper portion of the support pillar 93, the peripheral edge portion of the top plate 94, which is a rectifying plate formed in a circular shape, for example, is provided. Connected. The top plate 94 has a size to cover the region to be heated (effective area such as a semiconductor device) of the wafer W, in this example, to cover the heating plate 88, and the heating plate 88 and It is installed to face each other. The top end of the top plate 94 is opened to extend its diameter so that the suction exhaust port 94a is directed downward. The suction exhaust port 94a is connected to the exhaust duct 94, which is connected to the top of the top plate 94, And the downstream end of the exhaust duct 94b is connected to the second intermediate exhaust duct 63B described later. When the air around the top plate 94 is exhausted through the suction exhaust port 94a as will be described later, the top plate can be formed to form an air flow toward the center from the outer circumference of the wafer W disposed on the heating plate 88. 94 is configured.

또, 천판(94)의 내부에는 상기 흡인 배기구(94a)의 주위로부터 천판(94)의 단부로 갈수록 넓어지는 진공층(95)이 형성되고, 천판(94)은 진공 단열 구조로 되어 있으며, 천판(94)의 하면은 웨이퍼(W)의 가열시에 가열 플레이트(88)의 열복사를 받음으로써 그 온도가 웨이퍼(W)의 가열 온도에 가까운 온도에 따르도록 되어 있다. 천판(94)이 이와 같이 구성됨으로써, 후술하는 가열시에 웨이퍼(W)의 상면을 통과하는 기류가 냉각되어 난류가 되는 것이 억제된다. 또한 「웨이퍼(W)의 가열 온도」란, 웨이퍼(W)의 가열 처리시의 웨이퍼의 온도이다. 가열 플레이트(88)와 천판(94)의 간격은 예컨대 12∼13 mm로 하는 것이 바람직하다. 상기 간격이 이 범위보다 작으면 후술하는 온도 조정 플레이트(71)가 이동할 때에 천판(94) 또는 가열 플레이트(88)에 간섭할 우려가 있고, 이 범위보다 크면 웨이퍼(W)의 가열시에 천판(94)의 하면이 충분히 가열되지 않을 우려가 있다. Moreover, inside the top plate 94, the vacuum layer 95 which becomes wider from the circumference | surroundings of the said suction exhaust port 94a toward the edge part of the top plate 94 is formed, and the top plate 94 has a vacuum heat insulation structure, and the top plate The lower surface of 94 receives heat radiation of the heating plate 88 at the time of heating the wafer W, so that the temperature thereof corresponds to a temperature close to the heating temperature of the wafer W. As shown in FIG. As the top plate 94 is configured in this manner, the airflow passing through the upper surface of the wafer W during cooling, which will be described later, is suppressed from becoming turbulent. In addition, "the heating temperature of the wafer W" is the temperature of the wafer at the time of the heat processing of the wafer W. FIG. It is preferable that the space | interval of the heating plate 88 and the top plate 94 shall be 12-13 mm, for example. If the interval is smaller than this range, there is a fear that the top plate 94 or the heating plate 88 will interfere with the movement of the temperature adjusting plate 71, which will be described later. The lower surface of 94) may not be heated sufficiently.

가열 플레이트(88)의 더 안쪽의 바닥판(62)의 하방 영역에는, 예컨대 도면에서의 Y 방향을 따라서 케이스(60)의 측벽을 관통하도록 제1 중간 배기 덕트(63A)가 설치되어 있다. 이 제1 중간 배기 덕트(63A)의 내부에는 이 제1 중간 배기 덕트(63A)의 신장 방향을 따라서 배기 공간이 형성되어 있고, 또 바닥판(62)의 하방 영역에 면하도록 흡인구(63a)가 형성되어 있다. 또한 도 9에 나타낸 바와 같이 예컨대 제1 중간 배기 덕트(63A)와 배기 덕트(94b)가 접속된 제2 중간 배기 덕트(63B)는 제1 중간 배기 덕트(63A)와 평행하게 배치되어 있고, 또, 그 단부는 공장 배기로에 접속되므로, 예컨대 공장 배기용의 용력에 의해 케이스(60) 내의 배기가 행해지도록 되어 있다. A first intermediate exhaust duct 63A is provided in the lower area of the further inner bottom plate 62 of the heating plate 88 so as to pass through the side wall of the case 60 along the Y direction in the drawing. An exhaust space is formed in the interior of the first intermediate exhaust duct 63A along the extending direction of the first intermediate exhaust duct 63A and faces the lower region of the bottom plate 62. Is formed. 9, the second intermediate exhaust duct 63B, to which the first intermediate exhaust duct 63A and the exhaust duct 94b are connected, is disposed in parallel with the first intermediate exhaust duct 63A, , And the end portion thereof is connected to the factory exhaust path, so that the exhaust in the case 60 is performed by the power for factory exhaust, for example.

다음으로, 상기 온도 조정 기구(70)의 개략에 관해서 도 10을 이용하여 설명하면, 온도 조정 기구(70)는 가열 플레이트(88)와 상기 열처리 유닛(PEB1)의 밖의 반송 기구·메인 아암(A1)의 사이에서 웨이퍼(W)를 전달하는 역할 및 웨이퍼(W)의 온도를 조정하는 역할을 갖고 있고, 연결 브래킷(72) 및 온도 조정 플레이트(71)에 의해 구성되어 있다. 연결 브래킷(72)은 예컨대 열전도성이 좋은 구리나 알루미늄으로 구성되고, 연결 브래킷(72)이 상기 개구부(62b) 안을 이동하도록 설치되어 있고, 예컨대 그 하측 단부에는 레일 브래킷(73a)이 접속되어 있다. 연결 브래킷(72)은 이 레일 브래킷(73a)을 통해 도면의 X 방향으로 신장된 가이드 레일(73b)을 따라서 이동할 수 있도록 구성되어 있다. 또, 연결 브래킷(72)의 측부는 바닥판(62)의 하방 영역에 설치된 예컨대 볼나사 기구 혹은 에어 실린더 등을 포함하는 구동 기구(73c)에 접속되어 있고, 이 구동 기구(73c)에 의해서 온도 조정 기구(70)는 상기 가이드 레일(73b)을 따라서 X축 방향으로 이동 가능하게 구성되어 있다. Next, the outline of the temperature adjusting mechanism 70 will be described with reference to Fig. 10. The temperature adjusting mechanism 70 includes a heating plate 88 and a transport mechanism outside the heat processing unit PEB1, a main arm A1 The wafer W has a role of transferring the wafer W and a temperature of the wafer W, and is constituted by the connecting bracket 72 and the temperature adjusting plate 71. The connecting bracket 72 is made of, for example, copper or aluminum having good thermal conductivity, and a connecting bracket 72 is provided to move in the opening 62b. For example, a rail bracket 73a is connected to the lower end of the connecting bracket 72 . The connecting bracket 72 is configured to be movable along the guide rail 73b extending in the X direction through the rail bracket 73a. The side portion of the connection bracket 72 is connected to a drive mechanism 73c including a ball screw mechanism or an air cylinder provided in a region below the bottom plate 62. By this drive mechanism 73c, The adjustment mechanism 70 is comprised so that the movement to the X-axis direction along the said guide rail 73b is possible.

다음으로, 온도 조정 플레이트(71)에 웨이퍼(W)를 전달하는 반송 기구에 관해 설명한다. 이 메인 아암(A1)은, 예컨대 도 11a에 나타낸 바와 같은 수평한 말굽형상의 포크(A1a)와, 포크(A1a)를 지지하는 베이스(A1b)를 갖고 있다. 포크(A1a)의 내측 둘레의 크기는 온도 조정 플레이트(71)의 직경보다 약간 크게 형성되어 있고, 이 내측 둘레에서의 하부에는 내측으로 향하는 4개의 돌기(A1c)가 형성되고, 도 11b에 나타낸 바와 같이 이들 돌기(A1c) 상에 웨이퍼(W)가 유지된다. 포크(A1a)는 예컨대 도시하지 않은 모터에 의해 베이스(A1b)를 통해 승강 가능하고 또한 진퇴 가능하게 구성되고, 온도 조정 기구(70)에 웨이퍼(W)를 전달할 때에는 웨이퍼(W)를 유지한 포크(A1a)가 상기 반송구(61)를 통해 케이스(60) 내에 진입한다. 여기서 온도 조정 플레이트(71) 둘레 가장자리의 노치부(71a)는, 각각 포크(A1a)의 돌기(A1c)와 대응하는 위치에 형성되어 있기 때문에, 포크가 도 11a에 나타낸 바와 같이 온도 조정 플레이트(71)에 대하여 상방으로부터 덮어 씌워지도록 하강함으로써, 포크(A1a)가 온도 조정 플레이트(71)의 하방측을 통과하여, 포크(A1a) 상의 웨이퍼(W)가 온도 조정 플레이트(71)에 전달된다. 웨이퍼(W)를 전달한 포크(A1a)는 전방의 노치부(71a)가 연결 브래킷(72)을 빠져 나가도록 앞쪽으로 후퇴하여 케이스(60) 안으로부터 퇴거한다. Next, the conveyance mechanism which delivers the wafer W to the temperature adjustment plate 71 is demonstrated. The main arm A1 has, for example, a horizontal horseshoe shaped fork A1a as shown in Fig. 11A and a base A1b for supporting the fork A1a. The inner periphery of the fork A1a is formed to be slightly larger than the diameter of the temperature regulating plate 71. Four inwardly projecting protrusions A1c are formed in the lower portion of the inner periphery of the fork A1a, The wafer W is held on these protrusions A1c as well. The fork A1a is configured to be liftable and retractable through the base A1b by, for example, a motor not shown, and to hold the wafer W when transferring the wafer W to the temperature adjusting mechanism 70. A1a enters the case 60 through the conveyance port 61. Since the notch part 71a of the circumferential edge of the temperature adjusting plate 71 is formed in the position corresponding to the protrusion A1c of the fork A1a, respectively, the fork is a temperature adjusting plate 71 as shown in FIG. 11A here. ), The fork A1a passes through the lower side of the temperature adjusting plate 71, so that the wafer W on the fork A1a is transferred to the temperature adjusting plate 71. The fork A1a for transferring the wafer W retreats forward from the inside of the case 60 so that the notched portion 71a at the front thereof can escape from the connecting bracket 72. [

여기서 온도 조정 플레이트(71)의 상세한 구성에 관해서, 도 13a, 도 13b를 이용하여 설명한다. 도 13b에 나타낸 바와 같이 온도 조정 플레이트(71)는, 상부 플레이트(71b) 및 하부 플레이트(71c)로 구성되어 있고, 상부 플레이트(71b)와 하부 플레이트(71c)는 예컨대 접착재, 납 또는 나사 등으로 접합되어 있다. 또, 도 13a에 나타낸 바와 같이 둘레 가장자리부에는 노치부(71a)가 형성되고, 내부에는 냉각수를 유통시키기 위한 냉각수 유로(74) 및 냉각 기체를 유통시키기 위한 냉각 기체 유로(75)가 형성되어 있다. 냉각수 유로(74)의 일단은 냉각수 공급구(74a)를 통해 도시하지 않은 냉각수 공급원에 접속되어 있고, 타단은 냉각수 배출 구멍(74b)을 통해 도시하지 않은 냉각수 배출관에 접속되어 있다. 냉각 기체 유로(75)는 도시하지 않은 냉각 기체 공급원으로부터 냉각 기체 공급구(75a)를 통해 냉각 기체가 공급된다. 또, 냉각 기체 유로(75) 안에 흐르는 냉각 기체가 온도 조정 플레이트(71) 밖으로 토출되도록 온도 조정 플레이트(71) 측부이자 노치부(71a)에 대응하는 개소에는 냉각 기체 토출구(75b)가 형성되어 있다(도 13b 참조). 이 냉각 기체 토출구(75b)의 각도는 도 13b에 나타낸 바와 같이 냉각 효과를 고려하여, 온도 조정 플레이트(71) 상에 배치되는 웨이퍼(W)에 대하여 수평이거나 웨이퍼 이면을 향해서 냉각 기체가 토출되도록 형성되는 것이 바람직하다. 또, 상부 플레이트(71b)와 하부 플레이트(71c)는 예컨대 스테인레스, 알루미늄, 티탄, 구리, 카본 또는 니켈로 형성되고, 열에 의한 변형을 고려하여 동일한 소재로 구성되는 것이 바람직하다. 또한, 도 13a 중 부호 71d는 지지핀(89a)이 통과하기 위한 가이드 홈이다. Here, the detailed structure of the temperature adjustment plate 71 is demonstrated using FIG. 13A and FIG. 13B. As shown in FIG. 13B, the temperature adjusting plate 71 is composed of an upper plate 71b and a lower plate 71c, and the upper plate 71b and the lower plate 71c are made of, for example, an adhesive, lead or screws. It is joined. 13A, a notch 71a is formed in the peripheral portion, and a cooling water passage 74 for circulating cooling water and a cooling gas passage 75 for circulating the cooling gas are formed inside the peripheral portion . One end of the cooling water flow path 74 is connected to a cooling water supply source (not shown) through a cooling water supply port 74a, and the other end is connected to a cooling water discharge pipe (not shown) through a cooling water discharge hole 74b. The cooling gas flow path 75 is supplied with cooling gas from the cooling gas supply source which is not shown through the cooling gas supply port 75a. Moreover, the cooling gas discharge port 75b is formed in the position corresponding to the temperature control plate 71 side and the notch part 71a so that the cooling gas which flows into the cooling gas flow path 75 may be discharged out of the temperature control plate 71. FIG. (See FIG. 13B). The angle of the cooling gas outlet 75b is set so as to be horizontal with respect to the wafer W placed on the temperature adjusting plate 71 or to discharge the cooling gas toward the back surface of the wafer in consideration of the cooling effect, It is preferable to be. In addition, the upper plate 71b and the lower plate 71c are formed of, for example, stainless steel, aluminum, titanium, copper, carbon or nickel, and are preferably made of the same material in consideration of deformation due to heat. In addition, reference numeral 71d in FIG. 13A denotes a guide groove through which the support pin 89a passes.

또한, 냉각 기체 유로(75)는 일부가 노치부(71a) 근방을 통과하도록만 형성되어 있으면 되고, 노치부(71a)를 제외한 온도 조정 플레이트(71)의 둘레 가장자리부를 따르도록 형성할 필요는 없다. 또, 온도 조정 플레이트(71)는 도 14에 나타낸 바와 같이 둘레 가장자리부 측면에 홈(76a)이 형성된 1장의 판형 부재(76)로 구성해도 좋다. 이 경우, 홈(76a)에 예컨대 수지제의 튜브(77)를 매립하고, 튜브(77)에 있어서, 노치부(71a)에 위치하는 개소에 형성된 냉각 기체 토출구(75b)로부터 냉각 기체를 공급하는 구성으로 해도 좋다. 또, 냉각 기체 유로(75)를 형성하는 대신, 도 15에 나타낸 바와 같이, 받침판(61)에 냉각 기체를 토출하는 기체 토출 노즐(75c)을 노치부(71a)에 위치하는 개소에 각각 설치하고, 온도 조정 플레이트(71)가 홈(home) 위치(도 8의 좌측 단부 위치)로 후퇴했을 때, 기체 토출 노즐(75c)로부터 냉각 기체를 웨이퍼(W)의 이면을 향해서 공급하는 구성이어도 좋다. 이에 따라 온도 조정 플레이트 내부에 냉각 기체 유로(75)를 형성할 필요가 없기 때문에 구조가 간단해진다.It is not necessary to form the cooling gas flow path 75 so as to partially pass through the vicinity of the notch portion 71a and to follow the circumferential edge portion of the temperature adjusting plate 71 excluding the notch portion 71a . In addition, as shown in FIG. 14, the temperature adjustment plate 71 may be comprised by the one plate member 76 by which the groove | channel 76a was formed in the peripheral edge side surface. In this case, for example, a tube 77 made of resin is embedded in the groove 76a, and the cooling gas is supplied from the cooling gas discharge port 75b formed at a position located in the notch portion 71a in the tube 77. It is good also as a structure. 15, a gas discharge nozzle 75c for discharging the cooling gas to the support plate 61 is provided at each of the positions located in the notch 71a, as shown in Fig. 15 When the temperature adjustment plate 71 is retracted to the home position (left end position in FIG. 8), a configuration may be provided in which cooling gas is supplied from the gas discharge nozzle 75c toward the rear surface of the wafer W. As shown in FIG. Thereby, since the cooling gas flow path 75 does not need to be formed inside a temperature adjusting plate, a structure becomes simple.

다음으로, 열처리 유닛(PEB1)의 작용에 관해 설명한다. 이미 설명한 메인 아암(A1)에 의해, 표면에 레지스트액이 도포된 웨이퍼(W)가 반송구(61)를 통해 케이스(60) 내에 반입되고, 이미 설명한 바와 같이 웨이퍼(W)는 온도 조정 플레이트(71)에 전달되면 메인 아암(A1)은 케이스(60) 안으로부터 퇴거한다. 한편, 온도 조정 기구(70)가 가열 플레이트(88)를 향해서 이동할 때까지 가열 플레이트(88)의 표면은 도시하지 않은 복수의 히터에 의해 미리 설정된 온도, 예컨대 130℃로 가열되어 있고, 가열 플레이트(88)의 열복사에 의해 천판(94)의 하면이 가열되어 있다. Next, the operation of the heat treatment unit PEB1 will be described. By the main arm A1 described above, the wafer W coated with the resist liquid on the surface is carried into the case 60 through the transfer port 61, and as described above, the wafer W is loaded with a temperature adjusting plate ( When delivered to 71, the main arm A1 retires from inside the case 60. On the other hand, the surface of the heating plate 88 is heated to a temperature preset by a plurality of heaters (not shown), for example, 130 ° C, until the temperature adjusting mechanism 70 moves toward the heating plate 88, and the heating plate ( The lower surface of the top plate 94 is heated by thermal radiation of 88.

웨이퍼(W)를 유지한 온도 조정 플레이트(71)가 가열 플레이트(88) 위로 이동하면, 지지핀(89a)이 상승하여, 온도 조정 플레이트(71)에 배치된 웨이퍼(W)의 이면을 지지한다. 그리고 온도 조정 플레이트(71)가 홈(home) 위치로 후퇴하고 지지핀(89a)이 하강하여, 가열 플레이트(88)의 돌기부(88a) 상에 웨이퍼(W)가 전달되어 가열된다. When the temperature adjusting plate 71 holding the wafer W moves over the heating plate 88, the support pins 89a are raised to support the back surface of the wafer W disposed on the temperature adjusting plate 71. . Then, the temperature adjusting plate 71 retreats to the home position, the support pin 89a is lowered, and the wafer W is transferred and heated on the protrusion 88a of the heating plate 88.

또한 웨이퍼(W)의 가열시에는 이미 설명한 바와 같이 케이스(60) 안이 배기되었기 때문에 천판(94)과 가열 플레이트(88) 사이로 외기가 유입되고, 천판(94)과 가열 플레이트(88)에 의해 기류가 규제 정류됨으로써, 웨이퍼(W)의 외측 둘레로부터 중앙을 향하는 기류가 형성된다. 이 때문에 웨이퍼(W)에 도포된 레지스트액은 가열 플레이트(88)의 열에 의해 용제가 증발하고 레지스트 성분의 일부가 승화하여, 이들 용제 증기와 승화 성분이 흡인 배기구(94a)에 흡입된다. 또한 이미 설명한 바와 같이 바닥판(62)의 하방 영역이 상방 영역에 비해 부압 분위기가 됨으로써, 배기구(62a)를 통해 상방 영역으로부터 하방 영역으로 유입되는 기류가 형성되고, 웨이퍼(W)의 주위로부터 비산된 용제 증기와 승화 성분이 이 기류를 타고 하방 영역으로 유입되어, 제1 중간 배기 덕트(63A)의 흡인구(63a)에 흡입된다. 이런 식으로 레지스트액이 건조되어, 웨이퍼(W)에 레지스트막이 형성된다. At the time of heating the wafer W, since the inside of the case 60 has been exhausted as described above, outside air flows in between the top plate 94 and the heating plate 88, and the airflow is caused by the top plate 94 and the heating plate 88. By regulating rectification, airflow toward the center from the outer circumference of the wafer W is formed. For this reason, in the resist solution applied to the wafer W, the solvent evaporates due to the heat of the heating plate 88 and a part of the resist component sublimes, and these solvent vapors and sublimation components are sucked into the suction exhaust port 94a. In addition, as described above, the lower region of the bottom plate 62 becomes a negative pressure atmosphere compared to the upper region, whereby airflow flowing into the lower region from the upper region through the exhaust port 62a is formed and is scattered from the periphery of the wafer W. The obtained solvent vapor and the sublimation component flow into the lower region through this air stream and are sucked into the suction port 63a of the first intermediate exhaust duct 63A. In this way, the resist liquid is dried to form a resist film on the wafer (W).

예컨대 미리 설정된 시간 동안 웨이퍼(W)가 가열된 후에, 지지핀(89a)이 상승하여 웨이퍼(W)를 지지한다. 온도 조정 플레이트(71)가 홈(home) 위치로부터 다시 가열 플레이트(88)로 이동하여, 웨이퍼(W)가 온도 조정 플레이트(71) 상에 전달된다. 웨이퍼(W)의 열은 온도 조정 플레이트(71)에 전달되고, 온도 조정 플레이트(71)는 축열되어 승온하지만, 이미 설명한 바와 같이 온도 조정 플레이트(71) 내에 형성된 냉각수 유로(74) 안에 흐르는 냉각수에 의해 온도 조정 플레이트(71)는 냉각된다. 여기서, 노치부(71a)의 상방에 위치하는 웨이퍼(W)는 온도 조정 플레이트(71)와 접촉하지 않기 때문에, 웨이퍼(W)의 다른 영역보다 강온 효과를 얻기 어렵다. 그 때문에, 예컨대 온도 조정 플레이트(71) 상에 웨이퍼(W)가 배치되는 동시에, 냉각 기체 토출구(75b)로부터 냉각 기체가 토출된다. 또한, 냉각 기체는 예컨대 N2 또는 He를 이용한다. 또, 이 냉각 기체의 온도는 예컨대 23℃∼30℃ 이하로 설정되어 있다. 그리고 메인 아암(A1)이 후술하는 바와 같이 반송 스케줄에 따라서 이 웨이퍼(W)를 취하러 오는데, 이 때까지 온도 조정 플레이트(71)에 의해 웨이퍼(W)를 대강 식힌다.For example, after the wafer W is heated for a predetermined time, the support pin 89a is raised to support the wafer W. The temperature adjusting plate 71 moves from the home position back to the heating plate 88 so that the wafer W is transferred onto the temperature adjusting plate 71. The heat of the wafer W is transferred to the temperature regulating plate 71, and the temperature regulating plate 71 is accumulated and heated up, but as described above, the cooling water flowing in the cooling water flow path 74 formed in the temperature regulating plate 71 is applied. The temperature adjusting plate 71 is cooled by this. Here, since the wafer W located above the notch portion 71a does not come into contact with the temperature adjusting plate 71, the temperature lowering effect is less likely to be obtained than other regions of the wafer W. As shown in FIG. Therefore, for example, the wafer W is disposed on the temperature adjusting plate 71 and the cooling gas is discharged from the cooling gas discharge port 75b. In addition, the cooling gas uses, for example, N 2 or He. In addition, the temperature of this cooling gas is set to 23 degrees C-30 degrees C or less, for example. And the main arm A1 comes to take this wafer W according to a conveyance schedule so that it may mention later, but the wafer W is roughly cooled by the temperature adjusting plate 71 until this time.

메인 아암(A1)은 온도 조정 플레이트(71) 상의 웨이퍼(W)를 하방으로부터 들어 올리듯 수취하여, 웨이퍼(W)를 케이스(60) 밖으로 반송한다. 그 후, 메인 아암(A1)에 의해 후속 웨이퍼(W)가 이 열처리 유닛(PEB1)에 반송되지만 이 후속 웨이퍼(W)에도 동일하게 가열 처리가 행해진다. The main arm A1 receives the wafer W on the temperature adjusting plate 71 as if lifting it from below and transports the wafer W out of the case 60. [ Subsequently, the subsequent wafer W is conveyed to this heat treatment unit PEB1 by the main arm A1, but the subsequent wafer W is similarly subjected to heat treatment.

상기 실시형태에서는, 온도 조정 플레이트(71)를 열처리 유닛(PEB1)의 일부로서 구성한 경우에 관해 설명했지만, 이 온도 조정 플레이트(71)는 도 3에 나타내는 선반 유닛(U5 및 U6)에 구비되는 냉각 플레이트(CPL1∼CPL14)로서 이용해도 좋다. In the said embodiment, although the case where the temperature adjustment plate 71 was comprised as a part of heat processing unit PEB1 was demonstrated, this temperature adjustment plate 71 is cooling with which the shelf units U5 and U6 shown in FIG. 3 are equipped. You may use as plates CPL1-CPL14.

또, 온도 조정 플레이트(71)에 도시하지 않은 감온 센서를 구비하고, 온도 조정 플레이트(71) 상에 배치된 웨이퍼(W)의 온도를 검지하여, 웨이퍼(W)가 정해진 온도에 도달하면 냉각 기체의 토출이 정지되도록 제어해도 좋다. The temperature adjusting plate 71 is provided with a temperature sensor not shown and detects the temperature of the wafer W placed on the temperature adjusting plate 71. When the temperature of the wafer W reaches a predetermined temperature, You may control so that discharge of this may be stopped.

또, 이미 설명한 바와 같이 가열 플레이트(88)에 복수개 설치된 도시하지 않은 감온 센서에 의해 가열되어 있는 웨이퍼(W) 혹은 가열 플레이트(88)의 온도를 검지해서 취득한 온도 데이터에 기초하여, 냉각 기체의 토출 시간을 제어해도 좋다. As described above, the discharge of the cooling gas is performed based on the temperature data obtained by detecting the temperature of the wafer W or the heating plate 88 heated by a plurality of thermosensitive sensors (not shown) provided in the heating plate 88. You may control the time.

또한, 상기 실시형태에서는 본 고안에서의 온도 조정 플레이트(71)를 레지스트 도포·현상 처리 장치에 적용한 경우에 관해 설명했지만, 상기 실시형태에 한정되는 것은 아니며, 레지스트 도포·현상 처리 장치 이외의 기판 처리 장치에도 적용할 수 있다.In addition, in the said embodiment, although the case where the temperature adjustment plate 71 in this invention was applied to the resist coating and developing processing apparatus was demonstrated, it is not limited to the said embodiment, It is a substrate processing other than a resist coating and developing processing apparatus. Applicable to the device as well.

W : 웨이퍼(기판) PEB1 : 열처리 유닛
70 : 온도 조정 기구 71 : 온도 조정 플레이트
71a : 노치부 73c : 구동 기구
74 : 냉각수 유로 75 : 냉각 기체 유로
80 : 가열 기구 88 : 가열 플레이트
W: Wafer (substrate) PEB1: Heat Treatment Unit
70: temperature adjusting mechanism 71: temperature adjusting plate
71a: notch 73c: drive mechanism
74: cooling water flow path 75: cooling gas flow path
80: heating mechanism 88: heating plate

Claims (7)

배치된 기판을 유지하면서 이 기판을 정해진 온도로 열처리하는 온도 조정 플레이트를 구비하는 열처리 장치에 있어서,
상기 온도 조정 플레이트는,
냉각 기체를 유통시키기 위한 냉각 기체 유로와,
온도 조정 플레이트의 둘레 가장자리부에 형성된 복수의 노치부와,
이 복수의 노치부에 형성되며, 상기 냉각 기체 유로로부터 냉각 기체를 토출하는 냉각 기체 토출구
를 구비하는 것을 특징으로 하는 열처리 장치.
In the heat treatment apparatus provided with the temperature adjusting plate which heat-processes this board | substrate to a predetermined temperature, maintaining the arranged board | substrate,
The temperature adjustment plate,
A cooling gas flow path for circulating the cooling gas,
A plurality of notches formed on the peripheral edge of the temperature adjusting plate,
Cooling gas discharge ports which are formed in the plurality of notches and discharge the cooling gas from the cooling gas flow paths.
Heat treatment apparatus comprising a.
제1항에 있어서, 상기 냉각 기체 유로는 일부가 상기 노치부 근방에 형성되는 것을 특징으로 하는 열처리 장치. The heat treatment apparatus according to claim 1, wherein a part of the cooling gas flow path is formed near the notch. 제1항 또는 제2항에 있어서, 상기 온도 조정 플레이트는 스테인레스, 알루미늄, 티탄, 구리, 카본 또는 니켈로 형성되는 것을 특징으로 하는 열처리 장치. The heat treatment apparatus according to claim 1 or 2, wherein the temperature adjusting plate is formed of stainless steel, aluminum, titanium, copper, carbon or nickel. 제1항 또는 제2항에 있어서, 상기 노치부는, 상기 온도 조정 플레이트에 배치되는 기판에 대하여 수평이거나 기판 이면을 향해서 냉각 기체가 토출되도록 형성되는 것을 특징으로 하는 열처리 장치. The heat treatment apparatus according to claim 1 or 2, wherein the notch portion is formed such that the cooling gas is discharged toward the back surface of the substrate or horizontal to the substrate disposed on the temperature adjusting plate. 제1항 또는 제2항에 있어서,
상기 기판을 유지하면서 이 기판을 가열하는 가열 플레이트와,
상기 온도 조정 플레이트에 배치된 기판을 상기 가열 플레이트에 대하여 반입 및 반출하는 구동 기구
를 구비하는 것을 특징으로 하는 열처리 장치.
3. The method according to claim 1 or 2,
A heating plate for heating the substrate while holding the substrate;
Drive mechanism for carrying in and taking out the board | substrate arrange | positioned at the said temperature adjustment plate with respect to the said heating plate
Heat treatment apparatus comprising a.
제1항 또는 제2항에 있어서, 상기 냉각 기체 토출구는 드라이 에어, N2 또는 He를 토출하는 것을 특징으로 하는 열처리 장치. The heat treatment apparatus according to claim 1 or 2, wherein the cooling gas discharge port discharges dry air, N 2 or He. 제1항 또는 제2항에 있어서,
상기 기판의 온도를 검지하는 검지부와,
이 검지부가 검지한 기판의 온도에 따라서, 토출된 냉각 기체의 토출 정지 타이밍을 제어하는 제어부
를 구비하는 것을 특징으로 하는 열처리 장치.
3. The method according to claim 1 or 2,
A detection unit that detects a temperature of the substrate,
Control unit for controlling the discharge stop timing of the discharged cooling gas in accordance with the temperature of the substrate detected by the detection unit
Heat treatment apparatus comprising a.
KR2020130007670U 2012-09-20 2013-09-12 Thermal processing apparatus KR200482870Y1 (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2012005723U JP3180048U (en) 2012-09-20 2012-09-20 Heat treatment equipment
JPJP-U-2012-005723 2012-09-20

Publications (2)

Publication Number Publication Date
KR20140001829U true KR20140001829U (en) 2014-03-28
KR200482870Y1 KR200482870Y1 (en) 2017-03-09

Family

ID=48006647

Family Applications (1)

Application Number Title Priority Date Filing Date
KR2020130007670U KR200482870Y1 (en) 2012-09-20 2013-09-12 Thermal processing apparatus

Country Status (3)

Country Link
JP (1) JP3180048U (en)
KR (1) KR200482870Y1 (en)
TW (1) TWM482837U (en)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20180061683A (en) * 2016-11-30 2018-06-08 세메스 주식회사 Substrate supporting unit, heat treatment unit and substrate treating apparatus including the same
KR20180125421A (en) * 2018-11-14 2018-11-23 세메스 주식회사 Substrate supporting unit, heat treatment unit and substrate treating apparatus including the same
US11320752B2 (en) 2019-06-27 2022-05-03 Semes Co., Ltd. Supporting unit and substrate processing apparatus including the same

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6792368B2 (en) * 2016-07-25 2020-11-25 株式会社Screenホールディングス Heat treatment equipment, substrate processing equipment and heat treatment method
JP6854187B2 (en) * 2017-05-16 2021-04-07 東京エレクトロン株式会社 Substrate processing equipment, substrate processing method, and storage medium
KR20210011395A (en) * 2018-05-21 2021-02-01 도쿄엘렉트론가부시키가이샤 Substrate processing equipment

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004063779A (en) * 2002-07-29 2004-02-26 Komatsu Electronic Metals Co Ltd Epitaxial wafer manufacturing apparatus and susceptor structure
JP2006313863A (en) 2005-05-09 2006-11-16 Tokyo Electron Ltd Heating device, application, developing device and heating method
KR20070082888A (en) * 2006-02-17 2007-08-22 도쿄 엘렉트론 가부시키가이샤 Heating device, heating method, coating apparatus and storage medium
KR20100090651A (en) * 2009-02-06 2010-08-16 도쿄엘렉트론가부시키가이샤 Substrate processing apparatus
KR20110040696A (en) * 2009-10-13 2011-04-20 도쿄엘렉트론가부시키가이샤 Substrate cooling apparatus, substrate cooling method and storage medium

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004063779A (en) * 2002-07-29 2004-02-26 Komatsu Electronic Metals Co Ltd Epitaxial wafer manufacturing apparatus and susceptor structure
JP2006313863A (en) 2005-05-09 2006-11-16 Tokyo Electron Ltd Heating device, application, developing device and heating method
KR20070082888A (en) * 2006-02-17 2007-08-22 도쿄 엘렉트론 가부시키가이샤 Heating device, heating method, coating apparatus and storage medium
KR20100090651A (en) * 2009-02-06 2010-08-16 도쿄엘렉트론가부시키가이샤 Substrate processing apparatus
KR20110040696A (en) * 2009-10-13 2011-04-20 도쿄엘렉트론가부시키가이샤 Substrate cooling apparatus, substrate cooling method and storage medium

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20180061683A (en) * 2016-11-30 2018-06-08 세메스 주식회사 Substrate supporting unit, heat treatment unit and substrate treating apparatus including the same
US10763152B2 (en) 2016-11-30 2020-09-01 Semes Co., Ltd. Substrate support unit, heat treatment unit, and substrate treating apparatus including the same
KR20180125421A (en) * 2018-11-14 2018-11-23 세메스 주식회사 Substrate supporting unit, heat treatment unit and substrate treating apparatus including the same
US11320752B2 (en) 2019-06-27 2022-05-03 Semes Co., Ltd. Supporting unit and substrate processing apparatus including the same

Also Published As

Publication number Publication date
KR200482870Y1 (en) 2017-03-09
TWM482837U (en) 2014-07-21
JP3180048U (en) 2012-11-29

Similar Documents

Publication Publication Date Title
KR101059277B1 (en) Apparatus and method for heating substrate and coating and developing system
KR200482870Y1 (en) Thermal processing apparatus
KR101524177B1 (en) Thermal treatment apparatus and thermal treatment method
JP6464990B2 (en) Vertical heat treatment equipment
JP4450784B2 (en) Coating and developing apparatus and method thereof
US20170372926A1 (en) Substrate treating unit, baking apparatus including the same, and substrate treating method using baking apparatus
JP2020145431A (en) Board mount
KR20060103217A (en) Heating apparatus, coating, developing apparatus and the heating method
TWI613749B (en) Substrate processing apparatus and substrate processing method
KR20160017059A (en) Vacuum treatment device
KR100573618B1 (en) Substrate processing method and substrate processing apparsus
CN109478500B (en) Substrate processing method and substrate processing apparatus
KR102366180B1 (en) Apparatus for treating substrate
JPH0574699A (en) Treating device
JP4869952B2 (en) Heat treatment equipment
KR102324408B1 (en) Apparatus and method for treating substrate
JP3194230U (en) Heat treatment equipment
KR102403200B1 (en) Unit for supporting substrate, Apparatus for treating substrate, and Method for treating substrate
JP2010074185A (en) Heating device, coating and developing device, and heating method
KR20180086139A (en) Substrate processing apparatus and cooling method of substrate
KR101909481B1 (en) Bake unit, Apparatus and method for treating substrate with the unit
KR102037919B1 (en) Apparatus and Method for treating substrate
KR102534608B1 (en) Apparatus for treating substrate and Exhaust method
JP4800226B2 (en) Heat treatment equipment
JP6077807B2 (en) Heating apparatus, substrate processing apparatus, and semiconductor device manufacturing method

Legal Events

Date Code Title Description
A201 Request for examination
E701 Decision to grant or registration of patent right
REGI Registration of establishment
FPAY Annual fee payment

Payment date: 20200218

Year of fee payment: 4