KR20120086911A - 나노 입자 추적 장치, 나노 입자 추적 장치의 채널 구조, 및 나노 입자 추적 장치의 채널 구조의 제조 방법 - Google Patents

나노 입자 추적 장치, 나노 입자 추적 장치의 채널 구조, 및 나노 입자 추적 장치의 채널 구조의 제조 방법 Download PDF

Info

Publication number
KR20120086911A
KR20120086911A KR1020110008252A KR20110008252A KR20120086911A KR 20120086911 A KR20120086911 A KR 20120086911A KR 1020110008252 A KR1020110008252 A KR 1020110008252A KR 20110008252 A KR20110008252 A KR 20110008252A KR 20120086911 A KR20120086911 A KR 20120086911A
Authority
KR
South Korea
Prior art keywords
transparent substrate
channel
nano
forming layer
layer
Prior art date
Application number
KR1020110008252A
Other languages
English (en)
Inventor
이준영
정희정
조성호
김수현
Original Assignee
삼성전자주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 삼성전자주식회사 filed Critical 삼성전자주식회사
Priority to KR1020110008252A priority Critical patent/KR20120086911A/ko
Priority to US13/160,854 priority patent/US8724105B2/en
Publication of KR20120086911A publication Critical patent/KR20120086911A/ko

Links

Images

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N35/00Automatic analysis not limited to methods or materials provided for in any single one of groups G01N1/00 - G01N33/00; Handling materials therefor
    • G01N35/08Automatic analysis not limited to methods or materials provided for in any single one of groups G01N1/00 - G01N33/00; Handling materials therefor using a stream of discrete samples flowing along a tube system, e.g. flow injection analysis
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N1/00Sampling; Preparing specimens for investigation
    • G01N1/02Devices for withdrawing samples
    • G01N1/10Devices for withdrawing samples in the liquid or fluent state
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B38/00Ancillary operations in connection with laminating processes
    • B32B38/06Embossing
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01LCHEMICAL OR PHYSICAL LABORATORY APPARATUS FOR GENERAL USE
    • B01L3/00Containers or dishes for laboratory use, e.g. laboratory glassware; Droppers
    • B01L3/50Containers for the purpose of retaining a material to be analysed, e.g. test tubes
    • B01L3/502Containers for the purpose of retaining a material to be analysed, e.g. test tubes with fluid transport, e.g. in multi-compartment structures
    • B01L3/5027Containers for the purpose of retaining a material to be analysed, e.g. test tubes with fluid transport, e.g. in multi-compartment structures by integrated microfluidic structures, i.e. dimensions of channels and chambers are such that surface tension forces are important, e.g. lab-on-a-chip
    • B01L3/502707Containers for the purpose of retaining a material to be analysed, e.g. test tubes with fluid transport, e.g. in multi-compartment structures by integrated microfluidic structures, i.e. dimensions of channels and chambers are such that surface tension forces are important, e.g. lab-on-a-chip characterised by the manufacture of the container or its components
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y15/00Nanotechnology for interacting, sensing or actuating, e.g. quantum dots as markers in protein assays or molecular motors
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N15/00Investigating characteristics of particles; Investigating permeability, pore-volume, or surface-area of porous materials
    • G01N15/10Investigating individual particles
    • G01N15/14Electro-optical investigation, e.g. flow cytometers
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/17Systems in which incident light is modified in accordance with the properties of the material investigated
    • G01N21/55Specular reflectivity
    • G01N21/552Attenuated total reflection
    • G01N21/553Attenuated total reflection and using surface plasmons
    • G01N21/554Attenuated total reflection and using surface plasmons detecting the surface plasmon resonance of nanostructured metals, e.g. localised surface plasmon resonance
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/62Systems in which the material investigated is excited whereby it emits light or causes a change in wavelength of the incident light
    • G01N21/63Systems in which the material investigated is excited whereby it emits light or causes a change in wavelength of the incident light optically excited
    • G01N21/64Fluorescence; Phosphorescence
    • G01N21/645Specially adapted constructive features of fluorimeters
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N33/00Investigating or analysing materials by specific methods not covered by groups G01N1/00 - G01N31/00
    • G01N33/48Biological material, e.g. blood, urine; Haemocytometers
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B2535/00Medical equipment, e.g. bandage, prostheses, catheter
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B37/00Methods or apparatus for laminating, e.g. by curing or by ultrasonic bonding
    • B32B37/06Methods or apparatus for laminating, e.g. by curing or by ultrasonic bonding characterised by the heating method
    • B32B37/065Methods or apparatus for laminating, e.g. by curing or by ultrasonic bonding characterised by the heating method resulting in the laminate being partially bonded
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B37/00Methods or apparatus for laminating, e.g. by curing or by ultrasonic bonding
    • B32B37/12Methods or apparatus for laminating, e.g. by curing or by ultrasonic bonding characterised by using adhesives
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S977/00Nanotechnology
    • Y10S977/902Specified use of nanostructure
    • Y10S977/932Specified use of nanostructure for electronic or optoelectronic application
    • Y10S977/953Detector using nanostructure
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S977/00Nanotechnology
    • Y10S977/902Specified use of nanostructure
    • Y10S977/932Specified use of nanostructure for electronic or optoelectronic application
    • Y10S977/953Detector using nanostructure
    • Y10S977/957Of chemical property or presence
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S977/00Nanotechnology
    • Y10S977/902Specified use of nanostructure
    • Y10S977/932Specified use of nanostructure for electronic or optoelectronic application
    • Y10S977/953Detector using nanostructure
    • Y10S977/957Of chemical property or presence
    • Y10S977/958Of biomolecule property

Abstract

DNA, 생체 분자, 화학 분자 등을 포함하는 나노 입자의 위치 변화 및 이동 속도를 측정할 수 있는 채널 구조를 갖는 나노 입자 추적 장치, 상기 나노 입자 추적 장치의 채널 구조 및 상기 채널 구조의 제조 방법을 개시한다. 개시된 나노 입자 추적 장치의 채널 구조는 시료가 수용되는 한 쌍의 마이크로 채널, 상기 한 쌍의 마이크로 채널 사이에 연결되어 있으며 시료 내의 나노 입자가 이동하는 통로인 나노 채널, 및 상기 나노 채널의 하부에서 상기 나노 채널을 가로 질러 배치된 불투명한 나노 격자를 포함한다. 나노 입자 추적 장치는 시료 내의 나노 입자가 나노 채널을 통해 이동할 때 나노 격자에 의해 단속적으로 가려지는 시간을 측정함으로써 나노 격자의 위치 정보 및 이동 속도를 측정할 수 있다.

Description

나노 입자 추적 장치, 나노 입자 추적 장치의 채널 구조, 및 나노 입자 추적 장치의 채널 구조의 제조 방법{Nano particle tracking device, channel structure of the nano particle tracking device, and method of fabricating the channel structure of the nano particle tracking device}
개시된 내용은 나노 입자 추적 장치, 나노 입자 추적 장치의 채널 구조, 및 나노 입자 추적 장치의 채널 구조의 제조 방법에 관한 것으로서, 더욱 상세하게는 DNA, 생체 분자, 화학 분자 등을 포함하는 나노 입자의 위치 변화 및 이동 속도를 측정할 수 있는 채널 구조를 갖는 나노 입자 추적 장치, 상기 나노 입자 추적 장치의 채널 구조 및 상기 채널 구조의 제조 방법에 관한 것이다.
바이오 관련 기술이 발전함에 따라, 유전자의 분석 및 진단, 염기 서열 분석 등의 중요성이 부각되고 있으며, 그에 대한 수요도 점차 증가하고 있다. 이에 따라, 적은 양의 샘플로도 빠른 시간 내에 많은 양의 검사를 수행할 수 있는 다양한 분석 또는 측정 시스템이 개발되고 있다. 또한, 이러한 시스템을 구현하기 위하여, 예를 들어 랩온어칩(lab on a chip)과 같은 미세 유체 소자가 주목을 받고 있다.
나노 입자 추적 장치는 나노 크기의 생체 분자나 화학 분자 등을 수십 내지 수백 nm의 폭을 갖는 좁은 공간 내에 한정함으로써 단일 분자의 활동도 등을 측정할 수 있는 장치이다. 이러한 나노 입자 추적 장치는 예를 들어, 핵산서열분석 장치, 나노 유체 제어 장치(nano fluidic device), 입자 영상 속도계(particle image velocimetry), 단일 분자 검출 장치(single-molecule detection device), 유전자 매핑 장치 등에서 유용하게 사용될 수 있다.
일반적으로 나노 입자 추적 장치는, 수십 내지 수백 nm의 폭을 갖는 나노 채널들의 어레이 내에서, 예를 들어 전기 영동 방법으로 나노 입자를 이동시키면서, 현미경과 CCD와 같은 광검출 장치를 이용하여 나노 입자의 위치 변화나 이동속도를 측정한다. 예를 들어, 나노 입자가 이동하는 영상을 현미경을 통해 CCD로 촬영한 후, 영상 데이터를 분석하여 나노 입자의 이동속도를 측정할 수 있다. 그런데, 나노 입자가 이동하는 동안의 영상을 모두 축적할 경우, 처리할 영상 데이터의 용량이 크게 증가하게 된다. 또한, CCD와 같은 광검출 장치의 응답 속도 및 신호 처리 속도에 따른 제약으로 인해, 측정 결과에 오차가 발생할 수도 있다.
나노 입자의 위치 변화 및 이동 속도를 빠르고 정확하게 측정할 수 있는 나노 입자 추적 장치를 제공한다.
또한, 상기 나노 입자 추적 장치의 제조 방법을 제공한다.
본 발명의 일 유형에 따른 나노 입자 추적 장치의 채널 구조물은, 시료가 수용되는, 마주보며 배치된 한 쌍의 마이크로 채널; 상기 한 쌍의 마이크로 채널 사이에 연결되어 있으며, 시료 내의 나노 입자가 이동하는 통로인 적어도 하나의 나노 채널; 및 상기 나노 채널의 하부에서 상기 나노 채널을 수직으로 가로질러 배치된 나노 격자;를 포함할 수 있다.
본 발명의 일 실시예에 따르면, 상기 나노 격자는 일정한 간격으로 배열된 다수의 나란한 불투명 막대들의 패턴을 포함할 수 있다.
또한, 상기 나노 격자의 두께는 상기 나노 격자 재료의 표피 깊이보다 클 수 있다.
또한, 상기 다수의 나란한 불투명 막대들 사이의 간격은 가시광선의 파장보다 작을 수 있다.
본 발명의 일 실시예에 따르면, 상기 채널 구조물은, 제 1 투명 기판; 상기 제 1 투명 기판 상에 배치된 채널 형성층; 및 상기 채널 형성층 위에 배치된 제 2 투명 기판;을 더 포함할 수 있다.
여기서, 상기 한 쌍의 마이크로 채널은 상기 채널 형성층과 상기 제 1 투명 기판에 걸쳐 형성되어 있으며, 상기 나노 채널은 상기 한 쌍의 마이크로 채널 사이에서 채널 형성층의 상부 표면에 패터닝되어 있고, 상기 나노 격자는 상기 한 쌍의 마이크로 채널 사이에서 상기 제 1 투명 기판의 상부 표면 위에 형성되어 있으며 상기 채널 형성층에 의해 덮여 있다.
또한, 본 발명의 일 실시예에 따른 채널 구조물은, 상기 한 쌍의 마이크로 채널에 시료를 제공하기 위한 것으로, 상기 한 쌍의 마이크로 채널의 양단부 중에서 적어도 하나의 단부에 연결되어 있는 비어홀을 더 포함할 수 있다.
상기 비어홀은 적어도 상기 제 1 투명 기판 또는 적어도 상기 제 2 투명 기판을 관통하여 형성될 수 있다.
또한, 본 발명의 다른 실시예에 따르면, 상기 채널 구조물은, 제 1 투명 기판; 상기 제 1 투명 기판 상에 배치된 채널 형성층; 상기 채널 형성층 상에 배치된 접합층; 및 상기 접합층 위에 배치된 제 2 투명 기판;을 더 포함할 수 있다.
여기서, 상기 한 쌍의 마이크로 채널은 상기 접합층, 상기 채널 형성층, 상기 제 1 투명 기판에 걸쳐 형성되어 있으며, 상기 나노 채널은 상기 한 쌍의 마이크로 채널 사이에서 상기 접합층에 패터닝되어 있고, 상기 나노 격자는 상기 한 쌍의 마이크로 채널 사이에서 상기 제 1 투명 기판의 상부 표면 위에 형성되어 있으며 상기 채널 형성층에 의해 덮여 있다.
이 경우, 상기 비어홀은 상기 제 2 투명 기판과 상기 접합층을 관통하여 형성되거나, 또는 적어도 상기 제 1 투명 기판을 관통하여 형성될 수 있다.
본 발명의 일 실시예에 따르면, 상기 접합층은 예를 들어 폴리 실리콘을 포함할 수 있다.
본 발명의 일 실시예에 따르면, 상기 접합층은 상기 폴리 실리콘의 표면을 산화시켜 형성된 산화막으로 둘러싸일 수 있다.
한편, 본 발명의 다른 유형에 따른 나노 입자 추적 장치는, 상술한 구조의 채널 구조물; 및 상기 채널 구조물의 저면에서 상기 나노 채널에 대응하는 영역에 배치된 광검출기;를 포함할 수 있다.
또한, 상기 나노 입자 추적 장치는, 상기 채널 구조물과 광검출기 사이에 배치된 대역 통과 필터를 더 포함할 수 있다.
상기 채널 구조물은 다수의 나노 채널을 포함할 수 있으며, 이 경우 각각의 나노 채널에 대해 광검출기가 하나씩 배치될 수 있다.
한편, 본 발명의 또 다른 유형에 따르면, 일정한 간격으로 배열된 다수의 나란한 불투명 막대들의 패턴을 갖는 나노 격자를 제 1 투명 기판의 상부 표면에 형성하는 단계; 상기 나노 격자를 덮도록 상기 제 1 투명 기판 위에 채널 형성층을 형성하는 단계; 상기 채널 형성층 위에 접합층을 형성하는 단계; 상기 나노 격자에 대응하는 상기 접합층의 일부 영역을 상기 나노 격자의 배열 방향과 수직한 방향으로 패터닝함으로써 나노 채널을 형성하는 단계; 상기 접합층, 채널 형성층 및 제 1 투명 기판을 부분적으로 식각하여, 상기 나노 채널의 양쪽 단부와 연결되도록 한 쌍의 마이크로 채널을 형성하는 단계; 및 상기 접합층 위에 제 2 투명 기판을 접합하는 단계;를 포함하는 채널 구조물의 제조 방법이 제공될 수 있다.
상기 제조 방법은 상기 채널 형성층을 형성한 후, 상기 채널 형성층의 상부 표면을 평탄화하는 단계를 더 포함할 수 있다.
본 발명의 일 실시예에 따르면, 상기 접합층은 폴리 실리콘을 포함할 수 있으며, 이 경우 상기 제조 방법은, 상기 제 2 투명 기판을 접합하기 전에, 상기 접합층의 표면을 산화시켜 상기 접합층을 둘러싸는 산화막을 형성하는 단계를 더 포함할 수 있다.
일 실시예에서, 상기 제조 방법은, 상기 제 2 투명 기판과 접합층을 관통하여 상기 마이크로 채널의 단부에 연결되는 비어홀을 형성하는 단계를 더 포함할 수 있다.
다른 실시예에서, 상기 제조 방법은, 적어도 상기 제 1 투명 기판을 관통하여 상기 마이크로 채널의 단부에 연결되는 비어홀을 형성하는 단계;를 더 포함할 수 있다.
한편, 본 발명의 또 다른 유형에 따르면, 일정한 간격으로 배열된 다수의 나란한 불투명 막대들의 패턴을 갖는 나노 격자를 제 1 투명 기판의 상부 표면에 형성하는 단계; 상기 나노 격자를 덮도록 상기 제 1 투명 기판 위에 채널 형성층을 형성하는 단계; 상기 나노 격자에 대응하는 상기 채널 형성층의 상부 표면의 일부 영역을 상기 나노 격자의 배열 방향과 수직한 방향으로 부분적으로 패터닝함으로써, 상기 채널 형성층의 상부 표면에 나노 채널을 형성하는 단계; 상기 채널 형성층 및 제 1 투명 기판을 부분적으로 식각하여, 상기 나노 채널의 양쪽 단부와 연결되도록 한 쌍의 마이크로 채널을 형성하는 단계; 및 상기 채널 형성층 위에 제 2 투명 기판을 접합하는 단계;를 포함하는 채널 구조물의 제조 방법이 제공될 수 있다.
일 실시예에서, 상기 제조 방법은, 적어도 상기 제 1 투명 기판 또는 적어도 상기 제 2 투명 기판을 관통하여 상기 마이크로 채널의 단부에 연결되는 비어홀을 형성하는 단계를 더 포함할 수 있다.
나노 격자를 포함하는 나노 채널 구조를 갖는 개시된 나노 입자 추적 장치는, 나노 입자의 위치 변화 및 이동 속도를 측정하기 위하여 처리해야 할 데이터의 용량을 줄일 수 있다. 또한, 광검출 장치의 응답 속도 및 신호 처리 속도에 의한 제약이 비교적 적다. 따라서 나노 입자의 위치 변화 및 이동 속도를 비교적 빠르고 정확하게 측정할 수 있다.
도 1은 본 발명의 일 실시예에 따른 나노 입자 추적 장치의 채널 구조를 개략적으로 도시한다.
도 2는 도 1에 도시된 나노 입자 추적 장치의 채널 구조를 A-A' 방향으로 절개한 단면도이다.
도 3은 도 1에 도시된 나노 입자 추적 장치의 채널 구조를 B-B' 방향으로 절개한 단면도이다.
도 4는 도 1에 도시된 나노 입자 추적 장치의 채널 구조를 C-C' 방향으로 절개한 단면도이다.
도 5는 도 1에 도시된 나노 입자 추적 장치의 채널 구조를 D-D' 방향으로 절개한 단면도이다.
도 6a 내지 도 6f는 도 1에 도시된 나노 입자 추적 장치의 채널 구조를 제조하는 방법을 개략적으로 도시하는 단면도이다.
도 7은 도 1에 도시된 나노 입자 추적 장치의 채널 구조에서 제 2 투명 기판을 제외한 나머지 구성을 개략적으로 보이는 사시도이다.
도 8a 및 도 8b는 본 발명의 다른 실시예에 따른 나노 입자 추적 장치의 채널 구조를 개략적으로 도시한다.
도 9a 내지 도 9e는 본 발명의 또 다른 실시예에 따른 나노 입자 추적 장치의 채널 구조를 제조하는 방법을 개략적으로 도시하는 단면도이다.
도 10은 도 9a 내지 도 9e에 도시된 방법으로 형성된 나노 입자 추적 장치의 채널 구조에서 제 2 투명 기판을 제외한 나머지 구성을 개략적으로 보이는 사시도이다.
도 11a 및 도 11b는 본 발명의 일 실시예에 따른 나노 입자 추적 장치의 동작을 예시적으로 보이는 단면도이다. 표면 깊이, 표면 플라즈몬, 간격이 파장보다 작음.
도 12a 및 도 12b는 본 발명의 또 다른 실시예에 따른 나노 입자 추적 장치의 동작을 예시적으로 보이는 단면도이다.
이하, 첨부된 도면들을 참조하여, 나노 입자 추적 장치, 나노 입자 추적 장치의 채널 구조, 및 상기 채널 구조의 제조 방법에 대해 상세하게 설명한다. 이하의 도면들에서 동일한 참조부호는 동일한 구성요소를 지칭하며, 도면상에서 각 구성요소의 크기는 설명의 명료성과 편의상 과장되어 있을 수 있다.
도 1은 본 발명의 일 실시예에 따른 나노 입자 추적 장치의 채널 구조(100)를 개략적으로 도시하고 있다. 도 1을 참조하면, 본 발명의 일 실시예에 따른 나노 입자 추적 장치의 채널 구조(100)는, 시료가 수용되는 한 쌍의 마이크로 채널(110, 120), 상기 한 쌍의 마이크로 채널(110, 120) 사이에 연결되어 있으며 시료 내의 나노 입자가 이동하는 통로인 나노 채널(115), 및 상기 나노 채널(115)을 가로질러 배치된 나노 격자(130)를 포함할 수 있다. 도 1에 도시된 바와 같이, 두 마이크로 채널(110, 120)은 측면이 서로 대향하도록 배치될 수 있다. 도 1에는 두 마이크로 채널(110, 120)의 중심 부분 사이의 거리가 끝 부분 사이의 거리보다 가깝도록 마이크로 채널(110, 120)이 곡선 형태로 도시되어 있으나, 반드시 이에 한정되는 것은 아니다. 예를 들어, 일직선 형태의 마이크로 채널(110, 120)이 서로 나란하게 배치될 수도 있다. 각각의 마이크로 채널(110, 120)의 양단부에는 시료를 제공하기 위한 비어홀(111, 112, 121, 122)이 더 형성될 수 있다. 도 1에는 예시적으로 4개의 비어홀(111, 112, 121, 122)이 도시되어 있지만, 반드시 이에 한정되는 것은 아니다. 예를 들어, 각각의 마이크로 채널(110, 120)에 하나의 비어홀만이 연결될 수도 있다.
나노 채널(115)은 마주보면 배치된 두 마이크로 채널(110, 120)의 대향하는 두 측면 사이에 연결될 수 있다. 도 1에는 5개의 나노 채널(115)이 예시적으로 도시되어 있으나, 나노 채널(115)의 개수에는 제한이 없다. 예를 들어, 필요에 따라 단지 하나의 나노 채널(115)만이 두 마이크로 채널(110, 120) 사이에 형성될 수도 있으며, 또는 5개 이상의 나노 채널(115)이 형성될 수도 있다. 나노 채널(115)은 시료 내의 생체 분자난 화학 분자 등과 같은 미세한 나노 입자 하나가 겨우 통과할 수 있는 정도의 폭과 높이를 가질 수 있다. 예를 들어, 나노 채널(115)의 폭과 높이는 각각 수십 내지 수백 nm일 수 있다. 이 경우, 예를 들어, 전기 영동법 등을 이용하여 제 1 마이크로 채널(110) 내의 시료 내에 있는 나노 입자를 제 2 마이크로 채널(120)로 이동시키는 경우, 나노 입자는 하나의 나노 채널(115)을 통해 한번에 하나씩 이동할 수 있다.
나노 채널(115)의 하부에는 나노 격자(130)가 배열되어 있다. 예를 들어, 도 1에 도시된 바와 같이, 나노 격자(130)는 나노 채널(115)을 수직하게 가로질러 일정한 간격으로 배열된 다수의 막대들의 패턴을 가질 수 있다. 나노 격자(130)는 빛을 투과하지 않는 불투명한 재료로 이루어질 수 있다. 따라서, 나노 격자(130)는 빛이 투과하지 않는 다수의 막대들과 상기 막대들 사이의 빛이 투과하는 다수의 슬릿을 포함할 수 있다. 이 경우, 나노 채널(115)을 통해 이동하는 동안, 나노 입자는 반복적으로 나노 격자(130) 내의 불투명 막대 위에 위치하거나 나노 격자(130) 내의 불투명 막대들 사이의 슬릿에 위치하게 된다. 따라서, 나노 채널(115)의 하부에서 보았을 때, 나노 입자는 일정한 시간 간격으로 나노 격자(130)에 의해 반복적으로 가려지게 될 수 있다. 이러한 현상을 이용하여 나노 입자가 나노 채널(115)을 통해 이동하는 속도 및 나노 채널(115) 상의 위치를 측정할 수 있다. 이러한 측정 방법에 대해서는 이후에 더욱 상세히 설명한다.
상술한 마이크로 채널(110, 120), 나노 채널(115) 및 나노 격자(130)는 투명한 기판 상에 형성될 수 있다. 도 2 내지 도 5는 투명 기판 상에 형성된 마이크로 채널(110, 120), 나노 채널(115) 및 나노 격자(130)를 포함하는 나노 입자 추적 장치의 채널 구조(100)를 개략적으로 보이는 단면도이다. 예를 들어, 도 2는 도 1에 도시된 채널 구조(100)를 A-A' 방향으로 절개한 단면도이며, 도 3은 B-B' 방향으로 절개한 단면도이고, 도 4는 C-C' 방향으로 절개한 단면도이고, 도 5는 D-D' 방향으로 절개한 단면도이다.
먼저, 도 2는 인접한 두 나노 채널(115) 사이의 격벽 부분을 따라 A-A' 방향으로 절개한 단면도이다. 도 2를 참조하면, 나노 입자 추적 장치의 채널 구조(100)는 제 1 투명 기판(101), 제 1 투명 기판(101) 위에 배치된 채널 형성층(103), 채널 형성층(103) 위에 배치된 접합층(104), 및 접합층(104) 위에 배치된 제 2 투명 기판(102)을 포함할 수 있다. 제 1 및 제 2 투명 기판(101, 102)은 예를 들어 유리나 폴리디메틸실록산(PDMS)과 같은 투명한 재료로 이루어질 수 있다. 또한, 채널 형성층(103)은 예를 들어 SiO2와 같은 투명한 산화물 재료로 이루어질 수 있다. 접합층(104)은 제 2 투명 기판(102)을 채널 형성층(103) 위에 접합시키는 역할을 하는 것으로, 예를 들어 폴리 실리콘으로 이루어질 수 있다.
도 2에 도시된 바와 같이, 마이크로 채널(110, 120)은 제 1 투명 기판(101)의 상부 표면에 오목하게 형성되어 있으며, 마이크로 채널(110, 120)에 대응하는 채널 형성층(103)과 접합층(104)의 일부 영역이 관통되어 있다. 나노 격자(130)는 두 마이크로 채널(110, 120) 사이에서 제 1 투명 기판(101)의 상부 표면 상에 형성되어 있으며, 채널 형성층(103)에 의해 덮여 있다. 또한, 접합층(104)의 표면은 전기적 절연을 위하여 상기 접합층(104)의 표면을 산화시켜 형성된 산화막(105)으로 둘러싸일 수 있다. 여기서, 마이크로 채널(110, 120) 사이의 채널 형성층(103) 위에 배치된 접합층(104)은 인접한 두 나노 채널(115) 사이의 격벽의 역할도 할 수 있다.
도 3은 나노 채널(115)을 따라 B-B' 방향으로 절개한 단면도이다. 도 2의 단면도와 비교할 때, 도 3에는 마이크로 채널(110, 120) 사이의 채널 형성층(103) 위에 접합층(104)이 제거되어 나노 채널(115)이 형성되어 있다. 따라서, 두 마이크로 채널(110, 120)은 나노 채널(115)에 의해 서로 연결될 수 있다. 이로 인해, 마이크로 채널(110, 120)에 수용된 시료 내의 나노 입자들이 나노 채널(115)을 통해 다른 마이크로 채널(120, 110)로 이동할 수 있다. 마이크로 채널(110, 120) 사이의 채널 형성층(103)의 상부 표면은 나노 채널(115)의 바닥면이 된다. 앞서 설명한 바와 같이, 나노 채널(115)의 하부의 채널 형성층(103)에는 나노 격자(130)가 상기 나노 채널(115)을 가로질러 배열될 수 있다.
도 4는 마이크로 채널(110, 120)의 한쪽 끝에 형성된 비어홀(112, 122)을 따라 C-C' 방향으로 절개한 단면도이다. 도 4를 참조하면, 제 2 투명 기판(102)을 관통하여 두 개의 비어홀(112, 122)이 형성되어 있다. 비어홀(112, 122)은 각각의 마이크로 채널(110, 120)과 연결되어 있으며, 비어홀(112, 122)을 통해 각각의 마이크로 채널(110, 120)에 시료를 제공할 수 있다. 이를 위해, 비어홀(112, 122)에 대응하는 접합층(104)의 일부 영역이 관통될 수 있다. 따라서, 채널 형성층(103)의 상부 표면이 비어홀(112, 122)의 바닥면이 될 수 있다. 실시예에 따라서는, 채널 형성층(103)까지 관통하여 비어홀(112, 122)의 바닥면이 제 1 투명 기판(101)에 형성될 수도 있다. 예를 들어, 비어홀(112, 122)의 바닥면은 도 3에 도시된 마이크로 채널(110, 120)의 바닥면과 일치할 수도 있다.
또한, 도 5는 나노 채널(115)을 수직하게 가로지르는 나노 격자(130)를 따라 D-D' 방향으로 절개한 단면도이다. 도 5를 참조하면, 제 1 투명 기판(101)의 상부 표면 위에 나노 격자(130)가 형성되어 있다. 제 1 투명 기판(101) 위에는 상기 나노 격자(130)를 완전히 덮도록 채널 형성층(103)이 형성되어 있다. 또한, 채널 형성층(103) 위에는 접합층(104)이 형성되어 있다. 접합층(104)의 중심 부분에는 상기 접합층(104)이 부분적으로 제거되어 형성된 다수의 나노 채널(115)들이 위치한다. 따라서, 접합층(104)은 인접한 두 나노 채널(115) 사이의 격벽의 역할을 할 수 있다. 접합층(104) 위에는 제 2 투명 기판(102)이 형성되어 나노 채널(115)의 상부를 완전히 밀폐시킬 수 있다. 도 5에 도시된 바와 같이, 다수의 나노 채널(115)들은 나노 격자(130)와 대응하는 영역 내에만 위치할 수 있다.
도 6a 내지 도 6f는 상술한 채널 구조(100)를 제조하는 방법을 개략적으로 도시하는, 도 1의 B-B' 방향으로 절개한 단면도이다. 이하, 도 6a 내지 도 6f를 참조하여 본 발명의 일 실시예에 따른 채널 구조(100)의 제조 방법을 설명한다.
먼저, 도 6a를 참조하면, 제 1 투명 기판(101) 위에 나노 격자(130)를 형성한다. 예를 들어, 제 1 투명 기판(101)의 전체 표면 위에 불투명한 나노 격자 재료를 일정한 두께로 적층한다. 그리고, 리소그래피 등의 방법으로 나노 격자 재료를 패터닝함으로써, 일정한 간격으로 배열된 다수의 나란한 막대들의 패턴을 갖는 나노 격자(130)를 형성할 수 있다. 그런 후, 나노 격자(130)를 완전히 덮도록 제 1 투명 기판(101) 위에 채널 형성층(103)을 형성할 수 있다. 예를 들어, 화학적 증착법(CVD), 플라즈마 화학 증착법(PACVD), 물리적 증착법(PVD) 등을 이용하여 SiO2를 제 1 투명 기판(101) 위에 증착함으로써 채널 형성층(103)을 형성할 수 있다.
이렇게 형성된 채널 형성층(103)은 도 6a에 도시된 바와 같이, 하부의 나노 격자(130)로 인해 표면이 부분적으로 돌출되어 있다. 따라서, 채널 형성층(103)을 형성한 후에는, CMP(chemical mechanical polishing)와 같은 평탄화 기술을 이용하여 채널 형성층(103)의 표면을 평탄화한다. 그러면, 도 6b에 도시된 바와 같이, 채널 형성층(103)의 상부 표면이 일정한 높이를 갖게 된다.
이어서, 도 6c에 도시된 바와 같이, 채널 형성층(103) 위에 접합층(104)을 형성한다. 예를 들어, 폴리 실리콘으로 이루어진 접합층(104)을 채널 형성층(103)의 전체 표면 위에 일정한 높이로 형성할 수 있다. 그런 후, 리소그래피와 같은 패터닝 기술과 식각 기술을 이용하여 마이크로 채널(110, 120)과 나노 채널(115)이 형성될 영역에 있는 접합층(104)을 제거할 수 있다. 도 6c에는 마이크로 채널(110, 120)과 나노 채널(115)이 형성될 영역에서 접합층(104)이 제거된 상태가 도시되어 있다. 예를 들어, 나노 채널(115)은 나노 격자(130)에 대응하는 접합층(104)의 일부 영역을 나노 격자(130)의 배열 방향과 수직한 방향으로 패터닝함으로써 형성될 수 있다.
다음으로, 도 6d에 도시된 바와 같이, 한 쌍의 마이크로 채널(110, 120)을 형성하기 위하여 채널 형성층(103)과 제 1 투명 기판(101)을 부분적으로 식각한다. 예를 들어, 일반적인 식각 기술에 따라 마이크로 채널(110, 120)에 대응하는 영역을 제외한 나머지 영역에 식각 마스크를 패터닝하여 형성한 후, 건식 식각 또는 습식 식각을 수행할 수 있다. 예를 들어, 도 6c에서 형성된 나노 채널(115)의 양쪽 단부와 연결되도록 한 쌍의 마이크로 채널(110, 120)을 형성할 수 있다.
한 쌍의 마이크로 채널(110, 120)이 형성된 후에는, 도 6e에 도시된 바와 같이, 폴리 실리콘으로 이루어진 접합층(104)을 가열하여 접합층(104)의 표면에 산화막(105)을 형성한다. 접합층(104)으로서 일반적으로 사용되는 폴리 실리콘은 전기 전도성을 갖기 때문에, 전기 영동법에 따라 시료 내의 나노 입자를 이동시키기 위하여 채널 구조(100)에 전기장을 인가할 경우, 접합층(104) 내에 전류가 흐를 수 있다. 산화막(105)은 접합층(104)의 표면을 절연시켜 시료 등으로 전류가 흐르는 것을 방지할 수 있다. 마지막으로, 도 6f에 도시된 바와 같이, 접합층(104) 위에 제 2 투명 기판(102)을 접합시킨다. 예를 들어, 양극 접합(anodic bonding) 방식으로 제 2 투명 기판(102)을 접합층(104)에 접합시킬 수 있다. 비록 도시되지는 않았지만, 접합이 완료된 후에는, 제 2 투명 기판(102)과 접합층(104)을 관통하여 상기 마이크로 채널(110, 120)의 단부에 연결되는 비어홀(111, 112, 121, 122)을 형성함으로써 채널 구조(100)를 완성할 수 있다.
도 7은 상술한 방식으로 제조된 채널 구조(100)에서 제 2 투명 기판(102)을 제외한 나머지 구성을 예시적으로 보이는 개략적인 사시도이다. 도 7을 참조하면, 제 1 투명 기판(101) 위에 채널 형성층(103)과 접합층(104)이 형성되어 있다. 마이크로 채널(110, 120)은 접합층(104), 채널 형성층(103) 및 제 1 투명 기판(101)을 부분적으로 제거하여 형성될 수 있다. 또한, 마이크로 채널(110, 120)의 단부에 접하는 접합층(104)을 제거하여 비어홀(111, 112, 121, 122)의 바닥면이 형성될 수 있다. 도 7에는 접합층(104)만을 제거하여 비어홀(111, 112, 121, 122)의 바닥면이 채널 형성층(103)의 상부 표면 위에 형성되는 것으로 도시되어 있다. 그러나, 비어홀(111, 112, 121, 122)의 바닥면과 마이크로 채널(110, 120)의 바닥면이 일치하도록, 채널 형성층(103)과 제 1 투명 기판(101)을 부분적으로 에칭하는 것도 가능하다. 또한, 도 7에 도시된 바와 같이, 나노 채널(115)은 마이크로 채널(110, 120) 사이의 접합층(104)을 일정한 간격으로 패터닝함으로써 형성될 수 있다. 점선으로 표시된 나노 격자(130)는 나노 채널(115)의 하부에서 나노 채널(115)을 수직으로 가로질러 형성될 수 있다.
한편, 비어홀(111, 112, 121, 122)은 제 2 투명 기판(102)이 아닌 제 1 투명 기판(101)에 형성될 수도 있다. 도 8a는 제 1 투명 기판(101)에 비어홀(112, 122)이 형성된 C-C' 방향의 단면 구조를 예시적으로 도시하고 있다. 도 8a의 단면도를 참조하면, 마이크로 채널(110, 120)의 한쪽 끝에 연결되도록 제 1 투명 기판(101)을 관통하여 비어홀(112, 122)이 형성될 수 있다. 예를 들어, 비어홀(112, 122)은 도 8a에 도시된 바와 같이, 제 1 투명 기판(101)과 채널 형성층(103), 접합층(104)까지 관통하여 형성될 수도 있으며, 또는 제 1 투명 기판(101)만을 관통하여 형성될 수도 있다. 도 8b는 제 1 투명 기판(101), 채널 형성층(103) 및 접합층(104)을 관통하여 비어홀(111, 112, 121, 122)이 형성된 예를 도시하는 사시도이다. 이렇게 제 1 투명 기판(101)에 비어홀(111, 112, 121, 122)이 형성된 경우, 제 2 투명 기판(102)은 단순한 덮개의 역할만을 할 수도 있다.
지금까지 설명한 채널 구조(100)의 경우, 접합층(104)을 이용하여 제 2 투명 기판(102)이 채널 형성층(103) 위에 접합되며, 나노 채널(115)은 접합층(104) 내에 형성되어 있다. 그러나, 접합층(104) 없이도 나노 채널(115)을 형성하고 제 2 투명 기판(102)을 채널 형성층(103) 위에 접합시킬 수 있다. 도 9a 내지 도 9e는 이러한 본 발명의 다른 실시예에 따른 나노 입자 추적 장치의 채널 구조를 제조하는 방법을 개략적으로 도시하는 단면도이다. 이하, 도 9a 내지 도 9f를 참조하여 본 발명의 다른 실시예에 따른 채널 구조의 제조 방법을 설명한다.
먼저, 도 9a를 참조하면, 제 1 투명 기판(101) 위에 나노 격자(130)를 형성한다. 예를 들어, 제 1 투명 기판(101)의 전체 표면 위에 불투명한 나노 격자 재료를 일정한 두께로 적층한 후, 리소그래피 등의 방법으로 나노 격자 재료를 패터닝함으로써 나노 격자(130)를 형성할 수 있다. 그리고, 나노 격자(130)를 완전히 덮도록 제 1 투명 기판(101) 위에 채널 형성층(103)을 형성할 수 있다. 예를 들어, SiO2를 제 1 투명 기판(101) 위에 증착함으로써 채널 형성층(103)을 형성할 수 있다. 그런 후, 8b에 도시된 바와 같이, 채널 형성층(103)의 상부 표면의 높이가 일정하게 되도록 채널 형성층(103)의 표면을 평탄화한다.
이어서, 도 9c에 도시된 바와 같이, 리소그래피와 같은 패터닝 기술과 식각 기술을 이용하여 마이크로 채널(110, 120)과 나노 채널(115)이 형성될 영역에 대응하는 채널 형성층(103)을 부분적으로 제거할 수 있다. 예를 들어, 나노 채널(115)을 형성하기 위하여, 나노 격자(130)의 배열 방향과 수직한 방향으로 상기 채널 형성층(103)의 상부 표면을 부분적으로 패터닝할 수 있다. 도 9c의 단면도에는 마이크로 채널(110, 120)과 나노 채널(115)이 형성될 영역에서 채널 형성층(103)이 부분적으로 제거된 상태가 도시되어 있다.
그리고, 도 9d에 도시된 바와 같이, 도 9c에서 형성된 나노 채널(115)의 양쪽 단부와 연결되도록 한 쌍의 마이크로 채널(110, 120)을 형성하기 위하여 채널 형성층(103)과 제 1 투명 기판(101)을 부분적으로 식각한다. 예를 들어, 일반적인 식각 기술에 따라 한 쌍의 마이크로 채널(110, 120)에 대응하는 영역을 제외한 나머지 영역에 식각 마스크를 패터닝하여 형성한 후, 건식 식각 또는 습식 식각을 수행할 수 있다. 마이크로 채널(110, 120)이 형성된 후에는, 도 9e에 도시된 바와 같이, 채널 형성층(103) 위에 제 2 투명 기판(102)을 접합시킨다. 예를 들어, 용융 접합(fusion bonding)이나 접착제를 이용한 접착 방식 등으로 제 2 투명 기판(102)을 채널 형성층(103) 위에 접합시킬 수 있다. 비록 도시되지는 않았지만, 제 2 투명 기판(102)을 관통하여 마이크로 채널(110, 120)의 단부에 연결되는 비어홀(111, 112, 121, 122)을 형성함으로써 본 발명의 일 실시예에 따른 채널 구조(100')를 완성할 수 있다. 이때, 비어홀(111, 112, 121, 122)의 바닥면을 형성하기 위하여 채널 형성층(103)의 상부 표면을 부분적으로 식각할 수 있다.
도 10은 상술한 방식으로 제조된 채널 구조(100')에서 제 2 투명 기판(102)을 제외한 나머지 구성을 예시적으로 보이는 개략적인 사시도이다. 도 10을 참조하면, 제 1 투명 기판(101) 위에 채널 형성층(103)이 형성되어 있다. 마이크로 채널(110, 120)은 채널 형성층(103)과 제 1 투명 기판(101)을 부분적으로 제거하여 형성될 수 있다. 또한, 마이크로 채널(110, 120)의 단부에 연결되는 채널 형성층(103)의 상부 표면을 부분적으로 식각하여 비어홀(111, 112, 121, 122)의 바닥면이 형성될 수 있다. 도 10에는, 상기 비어홀(111, 112, 121, 122)의 바닥면이 채널 형성층(103)에 형성된 것으로 도시되어 있으나, 비어홀(111, 112, 121, 122)의 바닥면은 마이크로 채널(110, 120)과 마찬가지로 제 1 투명 기판(101) 내에 형성될 수도 있다. 예를 들어, 비어홀(111, 112, 121, 122)의 바닥면은 마이크로 채널(110, 120)의 바닥면과 일치할 수도 있다. 한편, 도 8b에 도시된 바와 같이, 비어홀(111, 112, 121, 122)은 제 2 투명 기판(101)과 채널 형성층(103)을 관통하여 형성될 수도 있다. 또한, 도 10에 도시된 바와 같이, 나노 채널(115)은 마이크로 채널(110, 120) 사이의 채널 형성층(103)의 상부 표면을 부분적으로 패터닝함으로써 형성될 수 있다. 도 10에서 점선으로 표시된 나노 격자(130)는 나노 채널(115)의 하부에서 나노 채널(115)을 수직으로 가로지르도록, 상기 제 1 투명 기판(101)의 상부 표면 위에 형성되어 있으며 채널 형성층(103)에 의해 덮여 있다.
도 11a 및 도 11b는 본 발명의 일 실시예에 따른 나노 입자 추적 장치 및 그의 동작을 예시적으로 보이는 단면도이다. 도 11a를 참조하면, 본 발명의 일 실시예에 따른 나노 입자 추적 장치(200)는 채널 구조(100) 및 상기 채널 구조(100)의 저면에 배치된 광검출기(140)를 포함할 수 있다. 예를 들어, 광검출기(140)는 제 1 투명 기판(101)의 하부에서 나노 채널(115)에 대응하는 영역에 배치될 수 있다. 도 11a에는 도 6a 내지 도 6f의 방식으로 제조된, 접합층(104)을 갖는 채널 구조(100)가 도시되어 있으나, 도 9a 내지 도 9e의 방식으로 제조된 채널 구조(100')가 사용될 수도 있다. 또한, 도 11a에는 광검출기(140)가 채널 구조(100)로부터 떨어져 배치된 것으로 도시되어 있으나, 광검출기(140)는 채널 구조(100)의 저면에 밀착될 수도 있다. 여기서, 광검출기(140)로는 예를 들어 광증배관(photomultiplier tube)이나 포토다이오드 등을 사용할 수 있다. 만약 다수의 나노 채널(115)이 존재하는 경우, 각각의 나노 채널(115)에 대해 광검출기(140)가 하나씩 배치될 수도 있다.
이하, 본 발명의 일 실시예에 따른 나노 입자 추적 장치(200)가 시료 내의 나노 입자들의 위치 정보 및 이동 속도를 측정하는 과정을 설명한다. 먼저, 도 11a를 참조하면, 마이크로 채널(110, 120) 내에 예를 들어 DNA와 같은 나노 입자(150)들이 포함된 시료를 채운다. 그리고, 예를 들어 전기 영동법으로 시료 내의 나노 입자(150)를 제 1 마이크로 채널(110)로부터 제 2 마이크로 채널(120)로 이동시킨다. 만약 DNA를 이동시키는 경우, DNA의 이동 방향과 반대 방향의 전기장을 나노 입자 추적 장치(200)에 인가할 수 있다. 제 1 마이크로 채널(110) 내에 있는 나노 입자(150)는 전기장에 의해 제 2 마이크로 채널(120)을 향해 이동하는데, 이때 나노 채널(115)을 지나게 된다. 나노 채널(115)의 폭과 높이가 나노 입자(150)의 크기와 거의 같기 때문에, 한 번에 하나씩의 나노 입자(150)가 나노 채널(115)을 통과할 수 있다.
나노 입자(150)가 나노 채널(115)을 통과하는 동안, 제 2 투명 기판(102)을 향해 광을 조사한다. 그러면, 광은 나노 격자(130)의 다수의 불투명 막대들 사이에 형성된 다수의 좁은 슬릿을 통해 광검출기(140)에 도달할 수 있다. 빛의 투과를 막기 위하여 나노 격자(130)는 나노 격자 재료의 표피 깊이(skin depth)보다 두꺼운 두께로 형성될 수 있다. 예를 들어, 알루미늄으로 나노 격자(130)를 형성하는 경우, 나노 격자(130)의 두께는 약 3.4nm보다 두꺼울 수 있다. 만약 나노 격자(130) 내에 형성된 다수의 슬릿들의 폭(즉, 불투명 막대 사이의 간격)이 가시광선의 파장보다 크게 작은 경우, 예를 들어 약 100nm 이하인 경우에는, 표면 플라즈몬 현상에 의해 발생한 근접장(예를 들어, 소산파(evanescent wave))이 광검출기(140)에 의해 검출될 수 있다.
광검출기(140)에 의해 검출되는 근접장의 신호는 나노 입자(150)가 나노 격자(130) 위를 지나가면서 반복적으로 변화하게 된다. 예를 들어, 도 11a에 도시된 바와 같이, 나노 입자(150)가 어느 한 슬릿 위에 있으면, 일부 빛이 가려지게 된다. 반면, 도 11b에 도시된 바와 같이, 나노 입자(150)가 나노 격자(130)의 불투명 막대 위에 있으면, 가려지는 빛이 없게 된다. 따라서, 나노 입자(150)가 나노 채널(115)을 지나는 동안, 광검출기(140)에 의해 검출되는 빛 세기의 변화를 감지함으로써 나노 입자(150)의 위치 정보 및 속도를 측정하는 것이 가능하다.
도 11a 및 도 11b에서는 나노 입자(150)가 빛을 가리는 순간을 측정하는 방식이지만, 나노 입자(150)가 빛을 발생시키도록 할 수도 있다. 도 12a 및 도 12b는 본 발명의 또 다른 실시예에 따른 나노 입자 추적 장치(201)의 동작을 예시적으로 보이는 단면도이다. 도 12a 및 도 12b의 실시예에서는, 특정 파장의 빛을 받으면 여기하는 형광 물질로 표지된 나노 입자(150)를 사용한다. 먼저, 도 12a를 참조하면, 나노 입자 추적 장치(201)는 광검출기(140)의 전면에, 즉 광검출기(140)와 채널 구조(100) 사이에 배치된 대역 통과 필터(141)를 더 포함할 수 있다.
이러한 구조에서, 나노 입자(150)는 제 2 투명 기판(102)을 통해 입사한 빛에 의해 여기되어 형광을 발생시킨다. 그러나, 도 12a에 도시된 바와 같이, 나노 입자(150)가 나노 격자(130)의 불투명 막대 위에 있는 동안에는, 나노 격자(130)에 의해 형광이 가려지면서 광검출기(140)는 형광을 감지하지 못한다. 이를 위해, 대역 통과 필터(141)는 나노 입자(150)에 의해 발생한 형광만을 투과시키고, 그 이외의 광은 차단할 수 있따. 반면, 도 12b에 도시된 바와 같이, 나노 입자(150)가 불투명 막대들 사이의 슬릿 위에 있는 동안에는, 광검출기(140)가 나노 입자(150)에서 발생한 형광을 검출할 수 있다. 따라서, 나노 입자(150)가 나노 채널(115)을 지나는 동안, 광검출기(140)에 의해 검출되는 형광의 세기 변화를 감지함으로써 나노 입자(150)의 위치 정보 및 속도를 측정하는 것이 가능하다.
상술한 바와 같이, 본 발명의 일 실시예에 따르면, 포토다이오드나 광증배관과 같은 단지 하나의 단일한 광검출기(140)로 나노 입자(150)의 위치 정보 및 속도를 측정할 수 있다. 예를 들어, 광검출기(140)에서 측정되는 광의 세기 변화 또는 온/오프를 단순히 측정함으로써 나노 입자(150)의 위치 정보 및 속도를 측정할 수 있다. 따라서, 현미경과 CCD를 사용하여 촬영된 영상을 분석하는 방식에 비해 데이터 처리량을 줄일 수 있다. 또한 응답 속도와 신호 처리 속도에 따른 제약이 있는 CCD를 사용하는 경우에 비해, 나노 입자(150)의 위치 정보 및 이동 속도를 실시간으로 비교적 빠르고 정확하게 측정할 수 있다.
지금까지, 본 발명의 이해를 돕기 위하여 나노 입자 추적 장치, 나노 입자 추적 장치의 채널 구조, 및 상기 채널 구조의 제조 방법에 대한 예시적인 실시예가 설명되고 첨부된 도면에 도시되었다. 그러나, 이러한 실시예는 단지 본 발명을 예시하기 위한 것이고 이를 제한하지 않는다는 점이 이해되어야 할 것이다. 그리고 본 발명은 도시되고 설명된 설명에 국한되지 않는다는 점이 이해되어야 할 것이다. 이는 다양한 다른 변형이 본 기술분야에서 통상의 지식을 가진 자에게 일어날 수 있기 때문이다.
100, 100'.....채널 구조 101, 102.....투명 기판
103.....채널 형성층 104.....접합층
105.....산화막 110,120.....마이크로 채널
111, 112, 121, 122.....비어홀 115.....나노 채널
130.....나노 격자 140, 145.....광검출기
141.....대역 통과 필터 200, 201.....나노 입자 추적 장치

Claims (27)

  1. 시료가 수용되는, 마주보며 배치된 한 쌍의 마이크로 채널;
    상기 한 쌍의 마이크로 채널 사이에 연결되어 있으며, 시료 내의 나노 입자가 이동하는 통로인 적어도 하나의 나노 채널; 및
    상기 나노 채널의 하부에서 상기 나노 채널을 수직으로 가로질러 배치된 나노 격자;를 포함하는 채널 구조물.
  2. 제 1 항에 있어서,
    상기 나노 격자는 일정한 간격으로 배열된 다수의 나란한 불투명 막대들의 패턴을 갖는 채널 구조물.
  3. 제 2 항에 있어서,
    상기 나노 격자의 두께는 상기 나노 격자 재료의 표피 깊이보다 큰 채널 구조물.
  4. 제 2 항에 있어서,
    상기 다수의 나란한 불투명 막대들 사이의 간격은 가시광선의 파장보다 작은 채널 구조물.
  5. 제 1 항에 있어서,
    제 1 투명 기판;
    상기 제 1 투명 기판 상에 배치된 채널 형성층; 및
    상기 채널 형성층 위에 배치된 제 2 투명 기판;을 더 포함하는 채널 구조물.
  6. 제 5 항에 있어서,
    상기 한 쌍의 마이크로 채널은 상기 채널 형성층과 상기 제 1 투명 기판에 걸쳐 형성되어 있으며, 상기 나노 채널은 상기 한 쌍의 마이크로 채널 사이에서 채널 형성층의 상부 표면에 패터닝되어 있고, 상기 나노 격자는 상기 한 쌍의 마이크로 채널 사이에서 상기 제 1 투명 기판의 상부 표면 위에 형성되어 있으며 상기 채널 형성층에 의해 덮여 있는 채널 구조물.
  7. 제 5 항에 있어서,
    상기 한 쌍의 마이크로 채널에 시료를 제공하기 위한 것으로, 상기 한 쌍의 마이크로 채널의 양단부 중에서 적어도 하나의 단부에 연결되어 있는 비어홀을 더 포함하는 채널 구조물.
  8. 제 7 항에 있어서,
    상기 비어홀은 적어도 상기 제 1 투명 기판 또는 적어도 상기 제 2 투명 기판을 관통하여 형성되어 있는 채널 구조물.
  9. 제 1 항에 있어서,
    제 1 투명 기판;
    상기 제 1 투명 기판 상에 배치된 채널 형성층;
    상기 채널 형성층 상에 배치된 접합층; 및
    상기 접합층 위에 배치된 제 2 투명 기판;을 더 포함하는 채널 구조물.
  10. 제 9 항에 있어서,
    상기 한 쌍의 마이크로 채널은 상기 접합층, 상기 채널 형성층, 상기 제 1 투명 기판에 걸쳐 형성되어 있으며, 상기 나노 채널은 상기 한 쌍의 마이크로 채널 사이에서 상기 접합층에 패터닝되어 있고, 상기 나노 격자는 상기 한 쌍의 마이크로 채널 사이에서 상기 제 1 투명 기판의 상부 표면 위에 형성되어 있으며 상기 채널 형성층에 의해 덮여 있는 채널 구조물.
  11. 제 9 항에 있어서,
    상기 한 쌍의 마이크로 채널에 시료를 제공하기 위한 것으로, 상기 한 쌍의 마이크로 채널의 양단부 중에서 적어도 하나의 단부에 연결되어 있는 비어홀을 더 포함하는 채널 구조물.
  12. 제 11 항에 있어서,
    상기 비어홀은 상기 제 2 투명 기판과 상기 접합층을 관통하여 형성되거나, 또는 적어도 상기 제 1 투명 기판을 관통하여 형성되는 채널 구조물.
  13. 제 9 항에 있어서,
    상기 접합층은 폴리 실리콘을 포함하는 채널 구조물.
  14. 제 13 항에 있어서,
    상기 접합층은 상기 폴리 실리콘의 표면을 산화시켜 형성된 산화막으로 둘러싸여 있는 채널 구조물.
  15. 제 1 항 내지 제 14 항 중 어느 한 항에 따른 채널 구조물; 및
    상기 채널 구조물의 저면에서 상기 나노 채널에 대응하는 영역에 배치된 광검출기;를 포함하는 나노 입자 추적 장치.
  16. 제 15 항에 있어서,
    상기 채널 구조물과 광검출기 사이에 배치된 대역 통과 필터를 더 포함하는 나노 입자 추적 장치.
  17. 제 15 항에 있어서,
    상기 채널 구조물은 다수의 나노 채널을 포함하며, 각각의 나노 채널에 대해 광검출기가 하나씩 배치되어 있는 나노 입자 추적 장치.
  18. 일정한 간격으로 배열된 다수의 나란한 불투명 막대들의 패턴을 갖는 나노 격자를 제 1 투명 기판의 상부 표면에 형성하는 단계;
    상기 나노 격자를 덮도록 상기 제 1 투명 기판 위에 채널 형성층을 형성하는 단계;
    상기 채널 형성층 위에 접합층을 형성하는 단계;
    상기 나노 격자에 대응하는 상기 접합층의 일부 영역을 상기 나노 격자의 배열 방향과 수직한 방향으로 패터닝함으로써 나노 채널을 형성하는 단계;
    상기 접합층, 채널 형성층 및 제 1 투명 기판을 부분적으로 식각하여, 상기 나노 채널의 양쪽 단부와 연결되도록 한 쌍의 마이크로 채널을 형성하는 단계; 및
    상기 접합층 위에 제 2 투명 기판을 접합하는 단계;를 포함하는 채널 구조물의 제조 방법.
  19. 제 18 항에 있어서,
    상기 채널 형성층을 형성한 후, 상기 채널 형성층의 상부 표면을 평탄화하는 단계를 더 포함하는 채널 구조물의 제조 방법.
  20. 제 18 항에 있어서,
    상기 접합층은 폴리 실리콘을 포함하는 채널 구조물의 제조 방법.
  21. 제 20 항에 있어서,
    상기 제 2 투명 기판을 접합하기 전에, 상기 접합층의 표면을 산화시켜 상기 접합층을 둘러싸는 산화막을 형성하는 단계를 더 포함하는 채널 구조물의 제조 방법.
  22. 제 18 항에 있어서,
    상기 나노 격자의 두께는 상기 나노 격자 재료의 표피 깊이보다 큰 채널 구조물의 제조 방법.
  23. 제 18 항에 있어서,
    상기 다수의 나란한 불투명 막대들 사이의 간격은 가시광선의 파장보다 작은 채널 구조물의 제조 방법.
  24. 제 18 항에 있어서,
    상기 제 2 투명 기판과 접합층을 관통하여 상기 마이크로 채널의 단부에 연결되는 비어홀을 형성하는 단계;를 더 포함하는 채널 구조물의 제조 방법.
  25. 제 18 항에 있어서,
    적어도 상기 제 1 투명 기판을 관통하여 상기 마이크로 채널의 단부에 연결되는 비어홀을 형성하는 단계;를 더 포함하는 채널 구조물의 제조 방법.
  26. 일정한 간격으로 배열된 다수의 나란한 불투명 막대들의 패턴을 갖는 나노 격자를 제 1 투명 기판의 상부 표면에 형성하는 단계;
    상기 나노 격자를 덮도록 상기 제 1 투명 기판 위에 채널 형성층을 형성하는 단계;
    상기 나노 격자에 대응하는 상기 채널 형성층의 상부 표면의 일부 영역을 상기 나노 격자의 배열 방향과 수직한 방향으로 부분적으로 패터닝함으로써, 상기 채널 형성층의 상부 표면에 나노 채널을 형성하는 단계;
    상기 채널 형성층 및 제 1 투명 기판을 부분적으로 식각하여, 상기 나노 채널의 양쪽 단부와 연결되도록 한 쌍의 마이크로 채널을 형성하는 단계; 및
    상기 채널 형성층 위에 제 2 투명 기판을 접합하는 단계;를 포함하는 채널 구조물의 제조 방법.
  27. 제 26 항에 있어서,
    적어도 상기 제 1 투명 기판 또는 적어도 상기 제 2 투명 기판을 관통하여 상기 마이크로 채널의 단부에 연결되는 비어홀을 형성하는 단계;를 더 포함하는 채널 구조물의 제조 방법.
KR1020110008252A 2011-01-27 2011-01-27 나노 입자 추적 장치, 나노 입자 추적 장치의 채널 구조, 및 나노 입자 추적 장치의 채널 구조의 제조 방법 KR20120086911A (ko)

Priority Applications (2)

Application Number Priority Date Filing Date Title
KR1020110008252A KR20120086911A (ko) 2011-01-27 2011-01-27 나노 입자 추적 장치, 나노 입자 추적 장치의 채널 구조, 및 나노 입자 추적 장치의 채널 구조의 제조 방법
US13/160,854 US8724105B2 (en) 2011-01-27 2011-06-15 Nano particle tracking device, channel structure of the nano particle tracking device, and method of fabricating the channel structure of the nano particle tracking device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020110008252A KR20120086911A (ko) 2011-01-27 2011-01-27 나노 입자 추적 장치, 나노 입자 추적 장치의 채널 구조, 및 나노 입자 추적 장치의 채널 구조의 제조 방법

Publications (1)

Publication Number Publication Date
KR20120086911A true KR20120086911A (ko) 2012-08-06

Family

ID=46577114

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020110008252A KR20120086911A (ko) 2011-01-27 2011-01-27 나노 입자 추적 장치, 나노 입자 추적 장치의 채널 구조, 및 나노 입자 추적 장치의 채널 구조의 제조 방법

Country Status (2)

Country Link
US (1) US8724105B2 (ko)
KR (1) KR20120086911A (ko)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FI3629011T3 (fi) * 2008-09-16 2024-01-29 Pacific Biosciences California Inc Integroitu optinen laite
CN109082084B (zh) * 2018-07-04 2021-06-29 温州大学 一种具有纳米孔道的高分子膜及其制备方法

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5637469A (en) * 1992-05-01 1997-06-10 Trustees Of The University Of Pennsylvania Methods and apparatus for the detection of an analyte utilizing mesoscale flow systems
US6635163B1 (en) 1999-06-01 2003-10-21 Cornell Research Foundation, Inc. Entropic trapping and sieving of molecules
JP2002139499A (ja) 2000-08-23 2002-05-17 Sanyo Electric Co Ltd 化学物質センサおよび化学物質の検出方法
JP4179169B2 (ja) 2004-01-08 2008-11-12 カシオ計算機株式会社 分析装置
KR101159072B1 (ko) * 2005-01-20 2012-06-25 삼성전자주식회사 나노포어를 이용한 생분자의 분리방법
JP2006337245A (ja) 2005-06-03 2006-12-14 Matsushita Electric Ind Co Ltd 蛍光読み取り装置
US8184284B2 (en) * 2005-06-14 2012-05-22 Ebstein Steven M Laser-processed substrate for molecular diagnostics
KR100753979B1 (ko) * 2005-09-07 2007-08-31 삼성전자주식회사 Dna 검출 장치
EP2152417B1 (en) * 2007-05-04 2018-07-11 Opko Diagnostics, LLC Device and method for analyses in microfluidic systems
US20080316490A1 (en) * 2007-06-19 2008-12-25 National Tsing Hua University Planar surface plasmon resonance detector
WO2010009543A1 (en) * 2008-07-21 2010-01-28 Valorbec S.E.C. A microfluidic device and method for fabricating the microfluidic device
KR101095315B1 (ko) 2008-12-29 2011-12-16 주식회사 디지탈바이오테크놀러지 마이크로 채널
US20110215002A1 (en) * 2010-02-16 2011-09-08 William Emerson Martinez Sensing device and related methods

Also Published As

Publication number Publication date
US8724105B2 (en) 2014-05-13
US20120194811A1 (en) 2012-08-02

Similar Documents

Publication Publication Date Title
US8830450B2 (en) Methods and systems for Raman and optical cross-interrogation in flow-through silicon membranes
KR101904248B1 (ko) 분자의 분석 및 식별을 위한 방법 및 장치
EP1801562B1 (en) Sensing photon energies emanating from channels or moving objects
CN104359874B (zh) 集成分析装置及相关制造方法和分析技术
KR102217008B1 (ko) 유동 세포 계측 시스템 및 방법
JP5904958B2 (ja) 半導体マイクロ分析チップ及びその製造方法
CA3057068A1 (en) Multi-electrode structures for molecular sensing devices and methods of making same
US8947657B2 (en) Methods for isolation and viability assessment of biological organisms
US20120292496A1 (en) Flow through metallic nanohole arrays
US20100253940A1 (en) Structure for surface enhanced raman spectroscopy
JP7439293B2 (ja) 導波管強化分析物検出ストリップを備えたドッキングステーション
TWI704902B (zh) 生物感測器
JP2017526915A (ja) センシング装置
KR20120086911A (ko) 나노 입자 추적 장치, 나노 입자 추적 장치의 채널 구조, 및 나노 입자 추적 장치의 채널 구조의 제조 방법
US9829425B2 (en) Optofluidic devices and methods for sensing single particles
JP6054604B2 (ja) マイクロ・ナノ流体解析デバイスおよびその製造方法
US10379075B2 (en) Sample collection device and manufacturing method thereof
CA3175327C (en) Waveguide enhanced analyte detection apparatus
Cleary et al. Integrating optics and microfluidics for time-correlated single-photon counting in lab-on-a-chip devices
CN109073642A (zh) 用于生物感测和其它应用的传感器设备
CN108195805B (zh) 微流体感测元件及其制作方法
KR101240294B1 (ko) 실리콘 나노와이어 바이오센서 모듈
JP2017215273A (ja) 蛍光センサ
IL296590B1 (en) A docking station with an enhanced analyte detection strip using a waveguide
Köck et al. Electrical and optical nanosensors

Legal Events

Date Code Title Description
WITN Application deemed withdrawn, e.g. because no request for examination was filed or no examination fee was paid