KR20120078607A - Cleaning composition for semiconductor device and cleaning method of semiconductor device using the same - Google Patents

Cleaning composition for semiconductor device and cleaning method of semiconductor device using the same Download PDF

Info

Publication number
KR20120078607A
KR20120078607A KR1020110141792A KR20110141792A KR20120078607A KR 20120078607 A KR20120078607 A KR 20120078607A KR 1020110141792 A KR1020110141792 A KR 1020110141792A KR 20110141792 A KR20110141792 A KR 20110141792A KR 20120078607 A KR20120078607 A KR 20120078607A
Authority
KR
South Korea
Prior art keywords
liquid composition
cleaning liquid
semiconductor device
weight
group
Prior art date
Application number
KR1020110141792A
Other languages
Korean (ko)
Inventor
박용엽
최정민
김고은
안강수
Original Assignee
제일모직주식회사
삼성전자주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 제일모직주식회사, 삼성전자주식회사 filed Critical 제일모직주식회사
Priority to CN2011104480911A priority Critical patent/CN102533273A/en
Priority to US13/339,437 priority patent/US20120172272A1/en
Priority to TW100149821A priority patent/TW201233794A/en
Publication of KR20120078607A publication Critical patent/KR20120078607A/en

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • G03F7/425Stripping or agents therefor using liquids only containing mineral alkaline compounds; containing organic basic compounds, e.g. quaternary ammonium compounds; containing heterocyclic basic compounds containing nitrogen
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/06Silver salts
    • G03F7/063Additives or means to improve the lithographic properties; Processing solutions characterised by such additives; Treatment after development or transfer, e.g. finishing, washing; Correction or deletion fluids
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • G03F7/423Stripping or agents therefor using liquids only containing mineral acids or salts thereof, containing mineral oxidizing substances, e.g. peroxy compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02043Cleaning before device manufacture, i.e. Begin-Of-Line process
    • H01L21/02052Wet cleaning only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02082Cleaning product to be cleaned
    • H01L21/0209Cleaning of wafer backside
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes

Abstract

PURPOSE: A composition for a semiconductor device washing solution and a method for washing a semiconductor device using the same are provided to improve the productivity of a semiconductor manufacturing process by minimizing the damages of a metal wiring and an oxide film. CONSTITUTION: A composition for a semiconductor device washing solution includes 0.001 to 0.5 weight% of a fluorine-based compound, 0.1 to 10 weight% of an ammonium hydroxide-based compound substituted with an alkyl group, an aryl group, or an aralkyl group, 0.1 to 10 weight% of carboxylic acid containing nitrogen, 0.01 to 1 weight% of a water soluble polymer compound, and remaining amount of water. The carboxylic acid containing nitrogen includes one or more selected from a group including iminodiacetic acid, proline, hydroxyproline, 1-pyrroline-5-carboxylic acid, N-acetylglutamate, cilastatin, and folic acid. The fluorine-based compound includes one or more selected from a group including hydrofluoric acid(HF), ammonium fluoride(NH_4F), ammonium bifluoride(NH_4F-HF), tetramethylammonium fluoride(N(CH_3)_4F), fluoboric acid(HBF_4), and fluorobenzene(C_6H_5F).

Description

반도체 소자 세정액 조성물 및 이를 이용한 반도체 소자의 세정 방법{CLEANING COMPOSITION FOR SEMICONDUCTOR DEVICE AND CLEANING METHOD OF SEMICONDUCTOR DEVICE USING THE SAME}CLEANING COMPOSITION FOR SEMICONDUCTOR DEVICE AND CLEANING METHOD OF SEMICONDUCTOR DEVICE USING THE SAME

본 발명은 반도체 소자 세정액 조성물 및 이를 이용한 반도체 소자의 세정 방법에 관한 것이다. 보다 구체적으로, 본 발명은 세정액 조성물에 질소를 함유한 카르복실산을 포함시켜, 패터닝 대상막 상의 포토레지스트 잔류물 또는 식각 잔류물 등을 효과적으로 제거할 수 있는 반도체 소자 세정액 조성물 및 이를 이용한 반도체 소자의 세정 방법에 관한 것이다.The present invention relates to a semiconductor device cleaning liquid composition and a method for cleaning a semiconductor device using the same. More specifically, the present invention provides a semiconductor device cleaning liquid composition and a semiconductor device using the same, which can effectively remove photoresist residues or etching residues on the patterning film by including carboxylic acid containing nitrogen in the cleaning solution composition. It relates to a cleaning method.

반도체 소자를 제조하기 위한 다양한 공정들, 예컨대 건식 식각 공정 또는 이온 주입 공정 등을 실시한 후에는 마스크로 사용된 포토레지스트 패턴을 제거해야 한다. 또한, 포토레지스트 패턴이 미스얼라인(misalign)된 경우에도 새로운 포토레지스트 패턴을 형성하기 위해서 이를 제거해야 한다. After performing various processes for manufacturing a semiconductor device, such as a dry etching process or an ion implantation process, the photoresist pattern used as a mask should be removed. In addition, even when the photoresist pattern is misaligned, it must be removed to form a new photoresist pattern.

이러한 포토레지스트 제거 공정의 주요 요소는 구리 및 알루미늄을 포함하는 하부막을 손상(damaging)시키지 않으면서 가능한 빨리 포토레지스트 잔류물 및 식각 잔류물 등을 기판 표면으로부터 완전하게 제거하는 것이다. 일반적으로 포토레지스트 제거 공정은 에싱(ashing) 공정과 같은 건식 스트립 공정과 유기 스트리퍼를 사용하는 습식 스트립 공정의 조합으로 진행된다. 습식 스트립 공정은 건식 스트립 공정인 에싱 공정시 완전히 제거되지 않고 잔존하는 포토레지스트 잔류물과, 다양한 패턴, 예컨대 텅스텐, 알루미늄, 구리, 티타늄 또는 티타늄 질화물로 구성된 단층 또는 다층 배선 패턴을 형성하기 위한 식각 공정 또는 이 배선 패턴을 노출시키는 콘택홀 또는 비아홀들을 형성하기 위한 식각 공정 또는 에싱 공정시 발생한 식각 잔류물과 같은 불순물을 집적 회로 기판의 표면으로부터 제거한다. A key component of this photoresist removal process is the complete removal of photoresist residues and etch residues from the substrate surface as soon as possible without damaging the underlying films comprising copper and aluminum. In general, the photoresist removal process is a combination of a dry strip process such as an ashing process and a wet strip process using an organic stripper. The wet strip process is an etching process for forming a single layer or multi-layer wiring pattern composed of various patterns, such as tungsten, aluminum, copper, titanium or titanium nitride, and remaining photoresist residues that are not completely removed during the ashing process, which is a dry strip process. Or impurities such as an etching residue generated during an etching process or an ashing process for forming contact holes or via holes exposing the wiring pattern are removed from the surface of the integrated circuit board.

이 때, 제거해야 하는 주요 식각 부산물로는 플라즈마 식각 또는 반응성 이온 식각(reactive ion etching; RIE) 공정시 포토레지스트 패턴을 구성하는 C, H, O 등의 성분과 배선 물질이 플라즈마와 반응하여 형성된 유기 폴리머, 식각 공정 또는 에싱 공정시 배선 물질이 포토레지스트 패턴 및 콘택홀 또는 비아홀의 측벽으로 백-스퍼터링(back-sputtering)되어 형성된 유기 금속성 폴리머(organo-metallic polymer), 및 배선 패턴 하부의 절연막 등이 과식각되면서 백-스퍼터링되어 형성된 절연물 또는 금속성 산화물등이 있다. At this time, the main etching by-products to be removed include organic compounds formed by reacting the plasma and components such as C, H, O, and the like, which form the photoresist pattern during the plasma etching or reactive ion etching (RIE) process, with the plasma. In the polymer, etching process or ashing process, an organic-metallic polymer formed by back-sputtering the photoresist pattern and the sidewalls of the contact hole or the via hole, and an insulating film under the wiring pattern There is an insulator or metallic oxide formed by being over-etched and back-sputtered.

이와 같은 포토레지스트 잔류물 또는 식각 잔류물을 제거하기 위한 종래 기술의 조성물은 알루미늄 배선을 포함하는 세정 공정에는 적합하나 구리 배선이 부식되는 단점이 있다. 일부 종래 조성물은 세정 공정에서 바람직하지 않는 구리 배선 부식을 방지하기 위해 부식 억제용 첨가제를 사용한다. 그러나, 종래의 부식 억제 첨가제는 식각 잔류물과 상호 작용하여 잔류물이 세정액 중에 용해되는 것을 억제하기 때문에, 이들 첨가제는 세정 공정에 유해한 영향을 미치는 것이 일반적이다. 또한, 종래의 첨가제는 세정 공정이 완료된 후에도 구리 표면에 남게 되어, 반도체 기판의 오염을 유발한다. 반도체 기판의 오염은 전기 저항을 증가시켜 반도체 소자의 신뢰성 또는 공정 수율이 저하될 수 있다. The prior art compositions for removing such photoresist residues or etch residues are suitable for cleaning processes including aluminum interconnects but have the disadvantage of corrosive copper interconnects. Some conventional compositions use corrosion inhibitor additives to prevent undesirable copper wiring corrosion in the cleaning process. However, since conventional corrosion inhibitor additives interact with the etching residues to inhibit the residues from dissolving in the cleaning liquid, these additives generally have a detrimental effect on the cleaning process. In addition, conventional additives remain on the copper surface even after the cleaning process is completed, causing contamination of the semiconductor substrate. Contamination of the semiconductor substrate may increase electrical resistance, thereby lowering the reliability or process yield of the semiconductor device.

이를 위해 구리 및 알루미늄 등의 금속 배선에 부식 없이 포토레지스트 잔류물 또는 식각 잔류물을 효과적으로 제거할 수 있는 동시에, 쉽게 린스될 수 있는 부식 방지제를 함유하는 조성물의 개발이 요구되고 있다.To this end, there is a need for the development of a composition containing a corrosion inhibitor which can effectively remove photoresist residues or etch residues without corrosion in metal wirings such as copper and aluminum and can be easily rinsed.

본 발명의 목적은 포토레지스트 뿐만 아니라 폴리머, 유기 금속성 폴리머 및 금속 산화물과 같은 식각 잔류물의 제거 능력이 뛰어나고 구리 및 알루미늄을 포함하는 하부막에 손상을 일으키지 않을 뿐만 아니라 린스공정을 실시한 후에도 부식 방지용 첨가제가 남지 않는 반도체 소자용 세정액 조성물을 제공하는 것이다. An object of the present invention is to remove not only photoresist but also etching residues such as polymers, organometallic polymers and metal oxides, and to not damage the lower layer including copper and aluminum, and to prevent corrosion additives after rinsing. It is providing the cleaning liquid composition for semiconductor elements which remains.

본 발명의 다른 목적은 상기 반도체 소자용 세정액 조성물을 이용한 반도체 소자의 세정 방법을 제공하는 것이다. Another object of the present invention is to provide a method for cleaning a semiconductor device using the cleaning liquid composition for semiconductor devices.

본 발명의 일 측면인 반도체 소자용 세정액 조성물은 불소계 화합물 0.001-0.5중량%, 알킬, 아릴 또는 아랄킬 치환된 수산화암모늄계 화합물 0.1-10중량%, 질소를 함유한 카르복실산 0.1-10중량%, 수용성 고분자 화합물 0.01-1중량% 및 잔량의 물을 포함할 수 있다.The cleaning liquid composition for a semiconductor device, which is an aspect of the present invention, includes 0.001-0.5% by weight of a fluorine compound, 0.1-10% by weight of an alkyl, aryl or aralkyl substituted ammonium hydroxide compound, and 0.1-10% by weight of a carboxylic acid containing nitrogen. It may include 0.01-1% by weight of a water-soluble polymer compound and the balance of water.

본 발명의 다른 일 측면인 반도체 소자의 세정 방법은 패터닝 대상막이 식각된 반도체 기판을 상기 반도체 세정액 조성물로 세정하는 단계를 포함할 수 있다.According to another aspect of the present invention, a method of cleaning a semiconductor device may include cleaning a semiconductor substrate on which a patterning layer is etched, using the semiconductor cleaning liquid composition.

본 발명의 구리 및 알루미늄 배선을 포함하는 반도체 소자용 세정액 조성물은 포토레지스트 잔류물 및 미세 식각 잔류물을 금속의 과 식각없이 효과적으로 제거할 수 있다. 이에 따라, 반도체 장치 중 디램, 플래시 메모리의 제조 공정에 있어서 기판 상에 포함된 금속 배선 및 산화막의 손상을 최소화하는 동시에 상기 기판으로부터 포토레지스트 잔류물 및 미세 식각 잔류물만을 선택적으로 제거할 수 있다. The cleaning liquid composition for a semiconductor device including the copper and aluminum wirings of the present invention can effectively remove photoresist residues and fine etching residues without over-etching of metals. Accordingly, in the manufacturing process of the DRAM and the flash memory of the semiconductor device, only the photoresist residue and the fine etch residue may be selectively removed from the substrate while minimizing damage to the metal wiring and the oxide film included on the substrate.

결과적으로, 본 발명의 반도체 소자용 세정액 조성물은 포토레지스트 잔류물 및 미세 식각 잔류물만을 효과적으로 제거함과 동시에 디램 및 플래쉬 메모리등과 같은 반도체 장치의 불량을 방지하여 반도체 제조 공정의 생산성을 향상시킬 수 있는 장점을 가진다.As a result, the cleaning liquid composition for a semiconductor device of the present invention can effectively remove only photoresist residues and fine etching residues and at the same time prevent defects of semiconductor devices such as DRAM and flash memory to improve productivity of the semiconductor manufacturing process. Has an advantage.

본 발명의 일 측면에 따른 세정액 조성물은 반도체 기판 상에 각종 박막(예를 들면 각종 금속막 또는 절연막)을 형성하고 포토레지스트를 이용해 이들 박막을 패터닝(식각)한 후에, 상기 박막이나 반도체 기판 상에 잔류하는 포토레지스트 잔류물 또는 식각 잔류물을 보다 효과적으로 제거할 수 있다.The cleaning liquid composition according to an aspect of the present invention is formed on the semiconductor substrate after forming a variety of thin films (for example, various metal films or insulating films) and patterning (etching) these thin films using a photoresist, on the thin film or semiconductor substrate Residual photoresist residues or etch residues can be more effectively removed.

또한, 본 발명의 세정액 조성물은 각종 박막의 손상도 거의 초래하지 않으므로, 포토레지스트 잔류물 또는 식각 잔류물을 효과적으로 제거하고 부식방지제에 의한 반도체 기판의 오염을 억제하면서도, 상기 세정 과정에서 반도체 소자의 각종 박막이 손상되는 것을 줄일 수 있다.In addition, the cleaning liquid composition of the present invention hardly causes damage to various thin films, and thus effectively removes photoresist residues or etch residues and suppresses contamination of the semiconductor substrate by corrosion inhibitors, The damage to the thin film can be reduced.

이러한 본 발명의 반도체 소자 세정액 조성물은 불소계 화합물 0.001-0.5 중량%, 알킬, 아릴 또는 아랄킬 치환된 수산화암모늄계 화합물 0.1-10중량%, 질소를 함유한 카르복실산 0.1-10중량%, 수용성 고분자 화합물 0.01-1중량% 및 잔량의 물을 포함할 수 있다.The semiconductor device cleaning liquid composition of the present invention is 0.001-0.5% by weight of fluorine-based compound, 0.1-10% by weight of alkyl, aryl or aralkyl substituted ammonium hydroxide compound, 0.1-10% by weight of carboxylic acid containing nitrogen, water-soluble polymer 0.01-1% by weight of the compound and the balance of water may be included.

이하, 본 발명의 세정액 조성물에 포함되는 성분을 상세하게 설명한다.Hereinafter, the component contained in the cleaning liquid composition of this invention is demonstrated in detail.

불소계 화합물Fluorine compound

불소계 화합물은 산화 실리콘 성분 등을 용해하여 규소플루오르화수소산의 염인 규불화물을 생성하면서 식각 반응이 이루어지게 한다. 식각 반응에 의하여 에싱 처리 후에 잔류하는 산화성 폴리머 잔류물, 측벽 폴리머 잔류물, 박막의 측벽 또는 저면에 잔류하는 유기 금속 화합물이나 금속 산화물 등의 각종 포토레지스트 잔류물 또는 식각 잔류물을 제거할 수 있다. 상기 유기 금속 화합물이나 금속 산화물에서 금속은 구리, 구리 합금, 티타늄, 티타늄 질화물, 탄탈륨, 탄탈륨 질화물, 텅스텐, 티타늄과 텅스텐의 합금, 알루미늄 및 알루미늄 합금으로 이루어진 군으로부터 선택되는 1종 이상을 포함할 수 있지만, 이들에 제한되지 않는다.The fluorine-based compound dissolves a silicon oxide component or the like to produce an etching reaction while generating a silicide, which is a salt of hydrofluoric acid silicon. By the etching reaction, various photoresist residues or etching residues such as oxidative polymer residues, sidewall polymer residues, organic metal compounds or metal oxides remaining on the sidewalls or bottom of the thin film can be removed. The metal in the organometallic compound or metal oxide may include one or more selected from the group consisting of copper, copper alloys, titanium, titanium nitrides, tantalum, tantalum nitrides, tungsten, alloys of titanium and tungsten, aluminum and aluminum alloys. However, it is not limited to these.

불소계 화합물은 불산(HF), 불화암모늄(NH4F), 중불화암모늄(NH4F?HF), 불화테트라메틸암모늄(N(CH3)4F), 불화붕소산(HBF4) 및 불화벤젠(C6H5F)으로 이루어진 군으로부터 선택되는 1종 이상을 포함할 수 있지만, 이에 제한되지 않는다. Fluorine compounds include hydrofluoric acid (HF), ammonium fluoride (NH 4 F), ammonium bifluoride (NH 4 F? HF), tetramethylammonium fluoride (N (CH 3 ) 4 F), fluorofluoric acid (HBF 4 ), and fluoride Benzene (C 6 H 5 F) It may include one or more selected from the group consisting of, but is not limited thereto.

불소계 화합물은 포토레지스트 잔류물 또는 식각 잔류물의 종류에 따라 상기 불소계 화합물의 종류를 달리하여 사용할 수 있다. 바람직하게는 산화성 폴리머 잔류물의 제거하기 위해서는 불소계 화합물로 불산 또는 불산을 포함하는 혼합물을 사용할 수 있다. 또한, 바람직하게는 유기 금속 화합물이나 금속 산화물 등을 제거하기 위해서는 불소계 화합물로 불화암모늄 또는 불화암모늄을 포함하는 혼합물을 사용할 수 있다. The fluorine-based compound may be used by varying the type of the fluorine-based compound according to the kind of photoresist residue or etching residue. Preferably, in order to remove oxidative polymer residues, hydrofluoric acid or a mixture containing hydrofluoric acid may be used. In addition, preferably, in order to remove an organometallic compound, metal oxide, or the like, a mixture containing ammonium fluoride or ammonium fluoride as the fluorine compound may be used.

불소계 화합물은 상기 세정액의 조성물 중에 0.001-0.5중량%로 포함될 수 있다. 0.001중량% 미만인 경우, 포토레지스트 잔류물 또는 식각 잔류물을 효과적으로 제거할 수 없다. 0.5중량% 초과인 경우, 불소계 화합물의 함량이 지나치게 늘어나 반도체 기판 상의 각종 박막 또는 이들의 패턴이 부식 또는 손상될 수 있다. 바람직하게는, 0.01~0.5중량%로 포함될 수 있다.
The fluorine-based compound may be included in an amount of 0.001-0.5% by weight in the composition of the cleaning solution. If less than 0.001% by weight, the photoresist residue or etch residue cannot be removed effectively. If the amount is more than 0.5 wt%, the content of the fluorine-based compound may be excessively increased, and various thin films or patterns thereof on the semiconductor substrate may be corroded or damaged. Preferably, it may be included in 0.01 to 0.5% by weight.

알킬기, Alkyl group, 아릴기Aryl group 또는  or 아랄킬기Aralkyl 치환된 수산화암모늄계 화합물 Substituted ammonium hydroxide compound

알킬기, 아릴기 또는 아랄킬기 치환된 수산화암모늄계 화합물은 상기 불소계 화합물과 함께 산화성 폴리머 잔류물, 유기 금속 화합물 또는 금속 산화물 등의 각종 포토레지스트 잔류물이나 식각 잔류물을 제거하는 역할을 한다. 또한, 상기 화합물은 상기 세정액 조성물에 분산된 입자 또는 금속 이온의 반도체 기판 등의 표면에 흡착되는 것을 억제할 수 있다. 또한, 상기 화합물은 상기 입자 또는 금속 이온의 재흡착에 의해 입자 오염 또는 금속 오염이 발생하는 것을 억제하는 작용도 할 수 있다.The ammonium hydroxide compound substituted with an alkyl group, an aryl group or an aralkyl group serves to remove various photoresist residues or etching residues such as oxidative polymer residues, organometallic compounds or metal oxides together with the fluorine-based compounds. Moreover, the said compound can suppress adsorb | sucking to the surface of the semiconductor substrate etc. of the particle | grains or metal ion which were disperse | distributed to the said cleaning liquid composition. In addition, the compound may also act to suppress the generation of particle contamination or metal contamination by resorption of the particles or metal ions.

알킬기, 아릴기 또는 아랄킬기 치환된 수산화암모늄계 화합물은 암모늄계 이온(NH4 +)에서 질소와 결합된 수소 대신에 C1-C20의 알킬기, C6-C20의 아릴기 및 C6-C20의 아랄킬기로 이루어진 군으로부터 선택되는 1개 이상의 치환기가 결합된 암모늄계 이온을 포함하는 화합물일 수 있다. 바람직하게는, 상기 치환기는 1개 내지 4개 결합될 수 있다. 바람직하게는, 상기 치환기는 C1-C20의 알킬기, C6-C20의 아릴기 및 C7-C20의 아랄킬기로 이루어진 군으로부터 선택되는 1종 이상이 될 수 있다.Alkyl, aryl, or aralkyl group-substituted ammonium hydroxide-based compounds are substituted with hydrogen bonded to nitrogen in ammonium-based ions (NH 4 + ) with C1-C20 alkyl groups, C6-C20 aryl groups and C6-C20 aralkyl groups. It may be a compound containing an ammonium-based ion bonded to one or more substituents selected from the group consisting of. Preferably, one to four substituents may be bonded. Preferably, the substituent may be at least one selected from the group consisting of an alkyl group of C1-C20, an aryl group of C6-C20, and an aralkyl group of C7-C20.

알킬기, 아릴기 또는 아랄킬기 치환된 수산화암모늄계 화합물은 수산화테트라메틸암모늄, 수산화테트라에틸암모늄, 수산화테트라프로필암모늄, 수산화테트라옥틸암모늄, 수산화벤질트리에틸암모늄, 수산화디에틸디메틸암모늄, 수산화헥사데실트리메틸암모늄 및 수산화메틸트리부틸암모늄으로 이루어진 군으로부터 선택되는 1종 이상을 포함할 수 있지만, 이들에 제한되지 않는다.The alkyl, aryl or aralkyl group-substituted ammonium hydroxide compound is tetramethylammonium hydroxide, tetraethylammonium hydroxide, tetrapropylammonium hydroxide, tetraoctylammonium hydroxide, benzyltriethylammonium hydroxide, diethyldimethylammonium hydroxide, hexadecyltrimethyl hydroxide It may include, but is not limited to, one or more selected from the group consisting of ammonium and methyltributylammonium hydroxide.

바람직하게는, 알킬기, 아릴기 또는 아랄킬기 치환된 수산화암모늄계 화합물로 수산화테트라메틸암모늄 또는 이를 포함하는 혼합물을 사용할 수 있다. 수산화테트라메틸암모늄은 유기성을 띄는 각종 잔류물이나 산화물을 효과적으로 제거하고 상기 입자 오염 또는 금속 오염을 더욱 억제할 수 있다. Preferably, tetramethylammonium hydroxide or a mixture containing the same may be used as the ammonium hydroxide compound substituted with an alkyl group, an aryl group, or an aralkyl group. Tetramethylammonium hydroxide can effectively remove various organic residues and oxides, and further suppress particle contamination or metal contamination.

알킬기, 아릴기 또는 아랄킬기 치환된 수산화암모늄계 화합물은 상기 세정액 조성물 중에 0.1-10중량%로 포함될 수 있다. 0.1중량% 미만인 경우, 각종 포토레지스트 잔류물 또는 식각 잔류물을 보다 효과적으로 제거할 수 있다. 10중량% 초과인 경우, 반도체 기판 상의 각종 박막 또는 이들의 패턴이 부식 또는 손상될 수 있다. 바람직하게는, 0.3-10중량%로 포함될 수 있다.
The alkyl group, aryl group, or aralkyl group-substituted ammonium hydroxide compound may be included in the cleaning solution composition at 0.1-10% by weight. If less than 0.1% by weight, various photoresist residues or etch residues can be more effectively removed. If more than 10% by weight, various thin films or patterns thereof on the semiconductor substrate may be corroded or damaged. Preferably, it may be included in 0.3-10% by weight.

질소를 함유한 Nitrogen-containing 카르복실산Carboxylic acid

질소를 함유한 카르복실산은 유기 금속 화합물 또는 금속 산화물 등의 잔류물을 제거하는 역할을 한다. Nitrogen-containing carboxylic acids serve to remove residues such as organometallic compounds or metal oxides.

또한 상기 질소를 함유한 카르복실산은 세정액 조성물의 pH를 3.0 내지 6.0의 범위로 조절하는 기능을 할 수도 있다. 상기 질소를 함유한 카르복실산으로 세정액 조성물의 pH 범위를 적절히 조절함에 따라 불소계 화합물의 함량이 지나치게 늘어나는 것을 억제할 수 있으므로, 반도체 기판 상의 각종 박막 또는 이들의 패턴이 손상 부식되는 것도 최소화할 수 있다.In addition, the carboxylic acid containing nitrogen may function to adjust the pH of the cleaning liquid composition in the range of 3.0 to 6.0. By appropriately adjusting the pH range of the cleaning liquid composition with the nitrogen-containing carboxylic acid, it is possible to suppress an excessive increase in the content of the fluorine-based compound, thereby minimizing damage corrosion of various thin films or patterns thereof on the semiconductor substrate. .

더욱이, 탄소, 산소 및 수소만을 포함하는 카르복실산 대비, 질소를 함유한 카르복실산은 구리 배선에 손상을 일으키지 않으며, 유기 금속성 폴리머 및 금속 산화물과 같은 식각 잔류물의 제거 능력이 뛰어나다는 이점을 갖고 있다. Moreover, compared with carboxylic acids containing only carbon, oxygen and hydrogen, nitrogen-containing carboxylic acids have the advantage of not damaging copper wiring and having an excellent ability to remove etching residues such as organometallic polymers and metal oxides. .

질소를 함유한 카르복실산은 수용액 상태에서 산성을 나타내 상기 세정액의 조성물의 pH를 조절할 수 있는 임의의 카르복실산 또는 디카르복실산을 제한 없이 사용할 수 있다. 예를 들면, 상기 질소를 함유한 카르복실산으로는 이미노디아세트산, 프롤린, 히드록시프롤린, 1-피롤린-5-카르복실산, N-아세틸글루타민산, 실라스타틴 및 엽산으로 이루어진 군으로부터 선택되는 1종 이상을 포함할 수 있지만, 이들에 제한되지 않는다.The carboxylic acid containing nitrogen can use any carboxylic acid or dicarboxylic acid which shows acidity in the aqueous solution state and can adjust the pH of the composition of the cleaning liquid without limitation. For example, the nitrogen-containing carboxylic acid is selected from the group consisting of iminodiacetic acid, proline, hydroxyproline, 1-pyrroline-5-carboxylic acid, N-acetylglutamic acid, cilastatin and folic acid. Although it may contain 1 or more types, it is not limited to these.

바람직하게는, 질소를 함유한 카르복실산으로 이미노디아세트산 또는 이미노디아세트산을 포함하는 혼합물을 사용할 수 있다. 이미노디아세트산은 세정액 조성물의 pH를 적절한 범위로 조절할 수 있으면서도, 금속 산화물을 제거하는 효과도 갖고 있다.Preferably, a nitrogen-containing carboxylic acid may be used which includes iminodiacetic acid or iminodiacetic acid. Iminodiacetic acid also has the effect of removing metal oxides while being able to adjust the pH of the cleaning liquid composition to an appropriate range.

질소를 함유한 카르복실산은 세정액 중의 pH 범위에 따라 적절한 함량을 포함할 수 있다. 예를 들면, 상기 질소를 함유한 카르복실산은 상기 세정액 조성물 중 0.1-10중량%로 포함될 수 있다. 이에 따라, 상기 세정액 조성물의 pH를 적절한 범위로 조절하여 각종 유기 금속 화합물 또는 금속 산화물을 효과적으로 제거할 수 있으면서도, 반도체 기판 상의 각종 박막 또는 이들의 패턴(예를 들어, 금속 패턴 또는 산화막 패턴)이 손상되는 것을 더욱 줄일 수 있다. 바람직하게는 0.5-10중량%로 포함될 수 있다.
The carboxylic acid containing nitrogen may contain an appropriate content depending on the pH range in the cleaning liquid. For example, the nitrogen-containing carboxylic acid may be included in 0.1-10% by weight of the cleaning liquid composition. Accordingly, while the pH of the cleaning liquid composition can be adjusted to an appropriate range to effectively remove various organometallic compounds or metal oxides, various thin films or patterns thereof (for example, metal patterns or oxide film patterns) on the semiconductor substrate are damaged. You can reduce that even more. Preferably it may be included in 0.5-10% by weight.

수용성 고분자 화합물Water soluble high molecular compound

수용성 고분자 화합물은 금속막의 부식 방지 역할을 한다. 종래의 부식방지제의 경우는 세정 공정이 완료된 후에도 금속 표면에 남게 되어, 반도체 기판의 오염을 유발할 수 있다. 그러나, 수용성 고분자는 세정 후 세척 과정을 거치게 되면 쉽게 린스(rinse)될 수 있어 금속 표면에 잔류하는 양이 적어, 반도체 기판의 오염을 최소화할 수 있다.The water-soluble high molecular compound serves to prevent corrosion of the metal film. Conventional corrosion inhibitors may remain on the metal surface even after the cleaning process is completed, which may cause contamination of the semiconductor substrate. However, the water-soluble polymer can be easily rinsed after the washing process, so that the amount of the water-soluble polymer remains on the metal surface to minimize contamination of the semiconductor substrate.

수용성 고분자 화합물로는 폴리비닐알콜, 폴리에틸렌글리콜, 폴리에틸렌이민 및 폴리(메타)아크릴산로 이루어진 군으로부터 선택되는 1종 이상을 포함할 수 있지만, 이들에 제한되지 않는다. 수용성 고분자 화합물은 주로 생성되는 금속막의 종류에 따라 상기 수용성 고분자 화합물의 종류를 달리하여 사용할 수 있다. The water-soluble high molecular compound may include, but is not limited to, one or more selected from the group consisting of polyvinyl alcohol, polyethylene glycol, polyethyleneimine and poly (meth) acrylic acid. The water-soluble high molecular compound can be used by varying the type of the water-soluble high molecular compound mainly depending on the type of metal film to be produced.

수용성 고분자 화합물은 상기 세정액의 조성물 중 0.01-1중량%로 포함될 수 있다. 0.01중량% 미만인 경우, 금속막의 부식을 방지 또는 제어하는 효과가 미약할 수 있다. 1중량% 초과인 경우, 수용성 고분자 화합물의 함량이 지나치게 늘어나면 반도체 기판 상의 각종 포토레지스트 잔류물이나 식각 잔류물의 제거를 방해할 수 있다. 바람직하게는, 수용성 고분자 화합물은 0.02-0.5중량%로 포함될 수 있다.
The water-soluble high molecular compound may be included in 0.01-1% by weight of the composition of the cleaning solution. If less than 0.01% by weight, the effect of preventing or controlling the corrosion of the metal film may be weak. If it is more than 1% by weight, excessively increasing the content of the water-soluble polymer compound may prevent the removal of various photoresist residues or etching residues on the semiconductor substrate. Preferably, the water-soluble high molecular compound may be included in 0.02-0.5% by weight.

또한, 상기 반도체 세정액 조성물은 상술한 각 구성 성분 외에 잔량의 물을 포함할 수 있다. 이러한 물에 상술한 각 구성 성분이 용해된 형태로서 상기 세정액 조성물이 반도체 기판 또는 반도체 기판 상의 각종 구조물을 세정하는 데 사용될 수 있다.
In addition, the semiconductor cleaning liquid composition may include a residual amount of water in addition to the components described above. The cleaning liquid composition may be used to clean a semiconductor substrate or various structures on the semiconductor substrate in a form in which each of the above-described components are dissolved in such water.

반도체 세정액 조성물의 pH는 3.0-6.0의 범위가 될 수 있다. 상기 pH 범위 내에서, 각종 유기 금속 화합물 및 금속 산화물을 효과적으로 제거할 수 있다. 바람직하게는, pH는 3.2-5.8의 범위가 될 수 있다. The pH of the semiconductor cleaning liquid composition may range from 3.0-6.0. Within this pH range, various organometallic compounds and metal oxides can be effectively removed. Preferably, the pH may be in the range of 3.2-5.8.

상기 pH는 조성물에 포함되는 불소계 화합물, 알킬, 아릴 또는 아랄킬 치환된 수산화암모늄계 화합물, 질소를 함유한 카르복실산 또는 수용성 고분자 화합물의 함량, 특히 질소를 함유한 카르복실산의 함량에 따라 조절될 수 있다.
The pH is adjusted according to the content of the fluorine-based compound, alkyl, aryl or aralkyl substituted ammonium hydroxide-based compound, nitrogen-containing carboxylic acid or water-soluble high molecular compound, especially nitrogen-containing carboxylic acid contained in the composition Can be.

상기 세정액 조성물은 유기성 또는 산화성을 띄는 각종 포토레지스트 잔류물 또는 식각 잔류물을 제거하는데 기여할 수 있는 추가적인 성분을 더 포함할 수 있다. 예를 들면, 부식 억제제로서, 카테콜, 갈산, 피롤갈롤, 4-메틸 카테콜 푸마르산, 디에틸히드록시아민 및 이들의 혼합물로 이루어진 군으로부터 선택되는 1종 이상을 더 포함할 수 있다.
The cleaning liquid composition may further include additional components that may contribute to removing various photoresist residues or etch residues that are organic or oxidative. For example, the corrosion inhibitor may further include one or more selected from the group consisting of catechol, gallic acid, pyrrogalol, 4-methyl catechol fumaric acid, diethylhydroxyamine, and mixtures thereof.

상기 세정액 조성물은 상기 세정액 조성물 자체로 사용될 수 있고, 상기 세정액 조성물 중 일부 혹은 전부의 물이 포함되지 않은 상태로 농축되어 있는 세정용액에 상기 세정액 조성물에 포함되지 않은 잔량의 물을 사용시에 혼합하여 사용될 수 있다.
The cleaning liquid composition may be used as the cleaning liquid composition itself, and the remaining amount of water not included in the cleaning liquid composition may be mixed with the cleaning solution which is concentrated in a state in which some or all of the cleaning liquid composition is not contained. Can be.

본 발명의 세정액 조성물은 구리 및 알루미늄으로 이루어진 군으로부터 선택되는 1종 이상의 배선을 포함하는 반도체 소자의 세정 조성물로 사용될 수 있다.
The cleaning liquid composition of the present invention can be used as a cleaning composition for semiconductor devices including at least one wiring selected from the group consisting of copper and aluminum.

본 발명의 다른 측면인 반도체 소자의 세정 방법은 패터닝 대상막이 식각된 반도체 기판을 상기 반도체 세정액 조성물로 세정하는 단계를 포함할 수 있다.According to another aspect of the present invention, a method for cleaning a semiconductor device may include cleaning a semiconductor substrate, on which a patterning layer is etched, with the semiconductor cleaning liquid composition.

일 례에 따르면, 반도체 소자의 세정 방법은 하기 단계를 포함할 수 있다.According to an example, the method of cleaning a semiconductor device may include the following steps.

반도체 기판 상에 패터닝 대상막을 형성하는 단계; Forming a patterning target film on the semiconductor substrate;

상기 패터닝 대상막 상에 포토레지스트 패턴을 형성하는 단계; Forming a photoresist pattern on the patterning layer;

상기 포토레지스트 패턴을 마스크로 이용하여 상기 패터닝 대상막을 식각하는 단계; 및 Etching the patterning layer using the photoresist pattern as a mask; And

상기 패터닝 대상막이 식각된 반도체 기판을 상기 반도체 세정액 조성물로 세정하는 단계.
Cleaning the semiconductor substrate on which the patterning layer is etched with the semiconductor cleaning liquid composition.

상기 패터닝 대상막을 형성하는 단계, 포토레지스트 패턴을 형성하는 단계 및 식각하는 단계는 통상적으로 알려진 방법을 사용하여 수행될 수 있다.The forming of the patterning target layer, the forming of the photoresist pattern, and the etching may be performed using a conventionally known method.

반도체 세정액 조성물에 대한 상세 내용은 상기에서 상술한 바와 같다.Details of the semiconductor cleaning liquid composition are as described above.

구체적으로, 반도체 기판 상에 패터닝 대상막(예를 들면, 금속막 또는 절연막 등의 각종 박막) 및 포토레지스트 패턴을 순차 형성한다. 상기 패터닝 대상막은 반도체 기판 상에 형성되는 각종 박막, 예를 들어, 알루미늄막, 구리막 등의 각종 금속막이나 산화막 등의 각종 절연막으로 될 수 있다. 그런 다음, 상기 포토레지스트 패턴을 이용해 상기 패터닝 대상막을 식각한 후에, 상기 반도체 기판을 상술한 세정액 조성물로 세정한다. 이로써 상기 패터닝 대상막의 식각 과정에서 발생해 반도체 기판 또는 패터닝 대상막 등에 남은 각종 포토레지스트 잔류물 또는 식각 잔류물을 상술한 세정액 조성물로 보다 효과적으로 제거할 수 있다.  Specifically, patterning films (for example, various thin films such as metal films or insulating films) and photoresist patterns are sequentially formed on the semiconductor substrate. The patterning target film may be various thin films formed on a semiconductor substrate, for example, various insulating films such as various metal films such as aluminum films and copper films, and oxide films. Then, after etching the patterning layer using the photoresist pattern, the semiconductor substrate is cleaned with the above-described cleaning liquid composition. As a result, various photoresist residues or etching residues generated in the etching process of the patterning layer and remaining on the semiconductor substrate or the patterning layer may be more effectively removed by the above-described cleaning liquid composition.

상기 반도체 소자의 세정 방법에서는, 싱글 타입의 세정 장치 내에서 상기 세정액 조성물을 반도체 기판에 공급하여 상기 세정 단계를 진행할 수도 있다.In the cleaning method of the semiconductor element, the cleaning step may be performed by supplying the cleaning liquid composition to the semiconductor substrate in a single type cleaning device.

세정액 조성물을 사용하는 세정 조건에서 세정 온도는 특별히 제한되지 않지만, 20-40℃가 될 수 있다. 20℃ 미만인 경우, 포토레지스트 잔류물 또는 식각 잔류물을 제거하는 효과가 떨어질 수 있고 구리 산화막 제거력도 감소할 수 있다. 40℃ 초과인 경우, 구리 산화막 제거력과 포트레지스트 잔류물 또는 식각 잔류물의 제거력은 우수하지만, 알루미늄 식각량과 구리 식각량이 증가하여 반도체 기판 상의 각종 박막 또는 패턴을 상당히 손상시킬 수 있다.The cleaning temperature is not particularly limited in the cleaning conditions using the cleaning liquid composition, but may be 20-40 ° C. If less than 20 ° C., the effect of removing the photoresist residue or the etch residue may be inferior and the copper oxide removal ability may be reduced. When the temperature is higher than 40 ° C., the copper oxide film removal force and the removal force of the resist residue or the etching residue are excellent, but the aluminum etching amount and the copper etching amount are increased to damage the various thin films or patterns on the semiconductor substrate.

세정액 조성물을 사용하는 세정 조건에서 세정 시간은 특별히 제한되지 않지만, 20초-60초가 될 수 있다. 20초 미만인 경우, 세정액 조성물에 노출되는 시간이 부족하여 포토레지스트 잔류물 또는 식각 잔류물을 충분히 제거할 수 없다. 60초 초과인 경우, 포토레지스트 잔류물 또는 식각 잔류물의 제거력은 우수하지만, 알루미늄 식각량과 구리 식각량이 증가하여 반도체 기판 상의 박막 또는 이의 패턴을 손상시킬 수 있다.
The cleaning time in the cleaning conditions using the cleaning liquid composition is not particularly limited, but may be 20 seconds to 60 seconds. If less than 20 seconds, the exposure time to the cleaning liquid composition is insufficient to sufficiently remove the photoresist residue or the etching residue. If more than 60 seconds, the removal power of the photoresist residue or the etching residue is excellent, but the amount of aluminum etching and copper etching may increase to damage the thin film or the pattern thereof on the semiconductor substrate.

이하에서는 본 발명의 구체적인 실시예들을 제시한다. 다만, 하기에 기재된 실시예들은 본 발명을 구체적으로 예시하거나 설명하기 위한 것에 불과하며, 이로서 본 발명이 제한되어서는 아니된다. Hereinafter, specific embodiments of the present invention will be described. However, the embodiments described below are only intended to illustrate or explain the present invention, and thus the present invention should not be limited thereto.

<실시예 1>&Lt; Example 1 >

세정액 조성물의 총 중량에 대해, 불산 0.01중량%, 수산화테트라메틸암모늄 0.3중량%, 이미노디아세트산 0.5중량%, 폴리에틸렌이민 0.02중량% 및 나머지 함량의 물을 혼합하여 세정액 조성물을 제조하였다. 이러한 세정액 조성물의 pH는 5.8로 측정되었다.To the total weight of the cleaning liquid composition, 0.01% by weight of hydrofluoric acid, 0.3% by weight of tetramethylammonium hydroxide, 0.5% by weight of iminodiacetic acid, 0.02% by weight of polyethylenimine and the remaining amount of water were prepared. The pH of this cleaning liquid composition was measured at 5.8.

<실시예 2><Example 2>

세정액 조성물의 총 중량에 대해, 불산 0.01중량%, 수산화테트라메틸암모늄 0.75중량%, 이미노디아세트산 1중량%, 폴리에틸렌이민 0.05중량% 및 나머지 함량의 물을 혼합하여 세정액 조성물을 제조하였다. 이러한 세정액 조성물의 pH는 4.2로 측정되었다. To the total weight of the cleaning liquid composition, 0.01% by weight of hydrofluoric acid, 0.75% by weight of tetramethylammonium hydroxide, 1% by weight of imino diacetic acid, 0.05% by weight of polyethylenimine and the remaining amount of water were prepared. The pH of this cleaning liquid composition was measured to be 4.2.

<실시예 3><Example 3>

세정액 조성물의 총 중량에 대해, 불산 0.1중량%, 수산화테트라메틸암모늄 2.5중량%, 이미노디아세트산 3.5중량%, 폴리에틸렌이민 0.05중량% 및 나머지 함량의 물을 혼합하여 세정액 조성물을 제조하였다. 이러한 세정액 조성물의 pH는 3.8로 측정되었다. To the total weight of the cleaning liquid composition, 0.1% by weight of hydrofluoric acid, 2.5% by weight of tetramethylammonium hydroxide, 3.5% by weight of iminodiacetic acid, 0.05% by weight of polyethylenimine and the remaining amount of water were prepared. The pH of this cleaning liquid composition was measured at 3.8.

<실시예 4><Example 4>

세정액 조성물의 총 중량에 대해, 불산 0.02중량%, 수산화테트라메틸암모늄 5중량%, 이미노디아세트산 7중량%, 폴리에틸렌이민 0.5중량% 및 나머지 함량의 물을 혼합하여 세정액 조성물을 제조하였다. 이러한 세정액 조성물의 pH는 4.0으로 측정되었다.To the total weight of the cleaning liquid composition, 0.02% by weight of hydrofluoric acid, 5% by weight of tetramethylammonium hydroxide, 7% by weight of iminodiacetic acid, 0.5% by weight of polyethyleneimine and the remaining amount of water were prepared. The pH of this cleaning liquid composition was measured at 4.0.

<실시예 5><Example 5>

세정액 조성물의 총 중량에 대해, 불산 0.5중량%, 수산화테트라메틸암모늄 10중량%, 이미노디아세트산 10중량%, 폴리에틸렌이민 0.1중량% 및 나머지 함량의 물을 혼합하여 세정액 조성물을 제조하였다. 이러한 세정액 조성물의 pH는 5.8로 측정되었다.To the total weight of the cleaning liquid composition, 0.5% by weight of hydrofluoric acid, 10% by weight of tetramethylammonium hydroxide, 10% by weight of iminodiacetic acid, 0.1% by weight of polyethylenimine and the remaining amount of water were prepared. The pH of this cleaning liquid composition was measured at 5.8.

<실시예 6><Example 6>

세정액 조성물의 총 중량에 대해, 불산 0.2중량%, 수산화테트라메틸암모늄 2.0중량%, 프롤린 3.0중량%, 폴리에틸렌이민 0.1중량% 및 나머지 함량의 물을 혼합하여 세정액 조성물을 제조하였다. 이러한 세정액 조성물의 pH는 4.1로 측정되었다.To the total weight of the cleaning liquid composition, 0.2% by weight of hydrofluoric acid, 2.0% by weight of tetramethylammonium hydroxide, 3.0% by weight of proline, 0.1% by weight of polyethyleneimine and the remaining amount of water were prepared. The pH of this cleaning liquid composition was measured to be 4.1.

<실시예 7><Example 7>

세정액 조성물의 총 중량에 대해, 불산 0.4중량%, 수산화테트라메틸암모늄 2.5중량%, 히드록시프롤린 5중량%, 폴리에틸렌이민 0.05중량% 및 나머지 함량의 물을 혼합하여 세정액 조성물을 제조하였다. 이러한 세정액 조성물의 pH는 3.2로 측정되었다. To the total weight of the cleaning liquid composition, 0.4% by weight of hydrofluoric acid, 2.5% by weight of tetramethylammonium hydroxide, 5% by weight of hydroxyproline, 0.05% by weight of polyethyleneimine, and water in the remaining amount were prepared. The pH of this cleaning liquid composition was measured at 3.2.

<실시예 8>&Lt; Example 8 >

세정액 조성물의 총 중량에 대해, 불산 0.02중량%, 수산화테트라메틸암모늄 1.5중량%, 1-피롤린-5-카르복실산 3.5중량%, 폴리에틸렌이민 0.2중량% 및 나머지 함량의 물을 혼합하여 세정액 조성물을 제조하였다. 이러한 세정액 조성물의 pH는 5.2로 측정되었다. To the total weight of the cleaning liquid composition, 0.02% by weight of hydrofluoric acid, 1.5% by weight of tetramethylammonium hydroxide, 3.5% by weight of 1-pyrroline-5-carboxylic acid, 0.2% by weight of polyethyleneimine and the remaining water were mixed. Was prepared. The pH of this cleaning liquid composition was measured at 5.2.

<비교예 1>&Lt; Comparative Example 1 &

상기 실시예 4에서 이미노디아세트산 대신에 옥살산을 사용한 것을 제외하고는 동일한 방법을 실시하여 세정액 조성물을 제조하였다. 이러한 조성물의 pH는 5.2로 측정되었다.A cleaning solution composition was prepared in the same manner as in Example 4, except that oxalic acid was used instead of iminodiacetic acid. The pH of this composition was measured at 5.2.

<비교예 2>Comparative Example 2

상기 실시예 4에서 이미노디아세트산 대신에 말론산을 사용한 것을 제외하고는 동일한 방법을 실시하여 세정액 조성물을 제조하였다. 이러한 조성물의 pH는 5.6으로 측정되었다.A cleaning solution composition was prepared in the same manner as in Example 4, except that malonic acid was used instead of iminodiacetic acid. The pH of this composition was measured at 5.6.

<비교예 3>&Lt; Comparative Example 3 &

이미노디아세트산을 포함시키지 않은 것을 제외하고는, 실시예 1과 동일한 방법 및 조성으로 세정액 조성물을 제조하였다. 이러한 조성물의 pH는 10.2로 측정되었다.A cleaning liquid composition was prepared in the same manner and composition as in Example 1, except that imino diacetic acid was not included. The pH of this composition was determined to be 10.2.

<비교예 4>&Lt; Comparative Example 4 &

이미노디아세트산의 함량을 12.5중량%로 조절해 pH를 2.1로 조절한 것을 제외하고는, 실시예 1과 동일한 방법 및 조성으로 세정액 조성물을 제조하였다. A cleaning solution composition was prepared in the same manner and composition as in Example 1, except that the pH of the imino diacetic acid was adjusted to 12.5 wt% to adjust the pH to 2.1.

<비교예 5>&Lt; Comparative Example 5 &

이미노디아세트산의 함량을 0.05중량%로 조절해 pH를 9.5로 조절한 것을 제외하고는, 실시예 1과 동일한 방법 및 조성으로 세정액 조성물을 제조하였다. A cleaning solution composition was prepared in the same manner and composition as in Example 1, except that the pH of the imino diacetic acid was adjusted to 0.05% by weight.

<비교예 6>&Lt; Comparative Example 6 >

폴리에틸렌이민을 포함시키지 않은 것을 제외하고는, 실시예 1과 동일한 방법 및 조성으로 세정액 조성물을 제조하였다. 이러한 조성물의 pH는 2.5로 측정되었다.A cleaning solution composition was prepared in the same manner and composition as in Example 1, except that polyethyleneimine was not included. The pH of this composition was measured at 2.5.

<비교예 7>&Lt; Comparative Example 7 &

이미노디아세트산을 포함시키지 않고 아세트산의 함량을 3중량%로 첨가해 pH를 4.5로 조절한 것을 제외하고는, 실시예 3과 동일한 방법 및 조성으로 세정액 조성물을 제조하였다.Washing liquid composition was prepared in the same manner and composition as in Example 3, except that the pH was adjusted to 4.5 by adding 3% by weight of acetic acid without including imino diacetic acid.

<비교예 8>&Lt; Comparative Example 8 >

수산화테트라메틸암모늄을 포함시키지 않고 암모니아수의 함량을 4중량%로 첨가해 pH를 4.4로 조절한 것을 제외하고는, 실시예 3과 동일한 방법 및 조성으로 세정액 조성물을 제조하였다.
A cleaning liquid composition was prepared in the same manner and in the same manner as in Example 3, except that the pH was adjusted to 4.4 by adding 4% by weight of ammonia water without including tetramethylammonium hydroxide.

실험예Experimental Example 1: 세정액 조성물의 성능 평가 1: Performance Evaluation of Cleaning Liquid Composition

상기 실시예 1 내지 8 및 비교예 1 내지 8의 각 세정액 조성물에 대하여, 다음과 같은 방법으로 성능을 평가하였다.
About each of the cleaning liquid compositions of Examples 1 to 8 and Comparative Examples 1 to 8, performance was evaluated by the following method.

1. 알루미늄 1.aluminum 식각량Etching amount 평가 evaluation

먼저, 실리콘 기판 위에 티타늄/티타늄 질화막을 증착한 후, 그 위에 알루미늄막을 3,000Å의 두께로 증착하였다. 이렇게 증착된 알루미늄막을 각 세정액 조성물로 30분간 침지한 후, 두께 측정 장비로 침지 처리 후의 알루미늄 두께를 측정해 알루미늄 식각량을 평가하였다. 이러한 결과를 하기 표 1에 나타내었다.
First, a titanium / titanium nitride film was deposited on a silicon substrate, and then an aluminum film was deposited thereon to a thickness of 3,000 kPa. The aluminum film thus deposited was immersed with each cleaning liquid composition for 30 minutes, and then the aluminum thickness after the immersion treatment was measured by a thickness measuring equipment to evaluate the aluminum etching amount. These results are shown in Table 1 below.

2. 구리 2. Copper 식각량Etching amount 평가 evaluation

먼저, 실리콘 기판 위에 탄탈륨/탄탈륨 질화막을 증착한 후, 그 위에 구리막을 5,000Å의 두께로 증착하였다. 이렇게 증착된 구리막을 각 세정액 조성물로 30분간 침지 처리한 후, 두께 측정 장비로 침지 처리 후의 구리 두께를 측정해 구리 식각량을 평가하였다. 이러한 결과를 하기 표 1에 나타내었다.
First, a tantalum / tantalum nitride film was deposited on a silicon substrate, and then a copper film was deposited thereon to a thickness of 5,000 kPa. After the copper film thus deposited was immersed for 30 minutes with each cleaning liquid composition, the thickness of the copper after the immersion treatment was measured with a thickness measuring equipment to evaluate the copper etching amount. These results are shown in Table 1 below.

3. 세정액 조성물의 구리 3. Copper of Cleaning Liquid Composition 산화막Oxide film 제거력Removal 평가 evaluation

상기 세정액 조성물의 구리 산화막 제거 여부를 평가를 위하여, 가로 2㎝ × 세로 2㎝의 실리콘 산화막, 베리어막(TaN), 구리막이 순차적으로 적층된 구조를 갖는 다층막을 형성하였다. 이어서, 상기 다층막을 과산화수소 용액에 3시간 침지하여 구리 산화막을 형성하였다. 이어서, 각각의 세정액 조성물로 스핀 처리하였다. 상기 세정액 조성물의 온도는 25℃를 갖는다. 이어서, 상기 테스트 샘플들을 탈이온수에 2분간 스핀 처리하여 상기 테스트 샘플들로부터 상기 세정액 조성물을 제거하였다. In order to evaluate the removal of the copper oxide film of the cleaning liquid composition, a multilayer film having a structure in which a silicon oxide film, a barrier film (TaN), and a copper film of 2 cm x 2 cm in length was sequentially stacked was formed. Subsequently, the multilayer film was immersed in a hydrogen peroxide solution for 3 hours to form a copper oxide film. Subsequently, spin treatment was performed with each cleaning liquid composition. The temperature of the said cleaning liquid composition has 25 degreeC. The test samples were then spin treated in deionized water for 2 minutes to remove the cleaning composition from the test samples.

이후, 상기 테스트 샘플들의 상부로 질소(N2) 가스를 도입하여 상기 테스트 샘플들을 완전히 건조시켰다. 이어서, 상기 테스트 샘플들의 표면에 잔류하는 구리 산화막의 제거 정도를 평가하기 위하여 S-5000 주사형 전자 현미경(일본 HITACHI社의 전자 현미경)을 이용하여 구리 산화막의 제거 여부를 관찰하였다. Thereafter, nitrogen (N 2 ) gas was introduced on top of the test samples to completely dry the test samples. Subsequently, in order to evaluate the degree of removal of the copper oxide film remaining on the surface of the test samples, the removal of the copper oxide film was observed using an S-5000 scanning electron microscope (electron microscope of HITACHI, Japan).

관찰 결과에 따라, 각각의 세정액 조성물의 구리 산화막의 제거 능력을 확인할 수 있었다. 즉, 구리 산화막이 제거되는 시간이 짧을수록 구리 산화막의 제거 능력이 우수함을 의미한다. 상기 세정액 조성물의 세정 능력을 평가함에 있어서 중요한 두 요소는 다음과 같다. 첫째로, 세정액 조성물이 포토레지스트 패턴에 빠르게 침투하여, 포토레지스트 패턴을 기판으로부터 빨리 이탈시킬 수 있어야 한다. 둘째로, 상기 포토레지스트 패턴이 제거된 기판에 린스 및 드라이 공정을 수행한 후, 상기 기판 표면에 잔류하는 불순물이 없어야 한다.According to the observation result, the removal ability of the copper oxide film of each cleaning liquid composition was confirmed. That is, the shorter the time that the copper oxide film is removed, the better the removal ability of the copper oxide film. Two important factors in evaluating the cleaning ability of the cleaning liquid composition are as follows. First, the cleaning liquid composition should be able to quickly penetrate into the photoresist pattern, allowing the photoresist pattern to quickly escape from the substrate. Second, after the rinse and dry process is performed on the substrate from which the photoresist pattern is removed, there should be no impurities remaining on the surface of the substrate.

상술한 관점에서, 상기 실시예 1 내지 실시예 8, 및 비교예 1 내지 비교예 8에서 제조된 세정액 조성물을 사용하여 포토레지스트 패턴 및 식각 잔류물에 대한 세정력을 다음과 같이 평가하였다. 그 결과를 하기 표 1에 나타내었다.In view of the above, the cleaning power for the photoresist pattern and the etching residue was evaluated using the cleaning solution compositions prepared in Examples 1 to 8 and Comparative Examples 1 to 8 as follows. The results are shown in Table 1 below.

◎ : 구리 산화막 10초 이내 제거(제거 속도 우수). (Double-circle): It removes within 10 second of a copper oxide film (excellent removal rate).

○ : 구리 산화막 1분 이내 제거(제거 속도 허용치 이내).(Circle): It removes within 1 minute of copper oxide film (within a removal rate tolerance value).

△ : 구리 산화막 3분 이내 제거(제거 속도 허용치 초과). (Triangle | delta): It removes within 3 minutes of a copper oxide film (it exceeds the removal rate allowance).

× : 구리 산화막 3분 이내 제거 안됨.
X: The copper oxide film was not removed within 3 minutes.

4. 세정액 조성물의 4. Cleaning Liquid Composition 포토레지스트Photoresist 잔류물 또는  Residue or 식각Etching 잔류물  Residue 제거력Removal 평가 evaluation

상기 세정액 조성물의 포토레지스트 잔류물 또는 식각 잔류물 제거 여부를 평가를 위하여, 가로 2㎝ × 세로 2㎝의 크기를 갖는 실리콘 기판 상에 실리콘 산화막, 제1 베리어막(Ti/TiN), 알루미늄막 및 제2 베리어막이 순차적으로 적층된 구조를 갖는 다층막을 형성하였다. 이어서, 상기 다층막 상에 포토레지스트 패턴을 형성한 후 상기 포토레지스트 패턴에 노출된 다층막을 플라즈마 식각하여 상기 제1 베리어막을 노출시키는 다층막 패턴을 형성하였다. 이후, 상기 포토레지스트 패턴에 대하여 에싱 처리 공정을 순차적으로 진행하여 상기 포토레지스트 잔류물 또는 식각 잔류물의 제거 여부 평가를 위한 테스트 샘플들을 제작하였다.In order to evaluate the removal of the photoresist residue or the etch residue of the cleaning liquid composition, a silicon oxide film, a first barrier film (Ti / TiN), an aluminum film, and the like on a silicon substrate having a size of 2 cm by 2 cm A multilayer film having a structure in which the second barrier film was sequentially stacked was formed. Subsequently, after the photoresist pattern was formed on the multilayer film, the multilayer film exposed to the photoresist pattern was plasma-etched to form a multilayer film pattern for exposing the first barrier film. Subsequently, an ashing process was sequentially performed on the photoresist pattern to prepare test samples for evaluating whether the photoresist residue or the etching residue was removed.

이어서, 각각의 세정액 조성물로 30초간 스핀 처리하였다. 상기 세정액 조성물의 온도는 25℃를 갖는다. 이어서, 상기 테스트 샘플들을 탈이온수에 2분간 스핀 처리하여 상기 테스트 샘플들로부터 상기 세정액 조성물을 제거하였다. 이 후, 상기 테스트 샘플들의 상부로 질소(N2) 가스를 도입하여 상기 테스트 샘플들을 완전히 건조시켰다. 이어서, 상기 테스트 샘플들에 포함된 다층막 패턴의 포토레지스트 잔류물 또는 식각 잔류물의 제거 정도를 평가하기 위해 상기 테스트 샘플들을 S-5000 주사형 전자 현미경(일본 HITACHI社의 전자 현미경)을 이용하여 포토레지스트 잔류물 또는 식각 잔류물의 제거 정도를 관찰하였다. 그 결과를 하기 표 1에 나타내었다.Subsequently, spin treatment was performed with each cleaning liquid composition for 30 seconds. The temperature of the said cleaning liquid composition has 25 degreeC. The test samples were then spin treated in deionized water for 2 minutes to remove the cleaning composition from the test samples. Thereafter, nitrogen (N 2 ) gas was introduced on top of the test samples to completely dry the test samples. Subsequently, the test samples were subjected to photoresist using an S-5000 scanning electron microscope (electron microscope of HITACHI, Japan) to evaluate the degree of removal of photoresist residues or etch residues of the multilayer pattern included in the test samples. The degree of removal of residue or etch residue was observed. The results are shown in Table 1 below.

◎ : 포토레지스트 잔류물 또는 식각 잔류물 완전히 제거.◎ completely remove photoresist residue or etch residue.

○ : 포토레지스트 잔류물 또는 식각 잔류물 10% 미만 잔류.○: less than 10% photoresist residue or etch residue.

△ : 포토레지스트 잔류물 또는 식각 잔류물 10% 이상 잔류.(Triangle | delta): 10% or more of a photoresist residue or an etching residue remains.

× : 포토레지스트 잔류물 또는 식각 잔류물 전혀 제거 안됨.X: No photoresist residue or etching residue was removed.

알루미늄 식각량
(Å/min)
Aluminum etching volume
(Å / min)
구리 식각량
(Å/min)
Copper etching
(Å / min)
구리 산화막제거Copper oxide removal 포토레지스트 잔류물 또는 식각 잔류물 제거Remove photoresist residue or etch residue pHpH
실시예 1Example 1 1010 2.52.5 5.85.8 실시예 2Example 2 1010 55 4.24.2 실시예 3Example 3 1515 55 3.83.8 실시예 4Example 4 2020 55 4.04.0 실시예 5Example 5 2323 1010 5.85.8 실시예 6Example 6 1515 2.52.5 4.14.1 실시예 7Example 7 2020 7.57.5 3.23.2 실시예 8Example 8 1515 55 5.25.2 비교예 1Comparative Example 1 2020 55 ×× 5.25.2 비교예 2Comparative Example 2 2020 55 ×× 5.65.6 비교예 3Comparative Example 3 1010 3030 ×× 10.210.2 비교예 4Comparative Example 4 3030 5050 2.12.1 비교예 5Comparative Example 5 1010 1010 9.59.5 비교예 6Comparative Example 6 2020 5050 2.52.5 비교예 7Comparative Example 7 2020 1010 4.54.5 비교예 8Comparative Example 8 2020 120120 4.44.4

상기 표 1을 참조하면 실시예 1 내지 8의 세정액을 사용하는 경우, 알루미늄 및 구리막의 손상 없이 구리 산화막을 1분 이내에 제거할 수 있으며 또한 각종 포토레지스트 잔류물 또는 식각 잔류물을 거의 대부분 제거할 수 있는 것으로 확인되었다. Referring to Table 1, when the cleaning solutions of Examples 1 to 8 are used, the copper oxide film can be removed within one minute without damaging the aluminum and copper films, and almost all kinds of photoresist residues or etching residues can be removed. It was confirmed that there is.

이에 비해, 옥살산 또는 말론산을 사용한 비교예 1-2의 경우, 구리 산화막 및 포토레지스트 잔류물 또는 식각 잔류물의 제거 속도가 상당히 감소되었다. 또한, 이미노디아세트산이 없는 비교예 3의 경우, 구리 산화막 제거력이 떨어질 뿐만 아니라 pH가 높아 포토레지스트 잔류물 또는 식각 잔류물의 제거력이 실시예 1 대비 현저히 떨어짐을 확인할 수 있다. In comparison, in Comparative Example 1-2 using oxalic acid or malonic acid, the removal rate of the copper oxide film and the photoresist residue or the etching residue was significantly reduced. In addition, in the case of Comparative Example 3 without the imino diacetic acid, not only the copper oxide film removal power is lowered, but also the pH is high, it can be seen that the removal power of the photoresist residue or the etching residue is significantly lower than Example 1.

수용성 고분자 화합물이 없는 비교예 6의 경우, 금속 부식 방지제가 없어 알루미늄 및 구리막을 상당량 식각하여 반도체 기판 상의 각종 박막 또는 이의 패턴을 상당히 손상시킬 수 있다. In the case of Comparative Example 6 without a water-soluble high molecular compound, there is no metal corrosion inhibitor can etch a considerable amount of aluminum and copper film to significantly damage the various thin films or patterns thereof on the semiconductor substrate.

또한, 조성물의 pH가 3.0 미만인 비교예 4의 경우, 수용성 고분자 화합물에 의한 부식 방지 효과가 감소하여 알루미늄 및 구리막을 상당량 손상시킬 수 있다. 이와 반대로, 조성물의 pH가 6.0을 초과한 비교예 5의 경우, 구리 산화막 제거 속도가 감소할 뿐만 아니라 포토레지스트 잔류물 또는 식각 잔류물 제거력 또한 감소함을 확인할 수 있다. 이는 pH가 높아 불소계 화합물 및 질소를 함유한 카르복실산의 효과가 억제됨을 알 수 있다. In addition, in the case of Comparative Example 4, the pH of the composition is less than 3.0, the corrosion protection effect by the water-soluble polymer compound is reduced to damage the aluminum and copper film significantly. On the contrary, in the case of Comparative Example 5 in which the pH of the composition exceeds 6.0, it can be seen that not only the copper oxide removal rate is decreased but also the photoresist residue or etching residue removal ability is also reduced. It can be seen that the high pH is suppressed the effect of the fluorine-based compound and carboxylic acid containing nitrogen.

이미노디아세트산 대신 아세트산을 사용한 비교예 7의 경우, 구리 산화막 제거 속도가 늦어 공정 적용에 어려움이 있다. In the case of Comparative Example 7 in which acetic acid was used instead of iminodiacetic acid, the copper oxide film removal rate was slow, which makes it difficult to apply the process.

또한, 수산화테트라메틸암모늄 대신 암모니아수가 사용된 비교예 8의 경우, 구리막을 상당량 식각하여 반도체 기판 상의 각종 박막 또는 이의 패턴을 상당히 손상시킬 수 있다.
In addition, in the case of Comparative Example 8 in which ammonia water was used instead of tetramethylammonium hydroxide, a considerable amount of copper film may be etched to significantly damage various thin films or patterns thereof on the semiconductor substrate.

실험예 2: 세정액 조성물의 사용 조건 평가Experimental Example 2: Evaluation of Use Conditions of Cleaning Liquid Composition

상기 실시예 1의 조성물을 사용하여 세정액 조성물의 사용 조건을 변경하면서 반도체 소자를 세정하였다. 그런 다음 상기에서 기술된 알루미늄 식각량 평가, 구리 식각량 평가, 구리 산화막 제거력 평가, 포토레지스트 잔류물 또는 식각 잔류물 제거력 평가 방법에 따라 평가하고, 그 결과를 하기 표 2에 나타내었다.The semiconductor element was cleaned using the composition of Example 1 while changing the conditions for use of the cleaning liquid composition. Then, it was evaluated according to the above-described aluminum etching amount evaluation, copper etching amount evaluation, copper oxide film removal power evaluation, photoresist residue or etching residue removal power evaluation method, and the results are shown in Table 2 below.

평가조건Evaluation condition 알루미늄 식각량
(Å/min)
Aluminum etching volume
(Å / min)
구리 식각량
(Å/min)
Copper etching
(Å / min)
구리 산화막제거Copper oxide removal 포토레지스트 잔류물 또는 식각 잔류물 제거Remove photoresist residue or etch residue
20℃/30초20 ℃ / 30 seconds 88 2.22.2 30℃/30초30 ℃ / 30 seconds 1111 3.53.5 40℃/30초40 ℃ / 30 seconds 2020 8.58.5 25℃/20초25 ℃ / 20 seconds -- -- 25℃/60초25 ℃ / 60 seconds -- -- 15℃/30초15 ° C / 30 seconds 55 1.61.6 45℃/30초45 ℃ / 30 seconds 3535 2020 25℃/10초25 ℃ / 10 seconds -- -- -- 25℃/90초25 ℃ / 90 seconds 3535 3030 --

상기 표 2를 참조하면, 20-40℃ 및 20-60초 동안 세정을 실시할 경우, 알루미늄 및 구리막의 손상 없이 구리 산화막을 1분 이내에 제거할 수 있으며 또한 각종 포토레지스트 잔류물 또는 식각 잔류물을 거의 대부분 제거할 수 있는 것으로 확인되었다. Referring to Table 2, when the cleaning is performed for 20-40 ° C. and 20-60 seconds, the copper oxide film can be removed within 1 minute without damaging the aluminum and copper films, and also various photoresist residues or etching residues can be removed. Almost all of them were found to be removable.

이에 비해, 사용 온도가 20℃ 미만인 조건에서 세정액을 사용하면, 포토레지스트 잔류물 또는 식각 잔류물을 제거하지 못할 수 있고, 구리 산화막 제거력도 감소함을 확인할 수 있었다. 사용 온도가 40℃ 초과인 경우, 구리 산화막 제거력 뿐만 아니라 포토레지스트 잔류물 또는 식각 잔류물이 제거력은 우수하나 알루미늄 식각략량과 구리 식각량이 증가하여 반도체 기판 상의 각종 박막 또는 이의 패턴을 상당히 손상시킬 수 있다.In contrast, when the cleaning solution is used under the use temperature of less than 20 ° C, it may be confirmed that the photoresist residue or the etching residue may not be removed, and the copper oxide film removal ability may be reduced. When the use temperature is higher than 40 ° C, not only the copper oxide removal ability but also the photoresist residue or the etching residue have excellent removal ability, but the amount of aluminum etching and copper etching increases, which may damage various thin films or patterns thereof on the semiconductor substrate. .

또한, 세정액 조성물의 처리 시간이 20초 미만인 경우, 세정액 조성물에 노출되는 시간이 부족하여 포토레지스트 잔류물 또는 식각 잔류물을 충분히 제거하지 못함을 확인할 수 있었다. 세정액 조성물의 처리 시간이 60초를 초과할 경우 포토레지스트 잔류물 또는 식각 잔류물의 제거력은 우수하나 알루미늄 식각량과 구리 식각량이 증가하여 반도체 기판 상의 각종 박막 또는 이의 패턴을 상당히 손상을 야기할 수 있다. In addition, when the treatment time of the cleaning liquid composition is less than 20 seconds, it was confirmed that insufficient time to be exposed to the cleaning liquid composition did not sufficiently remove the photoresist residue or the etching residue. When the treatment time of the cleaning liquid composition exceeds 60 seconds, the removal ability of the photoresist residue or the etching residue is excellent, but the amount of aluminum etching and copper etching may be increased, which may cause considerable damage to various thin films or patterns thereof on the semiconductor substrate.

Claims (12)

불소계 화합물 0.001-0.5중량%, 알킬기, 아릴기 또는 아랄킬기 치환된 수산화암모늄계 화합물 0.1-10중량%, 질소를 함유한 카르복실산 0.1-10중량%, 수용성 고분자 화합물 0.01-1중량% 및 잔량의 물을 포함하는 반도체 소자 세정액 조성물.0.001-0.5% by weight of a fluorine compound, 0.1-10% by weight of an alkyl, aryl or aralkyl group-substituted ammonium hydroxide compound, 0.1-10% by weight of a carboxylic acid containing nitrogen, 0.01-1% by weight of a water-soluble polymer compound and the balance A semiconductor element cleaning liquid composition comprising water. 제1항에 있어서, 상기 질소를 함유한 카르복실산은 이미노디아세트산, 프롤린, 히드록시프롤린, 1-피롤린-5-카르복실산, N-아세틸글루타민산, 실라스타틴 및 엽산으로 이루어진 군으로부터 선택되는 1종 이상을 포함하는 것을 특징으로 하는 반도체 소자 세정액 조성물.The method of claim 1, wherein the nitrogen-containing carboxylic acid is selected from the group consisting of iminodiacetic acid, proline, hydroxyproline, 1-pyrroline-5-carboxylic acid, N-acetylglutamic acid, cilastatin and folic acid. A semiconductor element cleaning liquid composition comprising at least one kind. 제1항에 있어서, 상기 불소계 화합물은 불산(HF), 불화암모늄(NH4F), 중불화암모늄(NH4F?HF), 불화테트라메틸암모늄(N(CH3)4F), 불화붕소산(HBF4) 및 불화벤젠(C6H5F)으로 이루어진 군으로부터 선택되는 1종 이상을 포함하는 것을 특징으로 하는 반도체 소자 세정액 조성물.According to claim 1, The fluorine-based compound is hydrofluoric acid (HF), ammonium fluoride (NH 4 F), ammonium bifluoride (NH 4 FHF), tetramethylammonium fluoride (N (CH 3 ) 4 F), boron fluoride A semiconductor device cleaning liquid composition comprising one or more selected from the group consisting of acid (HBF 4 ) and benzene fluoride (C 6 H 5 F). 제1항에 있어서, 상기 알킬기, 아릴기 또는 아랄킬기 치환된 수산화암모늄계 화합물은 암모늄계 이온(NH4 +)에서 질소와 결합된 수소 대신에 C1-C20의 알킬기, C6-C20의 아릴기 및 C7-C20의 아랄킬기로 이루어진 군으로부터 선택되는 1개 이상의 치환기가 결합된 암모늄계 이온을 포함하는 수산화암모늄계 화합물인 것을 특징으로 하는 반도체 소자 세정액 조성물.According to claim 1, wherein the alkyl group, aryl group or aralkyl group substituted ammonium hydroxide compound is C1-C20 alkyl group, C6-C20 aryl group and instead of hydrogen bonded to nitrogen in the ammonium-based ion (NH 4 + ) A semiconductor device cleaning liquid composition comprising: an ammonium hydroxide compound including an ammonium ion in which at least one substituent selected from the group consisting of aralkyl groups of C7-C20 is bonded. 제1항에 있어서, 상기 알킬기, 아릴기 또는 아랄킬기 치환된 수산화암모늄계 화합물은 수산화테트라메틸암모늄, 수산화테트라에틸암모늄, 수산화테트라프로필암모늄, 수산화테트라옥틸암모늄, 수산화벤질트리에틸암모늄, 수산화디에틸디메틸암모늄, 수산화헥사데실트리메틸암모늄 및 수산화메틸트리부틸암모늄으로 이루어진 군으로부터 선택되는 1종 이상을 포함하는 것을 특징으로 하는 반도체 소자 세정액 조성물.According to claim 1, wherein the alkyl, aryl or aralkyl group substituted ammonium hydroxide compound is tetramethylammonium hydroxide, tetraethylammonium hydroxide, tetrapropylammonium hydroxide, tetraoctyl ammonium hydroxide, benzyl triethylammonium hydroxide, diethyl hydroxide A semiconductor device cleaning liquid composition comprising at least one member selected from the group consisting of dimethylammonium, hexadecyltrimethylammonium hydroxide and methyltributylammonium hydroxide. 제1항에 있어서, 상기 수용성 고분자 화합물은 폴리비닐알콜, 폴리에틸렌글리콜, 폴리에틸렌이민 및 폴리(메타)아크릴산로 이루어진 군으로부터 선택되는 1종 이상을 포함하는 것을 특징으로 하는 반도체 소자 세정액 조성물.The semiconductor device cleaning liquid composition according to claim 1, wherein the water-soluble high molecular compound comprises at least one selected from the group consisting of polyvinyl alcohol, polyethylene glycol, polyethyleneimine, and poly (meth) acrylic acid. 제1항에 있어서, 상기 반도체 소자 세정액 조성물의 pH는 3.0-6.0인 것을 특징으로 하는 반도체 소자 세정액 조성물.The semiconductor device cleaning liquid composition according to claim 1, wherein a pH of the semiconductor device cleaning liquid composition is 3.0-6.0. 제1항에 있어서, 상기 반도체 소자 세정액 조성물은 카테콜, 갈산, 피롤갈롤, 4-메틸 카테콜, 푸마르산, 디에틸히드록시아민 및 이들의 혼합물로 이루어진 군으로부터 선택되는 1종 이상을 더 포함하는 것을 특징으로 하는 반도체 소자 세정액 조성물.The method of claim 1, wherein the semiconductor device cleaning liquid composition further comprises one or more selected from the group consisting of catechol, gallic acid, pyrrogalol, 4-methyl catechol, fumaric acid, diethylhydroxyamine and mixtures thereof A semiconductor device cleaning liquid composition, characterized in that. 제1항에 있어서, 상기 반도체 소자 세정액 조성물은 구리 및 알루미늄으로 이루어진 군으로부터 선택되는 1종 이상의 배선을 포함하는 반도체 소자의 세정에 사용되는 것을 특징으로 하는 반도체 소자 세정액 조성물.The semiconductor device cleaning liquid composition according to claim 1, wherein the semiconductor device cleaning liquid composition is used for cleaning a semiconductor device including at least one wiring selected from the group consisting of copper and aluminum. 패터닝 대상막이 식각된 반도체 기판을 제1항 내지 제9항 중 어느 한 항의 반도체 세정액 조성물로 세정하는 단계를 포함하는 반도체 소자의 세정 방법.A method for cleaning a semiconductor device, the method comprising: cleaning the semiconductor substrate with the patterned film etched with the semiconductor cleaning liquid composition according to any one of claims 1 to 9. 제10항에 있어서, 상기 반도체 기판을 세정하는 단계는 20-40℃에서 수행되는 것을 특징으로 하는 반도체 소자의 세정 방법.The method of claim 10, wherein the cleaning of the semiconductor substrate is performed at 20-40 ° C. 12. 제10항에 있어서, 상기 반도체 기판을 세정하는 단계는 20-60초 동안 수행되는 것을 특징으로 하는 반도체 소자의 세정 방법.The method of claim 10, wherein the cleaning of the semiconductor substrate is performed for 20-60 seconds.
KR1020110141792A 2010-12-31 2011-12-23 Cleaning composition for semiconductor device and cleaning method of semiconductor device using the same KR20120078607A (en)

Priority Applications (3)

Application Number Priority Date Filing Date Title
CN2011104480911A CN102533273A (en) 2010-12-31 2011-12-28 Cleaning composition for semiconductor device and method of cleaning semiconductor device using the same
US13/339,437 US20120172272A1 (en) 2010-12-31 2011-12-29 Cleaning composition for semiconductor device and method of cleaning semiconductor device using the same
TW100149821A TW201233794A (en) 2010-12-31 2011-12-30 Cleaning composition for semiconductor device and method of cleaning semiconductor device

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
KR1020100140034 2010-12-31
KR20100140034 2010-12-31

Publications (1)

Publication Number Publication Date
KR20120078607A true KR20120078607A (en) 2012-07-10

Family

ID=46711912

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020110141792A KR20120078607A (en) 2010-12-31 2011-12-23 Cleaning composition for semiconductor device and cleaning method of semiconductor device using the same

Country Status (2)

Country Link
KR (1) KR20120078607A (en)
TW (1) TW201233794A (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20170039575A (en) * 2015-09-23 2017-04-11 버슘 머티리얼즈 유에스, 엘엘씨 Cleaning formulations

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11560533B2 (en) * 2018-06-26 2023-01-24 Versum Materials Us, Llc Post chemical mechanical planarization (CMP) cleaning

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20170039575A (en) * 2015-09-23 2017-04-11 버슘 머티리얼즈 유에스, 엘엘씨 Cleaning formulations

Also Published As

Publication number Publication date
TW201233794A (en) 2012-08-16

Similar Documents

Publication Publication Date Title
KR102266832B1 (en) TiN HARD MASK AND ETCH RESIDUE REMOVAL
TWI441902B (en) Photoresist residue and polymer residue removal liquid composition
CN107022421B (en) Cleaning method and method for manufacturing semiconductor device
KR100700998B1 (en) Composition and method comprising same for removing residue from a substrate
EP2975108B1 (en) Copper corrosion inhibition system
US7674755B2 (en) Formulation for removal of photoresist, etch residue and BARC
KR101226533B1 (en) Composition for removing photoresist residue and polymer residue
KR100655647B1 (en) Cleaning composition for a semiconductor substrate, method of preparing the cleaning composition, method of cleaning a semiconductor substrate and method of manufacturing a semiconductor device using the cleaning composition
TWI416282B (en) Composition for removing a photoresist residue and polymer residue, and residue removal process using same
JP2005236280A (en) Cleaning liquid composition for semiconductor substrate, cleaning method of semiconductor substrate, and manufacturing method of conductive structure
WO2004094581A1 (en) Aqueous fluoride compositions for cleaning semiconductor devices
KR20100131407A (en) Separation-material composition for photo-resist and manufacturing methods of semiconductor device
TWI734149B (en) Post etch residue cleaning compositions and methods of using the same
KR101156490B1 (en) Cleaning composition for semiconductor device and cleaning method of semiconductor device using the same
US20120172272A1 (en) Cleaning composition for semiconductor device and method of cleaning semiconductor device using the same
KR20120078607A (en) Cleaning composition for semiconductor device and cleaning method of semiconductor device using the same
KR100752446B1 (en) Composition for Removing Polymer Residue of Photosensitive Resistive Etching Film
US8747564B2 (en) Solution for removal of residue after semiconductor dry process and residue removal method using same
JP4758187B2 (en) Photoresist residue and polymer residue remover
KR100916353B1 (en) Cleaning composition for semiconductor device and cleaning method of semiconductor device using the same
KR20090073848A (en) Cleaning composition for semiconductor device and cleaning method of semiconductor device using the same
KR20090061354A (en) Cleaning solution for removing impurity and method of cleaning substrate and method of manufacturing semiconductor device using the same
CN111315859A (en) Fluorochemical based cleaning compositions
KR20150096126A (en) Composition for cleaning semiconductor device
KR20120004809A (en) Composition for removing a photoresist residue and cleaning method using the same

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E601 Decision to refuse application