KR20110083641A - Methods and systems of manufacturing photovoltaic devices - Google Patents

Methods and systems of manufacturing photovoltaic devices Download PDF

Info

Publication number
KR20110083641A
KR20110083641A KR1020117010073A KR20117010073A KR20110083641A KR 20110083641 A KR20110083641 A KR 20110083641A KR 1020117010073 A KR1020117010073 A KR 1020117010073A KR 20117010073 A KR20117010073 A KR 20117010073A KR 20110083641 A KR20110083641 A KR 20110083641A
Authority
KR
South Korea
Prior art keywords
wafer
laser
laser beam
sub
regions
Prior art date
Application number
KR1020117010073A
Other languages
Korean (ko)
Inventor
준 주
Original Assignee
주식회사 창저우 라스포커스 레이저 이큅먼트
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 주식회사 창저우 라스포커스 레이저 이큅먼트 filed Critical 주식회사 창저우 라스포커스 레이저 이큅먼트
Publication of KR20110083641A publication Critical patent/KR20110083641A/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/18Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof
    • H01L31/1804Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof comprising only elements of Group IV of the Periodic Table
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/18Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K26/00Working by laser beam, e.g. welding, cutting or boring
    • B23K26/02Positioning or observing the workpiece, e.g. with respect to the point of impact; Aligning, aiming or focusing the laser beam
    • B23K26/06Shaping the laser beam, e.g. by masks or multi-focusing
    • B23K26/067Dividing the beam into multiple beams, e.g. multifocusing
    • B23K26/0673Dividing the beam into multiple beams, e.g. multifocusing into independently operating sub-beams, e.g. beam multiplexing to provide laser beams for several stations
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K26/00Working by laser beam, e.g. welding, cutting or boring
    • B23K26/08Devices involving relative movement between laser beam and workpiece
    • B23K26/082Scanning systems, i.e. devices involving movement of the laser beam relative to the laser head
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K26/00Working by laser beam, e.g. welding, cutting or boring
    • B23K26/36Removing material
    • B23K26/361Removing material for deburring or mechanical trimming
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K26/00Working by laser beam, e.g. welding, cutting or boring
    • B23K26/36Removing material
    • B23K26/40Removing material taking account of the properties of the material involved
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/04Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K2101/00Articles made by soldering, welding or cutting
    • B23K2101/36Electric or electronic devices
    • B23K2101/40Semiconductor devices
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K2103/00Materials to be soldered, welded or cut
    • B23K2103/16Composite materials, e.g. fibre reinforced
    • B23K2103/166Multilayered materials
    • B23K2103/172Multilayered materials wherein at least one of the layers is non-metallic
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K2103/00Materials to be soldered, welded or cut
    • B23K2103/50Inorganic material, e.g. metals, not provided for in B23K2103/02 – B23K2103/26
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02EREDUCTION OF GREENHOUSE GAS [GHG] EMISSIONS, RELATED TO ENERGY GENERATION, TRANSMISSION OR DISTRIBUTION
    • Y02E10/00Energy generation through renewable energy sources
    • Y02E10/50Photovoltaic [PV] energy
    • Y02E10/547Monocrystalline silicon PV cells
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P70/00Climate change mitigation technologies in the production process for final industrial or consumer products
    • Y02P70/50Manufacturing or production processes characterised by the final manufactured product

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Optics & Photonics (AREA)
  • Mechanical Engineering (AREA)
  • Plasma & Fusion (AREA)
  • General Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Electromagnetism (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Laser Beam Processing (AREA)

Abstract

반도체 웨이퍼를 포함하는 광기전력 소자의 제조 시스템에 있어서, 상기 시스템은, 레이저 빔을 생성하도록 배치된 레이저 장치; 및 상기 웨이퍼 상에서 상기 레이저 빔을 스캔하여 웨이퍼의 표면을 국부적으로 가열하도록 배치된 레이저 스캔 장치를 포함한다. 상기 레이저 스캔 장치는, 레이저 빔을 동일한 레이저 일율을 가지는 적어도 두개의 서브 빔으로 나누는 빔 분리 모듈; 상기 서브 빔을 상기 웨이퍼 상에 규정된 소정의 스캔 경로를 따라 스캐닝되게 컨트롤하도록 배치된 적어도 두개의 스캐너; 및 컨트롤되는 서브 빔이 상기 소정의 스캔 경로와 일치하는 초점 위치에 집광되도록 배치된 적어도 두개의 렌즈를 포함한다.A system for manufacturing a photovoltaic device comprising a semiconductor wafer, the system comprising: a laser device arranged to generate a laser beam; And a laser scanning device arranged to scan the laser beam on the wafer to locally heat the surface of the wafer. The laser scanning apparatus includes: a beam splitting module for dividing a laser beam into at least two sub beams having the same laser power; At least two scanners arranged to control the sub-beams to be scanned along a predetermined scan path defined on the wafer; And at least two lenses arranged such that the controlled sub-beams are focused at a focal position coinciding with the predetermined scan path.

Description

광기전력 소자의 제조 방법 및 제조 시스템{Methods and Systems of manufacturing Photovoltaic devices}Manufacturing method and system of photovoltaic device {Methods and Systems of manufacturing Photovoltaic devices}

본 발명은 광기전력 소자의 제조 기술에 관한 것으로, 특히 광기전력 소자의 제조 방법 및 제조 시스템에 관한 것이다.The present invention relates to a manufacturing technique of a photovoltaic device, and more particularly, to a manufacturing method and a manufacturing system of a photovoltaic device.

현재, 다수의 광기전력(photovoltaic) 모듈은 실리콘 웨이퍼(silicon wafer)에 기반한 광기전력 소자(예를 들면, 태양전지)로 형성된다. 실리콘 전지의 효율을 증가시키고 비교적 얇은 웨이퍼를 사용하여 원가를 낮추는 것은 극히 중요하다. 실리콘 웨이퍼에 기반한 광기전력 소자의 제조 공정의 한 단계로, 스크린 인쇄(screen-printing) 방법을 통하여 접촉(contact)이 금속화가 되도록 하는 공정이 있다. 그러나 광기전력 소자 제조 회사들이 전지 효율을 향상시키고 웨이퍼(wafer)의 두께를 얇게하여 더욱 낮은 원가를 도모함에 따라, 스크린 인쇄 공정의 한계도 드러나고 있다. 예를 들면, 스크린 인쇄 접촉 기술은 적어도 아래와 같은 결함을 야기시킨다. (a): 넓은 선 폭(line width)(100-200㎛)으로 인한 높은 섀이딩 손실(shading loss)은 저 효율 태양 전지 형성을 야기시키고, 또한 (b): 스크린 인쇄 과정에서 인가된 물리적 압력은 얇은 웨이퍼(두께가 200㎛보다 작음)의 생산율 손실을 증가시킨다. Currently, many photovoltaic modules are formed of photovoltaic devices (eg, solar cells) based on silicon wafers. Increasing the efficiency of silicon cells and lowering costs using relatively thin wafers is extremely important. As a step in the fabrication of photovoltaic devices based on silicon wafers, there is a process that allows the contact to be metallized through screen-printing. However, as photovoltaic device manufacturers improve battery efficiency and make wafers thinner and lower costs, the limitations of the screen printing process are also being revealed. For example, screen printing contact technology causes at least the following defects. (a): High shading loss due to wide line width (100-200 μm) leads to low efficiency solar cell formation, and (b): physical pressure applied during screen printing process Increases production loss of thin wafers (thickness less than 200 μm).

그외, 125x125mm의 웨이퍼에 있어서, 광기전력 소자를 생산하는 것은 약 매 시간마다 1400개의 웨이퍼를 생산하는 고 생산량 과정이다. 그 어떤 새로운 공정, 시스템 혹은 방법일지라도 광기전력 소자의 제조에 있어서 모두 고 생산량 요구를 만족시켜야 한다.In addition, for 125 x 125 mm wafers, producing a photovoltaic device is a high yield process that produces 1400 wafers approximately every hour. Any new process, system or method must meet the high yield requirements in the fabrication of photovoltaic devices.

본 발명의 일 측면에 따르면, 반도체 웨이퍼를 포함하는 광기전력 소자의 제조 시스템을 제공한다. 상기 시스템은, 레이저 빔을 생성하도록 배치된 레이저 장치; 및 웨이퍼 상에 레이저 빔을 스캔하여 웨이퍼 표면을 국부적으로 가열하도록 배치된 레이저 스캔 장치; 를 포함할 수 있다. According to one aspect of the invention, there is provided a system for manufacturing a photovoltaic device comprising a semiconductor wafer. The system includes a laser device arranged to generate a laser beam; And a laser scanning device arranged to scan a laser beam on the wafer to locally heat the wafer surface; It may include.

본 발명의 다른 측면에 따르면, 광기전력 소자의 제조 방법을 제공한다. 상기 광기전력 소자는 반도체 웨이퍼를 포함하고 상기 반도체 웨이퍼는, 반도체 기판; 반도체 기판 표면 상에 형성된 방사층(emitter layer); 및 방사층 표면 위를 덮는 유전체층(dielectric layer)을 포함한다. 상기 방법은, 유전체층의 표면 상에 도펀트 원재료(dopant source material) 층을 형성하는 단계; 레이저 빔을 통하여 웨이퍼 표면을 국부적으로 가열하여, 유전체층을 관통하는 복수 개의 개구를 정의하고 또한 유전체층 하부에 위치하는 기판 표면을 용융시킴으로서, 도펀트 원재료 층 내에 포함된 도펀트가 개구를 통하여 용융된 기판으로 확산되고, 이로부터 고농도로 도핑된 영역(heavily doped zones)을 형성하는 단계; 및 도체를 고농도로 도핑된 영역에 증착시키는 단계; 를 포함할 수 있다.According to another aspect of the present invention, a method of manufacturing a photovoltaic device is provided. The photovoltaic device comprises a semiconductor wafer and the semiconductor wafer comprises: a semiconductor substrate; An emitter layer formed on the surface of the semiconductor substrate; And a dielectric layer overlying the emissive layer surface. The method includes forming a dopant source material layer on the surface of the dielectric layer; By locally heating the wafer surface through a laser beam to define a plurality of openings through the dielectric layer and to melt the substrate surface underlying the dielectric layer, dopants contained in the dopant raw material layer diffuse through the openings into the molten substrate. Thereby forming heavily doped zones; And depositing the conductor in the heavily doped region; It may include.

본 발명의 또 다른 측면에 따르면, 레이저 스캐닝을 통하여 웨이퍼를 가공하는 방법을 제공한다. 상기 방법은, 웨이퍼를 동일한 크기를 가지는 적어도 두개의 영역으로 구획하는 단계; 및 하나 혹은 복수 개의 레이저 빔을 통하여 상기 영역을 각각 스캐닝하는 단계를 포함할 수 있다. According to another aspect of the present invention, a method of processing a wafer through laser scanning is provided. The method includes partitioning the wafer into at least two regions having the same size; And scanning the regions through one or a plurality of laser beams, respectively.

본 발명의 또 다른 측면에 따르면, 레이저 스캐닝을 통하여 웨이퍼를 가공하는 방법을 제공한다. 상기 방법은, 일정한 속도로 한 방향을 따라 웨이퍼를 이동하는 단계; 및 웨이퍼 상에서 직선 패턴을 형성하도록 패턴 스캔 경로를 따라 나비 넥타이 형태(bow-tie pattern)로 레이저 빔을 컨트롤하는 단계; 를 포함하는데, 여기서 레이저 빔이 웨이퍼 이동 방향에서의 스캐닝 범위는 스캔 경로의 피치(pitch)에 의해 한정된다. According to another aspect of the present invention, a method of processing a wafer through laser scanning is provided. The method includes moving the wafer along one direction at a constant speed; And controlling the laser beam in a bow-tie pattern along the pattern scan path to form a straight pattern on the wafer; Wherein the scanning range of the laser beam in the wafer movement direction is defined by the pitch of the scan path.

도 1은 본 발명의 일 실시 방식에 따른 광기전력 소자의 제조 방법을 나타내는 순서도이다.
도 2a 내지 2d는 본 발명의 일 실시 방식에 따른 광기전력 소자의 제조 단계를 나타내는 예시도이다.
도 3은 레이저 빔 세기와 가공되는 웨이퍼 표면 재료 사이의 상호작용 범위를 나타낸 도면이다.
도 4는 본 발명의 구체적인 일 실시 방식에 따른 광기전력 소자의 제조 시스템을 나타내는 예시도이다.
도 5는 본 발명의 구체적인 다른 실시 방식에 따른 광기전력 소자의 제조 시스템을 나타내는 예시도이다.
도 6a 내지 6d는 본 발명의 다른 실시 방식에 따른 레이저 스캐닝을 통하여 웨이퍼를 가공하는 방법을 나타내는 예시도이다.
도 6e는 본 발명의 또 다른 실시 방식에 따른 레이저 스캐닝을 통하여 웨이퍼를 가공하는 방법을 나타내는 예시도이다.
도 6f는 본 발명의 또 다른 실시 방식에 따른 레이저 스캐닝을 통하여 웨이퍼를 가공하는 방법을 나타내는 예시도이다.
도 7a 내지 7c는 본 발명의 일 선택 가능한 실시 방식에 따른 레이저 스캐닝을 통하여 웨이퍼를 가공하는 스티칭(stitching)방법을 나타내는 예시도이다.
도 8a 내지 8b는 본 발명의 다른 선택 가능한 실시 방식에 따른 레이저 스캐닝을 통하여 웨이퍼를 가공하는 방법을 나타내는 예시도이다.
1 is a flowchart illustrating a method of manufacturing a photovoltaic device according to an embodiment of the present invention.
2A to 2D are exemplary views illustrating steps of manufacturing a photovoltaic device according to an embodiment of the present invention.
3 shows the range of interaction between the laser beam intensity and the wafer surface material being processed.
4 is an exemplary view illustrating a manufacturing system of a photovoltaic device according to a specific embodiment of the present invention.
5 is an exemplary view illustrating a manufacturing system of a photovoltaic device according to another specific embodiment of the present invention.
6A through 6D are exemplary views illustrating a method of processing a wafer through laser scanning according to another exemplary embodiment of the present invention.
6E is an exemplary view illustrating a method of processing a wafer through laser scanning according to another exemplary embodiment of the present invention.
6F is an exemplary view showing a method of processing a wafer through laser scanning according to another embodiment of the present invention.
7A to 7C are exemplary views illustrating a stitching method of processing a wafer through laser scanning according to an exemplary embodiment of the present invention.
8A through 8B are exemplary views illustrating a method of processing a wafer through laser scanning according to another selectable embodiment of the present invention.

도 1, 및 도 2a 내지 2d를 참조하여, 본 발명의 일 측면에 따라 광기전력 소자 제조 방법을 제공한다. 상기 방법에 따르면, 기판(100)과 방사층(105)을 구비한 반도체(예를 들면, 실리콘)웨이퍼를 제공하는데, 그 중 방사층(105)는 도펀트를 기판(100)에 가볍게 확산시켜 형성된다. 상기 도펀트의 극성과 기판에 사용되는 도펀트의 극성은 서로 상반되어 방사층(105)과 기판(100) 사이에서 p-n접합을 형성한다. 그리고 방사층(105)의 윗 표면에 유전체층(101)을 형성하는데, 유전체층(101)은 실리콘 옥사이드 및/또는 실리콘 나이트라이드로 이루어진 패시베이션막일 수 있고, 또한 반사방지막(ARC: antireflection coating)으로서 사용될 수 있다. 방사층(105)과 유전체층(101)을 형성하는 공정은 사용할 수 있는 임의의 공지 기술을 통하여 수행될 수 있다. 1, and 2a to 2d, a method of manufacturing a photovoltaic device according to an aspect of the present invention. According to the method, a semiconductor (for example, silicon) wafer having a substrate 100 and an emission layer 105 is provided, wherein the emission layer 105 is formed by lightly diffusing a dopant onto the substrate 100. do. The polarity of the dopant and the polarity of the dopant used in the substrate are opposite to each other to form a p-n junction between the emission layer 105 and the substrate 100. And forming a dielectric layer 101 on the top surface of the radiation layer 105, which may be a passivation film made of silicon oxide and / or silicon nitride, and may also be used as an antireflection coating (ARC). have. The process of forming the emissive layer 105 and the dielectric layer 101 may be performed through any known technique that may be used.

그리고, 유전체층(101)의 윗 표면 상에 도펀트 원재료 층(104)(도면 1의 20 참조)을 형성한다. 층(104)내에 포함된 도펀트의 극성과 방사층(105)의 극성은 동일하다. 그리고 도 2b를 참조하면, 레이저 빔을 통해 웨이퍼 표면을 가공하여 유전체층(101)과 금속 접촉(metal contact)을 형성할 일부 영역 내의 기판을 용융시키도록 한다. 구체적으로, 유전체층(101)은 복수 개의 개구를 정의하도록 오픈되어 층(104)내에 포함된 도펀트가 상기 개구들을 통하여 기판 내로 확산될수 있도록 한다. 용융된 기판이 냉각 및 재결정(recrystallize)됨에 따라 상기 도펀트가 기판 내에 잔류되어 기판 내에 복수 개의 고농도로 도핑된 영역을 형성한다. Then, a dopant raw material layer 104 (see 20 in Fig. 1) is formed on the upper surface of the dielectric layer 101. The polarity of the dopant included in layer 104 and that of emissive layer 105 are the same. Referring to FIG. 2B, the wafer surface is processed through a laser beam to melt the substrate in some regions where metal contact is formed with the dielectric layer 101. Specifically, dielectric layer 101 is opened to define a plurality of openings such that dopants contained in layer 104 can diffuse through the openings into the substrate. As the molten substrate cools and recrystallizes, the dopant remains in the substrate to form a plurality of heavily doped regions in the substrate.

도 2c를 참조하면, 레이저 가공 단계 후, 도펀트 원재료 층만 용융(dissolve)하고 웨이퍼를 유전체층(101)에 손상 주지 않는 용액에서 세정하여, 도펀트 원재료 층(104)을 제거한다. 다음으로, 도 2d를 참조하면, 전기 도금, 무전해 도금, 혹은 금속이 함유된 페이스트(paste)를 가열하여 금속 접촉을 형성하는 등과 같은 방법을 통하여, 기판에 노출된 고농도로 도핑된 영역(예를 들면, 은, 니켈 및/또는 동 등을 증착)에 도체(107)를 증착시킴으로써, 금속 반도체 접촉을 형성하는 금속화 단계(도 1의 40)를 수행한다. 금속화 단계에서, 유전체층(101)은 일부 용융된 영역(금속 접촉이 여기에 형성됨)의 개구를 통하여 접촉부위를 금속화(metallization of contacts)하는 셀프 얼라인 마스크(self-aligned mask) 역할을 수행할 수 있다. Referring to FIG. 2C, after the laser processing step, only the dopant raw material layer is dissolved and the wafer is cleaned in a solution that does not damage the dielectric layer 101 to remove the dopant raw material layer 104. Next, referring to FIG. 2D, a heavily doped region exposed to the substrate (eg, by electroplating, electroless plating, or heating a metal-containing paste to form a metal contact, etc.) For example, a metallization step (40 in FIG. 1) is performed to form a metal semiconductor contact by depositing a conductor 107 on silver, nickel and / or copper, and the like. In the metallization step, the dielectric layer 101 serves as a self-aligned mask that metallizes the contacts through openings in some molten regions (metal contacts are formed therein). can do.

당업자들은 도펀트 원재료 층(104)을 형성 하기 전과 형성한 후에 모두 웨이퍼를 가능한 깨끗한 상태로 유지해야 한다는 것을 이해할 것이고, 이는 오염물 특히 사이즈가 수 미크론 보다 큰 오염물이 레이저 에너지를 분산 및 흡수할 수 있어 레이저에 의한 재료 용융 효율을 저하시키고 또한 금속과 기판 사이의 계면에 높은 임피던스를 생성하기 때문이다. 따라서, 오염물이 웨이퍼에 부착되지 않도록 도펀트 원재료 층 형성 단계와 레이저 가공 단계 사이의 시간을 최소화 하는 것이 바람직하다. Those skilled in the art will understand that the wafer should be kept as clean as possible both before and after the dopant raw material layer 104 is formed, which is contaminants, particularly contaminants larger than a few microns in size, can disperse and absorb laser energy. This is because the material melting efficiency is lowered and high impedance is generated at the interface between the metal and the substrate. Therefore, it is desirable to minimize the time between the dopant raw material layer forming step and the laser processing step so that contaminants do not adhere to the wafer.

본 발명의 일 실시 방식에 따르면, 기판 표면이 용융되고 또한 극 소수의 기화(vaporization)만 생성되도록, 웨이퍼 표면 상에 집광(focused)되는 레이저 빔의 세기를 충분히 높게 유지하여야 한다. 기판 표면이 용융될 때, 웨이퍼 상에 매우 적은 부가적 결함이 생성되거나 혹은 부가적 결함이 생성되지 않는다. 본 실시방식에 따른 레이저 가공은 종래의 레이저 홈 매립 콘택트(LGBC: laser groove buried contact) 방법에 비해 웨이퍼 표면에 선명한 홈을 생성하지 않는다. 구체적인 일 실시 방식에 따르면, 본 발명의 방법을 통하여 형성한 고농도로 도핑된 영역의 표면 도핑 농도는 1019cm-3에 달하거나 혹은 이보다 더 클수 있고, 동시에 실질적으로 웨이퍼에 새로운 결함이 추가되지 않는다. According to one embodiment of the invention, the intensity of the laser beam focused on the wafer surface must be maintained high enough so that the substrate surface melts and only a very small vaporization is produced. When the substrate surface is melted, very few additional defects or no additional defects are created on the wafer. Laser processing according to the present embodiment does not produce clear grooves on the wafer surface as compared to the conventional laser groove buried contact (LGBC) method. According to one specific embodiment, the surface doping concentration of the heavily doped regions formed by the method of the present invention may reach or exceed 10 19 cm −3 , while substantially no new defects are added to the wafer. .

도 3은 기판 재료가 실리콘일 때 레이저 빔 세기와 가공되는 웨이퍼 재료 사이의 상호작용 범위를 나타낸다. 실리콘의 용융점은 약 1414℃이고 기화 온도는 약 3217℃이다. 실리콘의 용융 문턱값과 기화 문턱값에 따라 레이저 빔 세기 그래프는 범위(112, 113, 114)로 구분된다. 범위(112)는 가열 범위로서, 웨이퍼 표면은 용융 문턱값보다 낮은 온도까지 조사된다. 따라서 실리콘은 고체 상태를 유지하나 유전체층(101)은 부분적으로 손상되어 웨이퍼 표면상에는 원하지 않는 열 영향 영역(HZA: heat-affected zone)이 생성된다. 범위(113)는 용융 범위로서, 웨이퍼 표면은 용융 문턱값보다 높고 기화 문턱값보다 낮은 온도까지 가열된다. 화살표(110)가 표시하는 바와 같이, 범위(113)내에서 실리콘 표면의 일부는 액체 상태로 변한다. 화살표(111)가 표시하는 바와 같이, 범위(114)는 기화 범위로서, 웨이퍼 표면은 기화 문턱값보다 높은 온도까지 가열되어 실리콘 표면의 일부는 기화된다. 기화는 기판의 표면 도핑 농도를 감소시킬 수 있고, 웨이퍼 표면에 결함을 생성할수 있으며, 또한 도핑층을 투과할 수 있어 금속 접촉과 기판 사이에 전분류(electrical shunting)를 야기한다. 그러므로, 웨이퍼 표면 상에 집광된 레이저 빔의 세기를 웨이퍼 표면이 실리콘 용융 문턱값보다 높고 실리콘 기화 문턱값보다 낮은 온도까지 가열될 수 있도록 유지하여야 한다. 도 3은 레이저 빔 세기 그래프를 도시하고, 여기서 레이저 빔 세기 그래프의 말단 부분은 HAZ 영향을 최소화 하도록 절단할 수 있고 또한 레이저 빔 세기 그래프의 톱(top)부분은 실리콘 기화를 방지하도록 평탄하게 할수 있다. 3 shows the range of interaction between the laser beam intensity and the processed wafer material when the substrate material is silicon. The melting point of silicon is about 1414 ° C and the vaporization temperature is about 3217 ° C. The laser beam intensity graph is divided into ranges 112, 113, and 114 according to the melting threshold and the vaporization threshold of silicon. Range 112 is a heating range where the wafer surface is irradiated to a temperature below the melting threshold. Thus, the silicon remains in a solid state, but the dielectric layer 101 is partially damaged, creating unwanted heat-affected zones (HZAs) on the wafer surface. Range 113 is the melting range, where the wafer surface is heated to a temperature above the melting threshold and below the vaporization threshold. As indicated by arrow 110, a portion of the silicon surface within range 113 changes to a liquid state. As indicated by arrow 111, range 114 is a vaporization range where the wafer surface is heated to a temperature above the vaporization threshold and a portion of the silicon surface is vaporized. Vaporization can reduce the surface doping concentration of the substrate, create defects on the wafer surface, and can also penetrate the doped layer resulting in electrical shunting between the metal contact and the substrate. Therefore, the intensity of the laser beam focused on the wafer surface must be maintained such that the wafer surface can be heated to a temperature above the silicon melting threshold and below the silicon vaporization threshold. 3 shows a laser beam intensity graph, where the distal portion of the laser beam intensity graph can be cut to minimize the HAZ effect and the top portion of the laser beam intensity graph can be smoothed to prevent silicon vaporization. .

또한, 웨이퍼 표면이 가열되도록 레이저 빔이 스캐닝될때, 웨이퍼 표면 상에 집광된 레이저 빔의 스팟 크기(spot size)(D)에 의하여 각 유전체층 개구의 크기가 결정된다. 작은 스팟 크기를 획득하기 위하여, 레이저 빔은 TEM00모드로 선택될 수 있다. 이에 따라 획득된 개구 크기는 일반적으로 10 내지 25 미크론 범위에 있다 이런 개구의 크기는 일반적으로 종래의 스크린 인쇄 방법을 통하여 획득할 수 있는 크기 보다 작다. In addition, when the laser beam is scanned so that the wafer surface is heated, the size of each dielectric layer opening is determined by the spot size D of the laser beam focused on the wafer surface. In order to obtain a small spot size, the laser beam can be selected in TEM00 mode. The opening sizes thus obtained are generally in the range of 10 to 25 microns. The size of such openings is generally smaller than those obtainable through conventional screen printing methods.

비록 위에서 특별히 전면 접촉(frontside contact) 제조를 참조하여 상기 방법을 설명하였으나, 본 명세서에 기재된 방법은 유효한 형식으로 배면 접촉(backside contact)을 형성하는데 사용될 수도 있다. Although the method has been described above with particular reference to the manufacture of frontside contacts, the methods described herein may be used to form backside contacts in a valid fashion.

본 발명의 다른 측면에 따르면, 광기전력 소자의 제조 시스템은 레이저 빔을 생성하도록 배치된 레이저 장치; 및 목표 대상(예를 들면, 반도체 웨이퍼)의 표면 상에 레이저 빔을 스캐닝하도록 배치된 레이저 스캔 장치를 포함한다. 본 발명의 일 실시 방식에 따르면 이 시스템은 상기 설명한 방법으로 광기전력 소자를 제조하도록 배치될 수 있다. According to another aspect of the invention, a system for manufacturing a photovoltaic device includes a laser device arranged to generate a laser beam; And a laser scanning device arranged to scan a laser beam on a surface of a target object (eg, a semiconductor wafer). According to one embodiment of the invention, the system can be arranged to manufacture a photovoltaic device in the manner described above.

도 4를 참조하면, 광기전력 소자의 제조 시스템(200)은 레이저 빔(230)을 생성하도록 배치된 레이저 장치(202); 및 빔 분리 모듈(205), 스캐너(208), 및 렌즈(209)를 포함하는 레이저 스캔 장치를 포함한다. 빔 분리 모듈(205)을 통하여 레이저 장치(202)에서 생성된 레이저 빔(230)을 실질적으로 동일한 세기를 가지는 복수 개의 서브 빔(sub-beam)으로 분리된다. 설명의 편의를 위하여 도 4에서 두개의 서브 빔(232)을 예로 들어 설명한다. 각각의 서브 빔은 대응되는 스캐너(208)로부터 컨트롤되어 웨이퍼 상에 정해진 소정의 스캔 경로를 따라 스캐닝 될 수 있다. 한 셋트의 렌즈(209)를 제공하여 서브 빔이 웨이퍼 표면에 집광되도록 할 수 있다. 도 4에 도시된 시스템에 따르면, 복수 개의 서브 빔(233)을 통하여 두개 혹은 그 이상의 웨이퍼들에 대해 일괄적으로 가공함으로서 시스템의 고산량을 실현할 수 있다. Referring to FIG. 4, a system 200 for manufacturing a photovoltaic device includes a laser device 202 arranged to generate a laser beam 230; And a laser scanning device that includes a beam separation module 205, a scanner 208, and a lens 209. The beam splitting module 205 separates the laser beam 230 generated by the laser apparatus 202 into a plurality of sub-beams having substantially the same intensity. For convenience of description, two sub-beams 232 will be described as an example in FIG. 4. Each sub beam can be controlled from a corresponding scanner 208 and scanned along a predetermined scan path on the wafer. A set of lenses 209 may be provided to allow the sub-beams to focus on the wafer surface. According to the system shown in FIG. 4, high throughput of the system can be realized by collectively processing two or more wafers through the plurality of sub-beams 233.

레이저 장치(202)는 준연속파(QCW: quasi-continuous wave)레이저 기기일 수 있고, 그 펄스 반복율(pulse repetition rate)은 1MHz 혹은 그 이상일 수 있다. 본 발명의 일 실시예에 따르면, QCW레이저 기기는 피코초(picosecond) 레이저 기기이다. 구체적인 일 실시예에 있어서, 상기 피코초 레이저 기기의 펄스 폭은 약 15피코초 이다. 레이저 장치(202)는 연속파(CW) 레이저 기기일 수도 있다. 예시적인 실시예에 있어서, 레이저 장치(202)는 아래 특징들을 구비하는 레이저 빔을 생성한다. (a) 파장이 약 532nm 혹은 그보다 더 작고; (b) 빔 모드는 TEM00 이고; (c) 빔 양호도(quality factor) M2는 약 1.3 이거나 그보다 더 작고; 및 (d) 빔 출력 지름(beam output diameter)이 적어도 약 1 mm이며, 바람직하게는 2mm 혹은 그보다 더 크다. 레이저 장치(202)는 UV 레이저 기기 혹은 녹색(green) 레이저 기기일 수 있다.The laser device 202 may be a quasi-continuous wave (QCW) laser device, and its pulse repetition rate may be 1 MHz or more. According to one embodiment of the invention, the QCW laser device is a picosecond laser device. In one specific embodiment, the pulse width of the picosecond laser device is about 15 picoseconds. The laser device 202 may be a continuous wave (CW) laser device. In an exemplary embodiment, the laser device 202 generates a laser beam having the following features. (a) the wavelength is about 532 nm or smaller; (b) the beam mode is TEM00; (c) the beam quality factor M 2 is about 1.3 or less; And (d) the beam output diameter is at least about 1 mm, preferably 2 mm or larger. The laser device 202 may be a UV laser device or a green laser device.

스캐너(208)는 2차원(2-D) XY 혹은 3차원(3-D) XYZ 검류계 스캐너일 수 있으며, 웨이퍼 표면 상에서 약 V=2000mm/s 내지 3000mm/s정도의 속도로 레이저 빔을 스캐닝할 수 있다. 3-D XYZ 스캐너는 XY 평면 내에서 레이저를 스캐닝할 수 있을 뿐만 아니라 리얼타임(real time) 형식으로 초점이 웨이퍼 표면에 놓이도록 초점 높이(focus height)(Z)를 제어할 수 있다. 렌즈(209)는 에프세타(f-theta) 렌즈 혹은 텔리센트릭 스캔 렌즈(telecentric scan lens)일 수 있다. 스캐너(208)의 입사 동공(entrance pupil) 에서의 레이저 빔(232) 직경은 약 8mm내지 16mm 정도일 수 있다. 펄스 준연속파 레이저 기기에 대하여, 스캐너는 펄스 중첩율(

Figure pct00001
)이 약 75~99.99%가 되도록 레이저 빔을 스캐닝한다. 일반적으로 반복율
Figure pct00002
은 관계식
Figure pct00003
를 만족시켜야 하는데, 여기에서 D는 웨이퍼 표면 상에 집광된 레이저 빔 스팟 크기이다. 예시적인 일 실시예에 있어서, 빔 스팟 크기(D)는 약 10㎛ 내지 약 50㎛ 사이이고, 웨이퍼 표면에서 평균 레이저 파워 밀도(laser power density)는 약 2MW/cm2내지 약 20MW/cm2 사이이다. The scanner 208 may be a two-dimensional (2-D) XY or three-dimensional (3-D) XYZ galvanometer scanner, which scans the laser beam at a speed of about V = 2000 mm / s to 3000 mm / s on the wafer surface. Can be. In addition to scanning the laser within the XY plane, the 3-D XYZ scanner can control the focus height (Z) so that the focal point is placed on the wafer surface in a real time format. Lens 209 may be an f-theta lens or a telecentric scan lens. The diameter of the laser beam 232 at the entrance pupil of the scanner 208 may be about 8 mm to 16 mm. For pulse quasi-continuous wave laser devices, the scanner can
Figure pct00001
The laser beam is scanned so that) is about 75-99.99%. Typical repetition rate
Figure pct00002
Silver relation
Figure pct00003
Where D is the laser beam spot size focused on the wafer surface. In one exemplary embodiment, the beam spot size (D) is between about 10 μm and about 50 μm, and the average laser power density at the wafer surface is between about 2 MW / cm 2 and about 20 MW / cm 2 . to be.

이와 같이, 웨이퍼 표면을 용융하기 위하여, 웨이퍼 표면 상에 집광된 레이저 빔의 세기를 웨이퍼 표면이 기판 재료 용융 문턱값보다 높은 온도까지 가열될 수 있도록 유지하여야 한다. 즉, 레이저 빔의 세기는 세기 문턱값

Figure pct00004
보다 높아야 한다. 그런데 레이저 빔(230)은 복수 개의 서브 빔(232)으로 분리되므로 도 4에 도시된 시스템(200)은 레이저 파워가 부족한 현상이 발생할 수 있다. 웨이퍼 표면 상에 집광된 레이저 빔 스팟 크기(D)와 렌즈의 초점거리 사이의 관계는
Figure pct00005
로 알려져 있다. 그러므로, 웨이퍼 표면에서 레이저 빔 세기 문턱값
Figure pct00006
의 특징은
Figure pct00007
혹은
Figure pct00008
이다. 그러므로 레이저 파워가 부족한 현상을 방지하도록 렌즈(209)의 초점거리를 비교적 작게 유지하거나 혹은
Figure pct00009
를 비교적 낮게 유지하여 한다. 그러나 상술한 바와 같이, 일부 웨이퍼 표면을 기판 재료의 용융 온도까지 가열하기 위하여 문턱값이 너무 낮을 수 없다. 그러므로 레이저 파워가 부족한 현상을 방지하기 위하여, 렌즈(209)가 비교적 작은 초점거리를 가지도록 하여야 한다. 한편, 초점거리가 너무 작으면 시스템의 광학 수차(optical aberration)가 심해질 수 있다. 이런 경우, 스캐닝 품질은 일반적으로 레이저 빔이 시스템 스캔 필드(scan field)의 중심 영역에서 멀어짐에 따라 저하된다. 그러므로 실제 하드웨어의 제한을 받아 가공의 균일성이 저하되는 것을 야기시킬 수 있다. 작은 초점거리를 유지하는 것과 균일한 가공 결과를 획득하는 것 사이에 평형이 존재한다. 본 발명의 일 실시 방식에 따르면, 렌즈의 초점거리는 약 160mm내지 약 300mm 사이에 있다. 본 발명의 구체적인 일 실시 방식에 따르면, 초점거리는 250mm이다. As such, in order to melt the wafer surface, the intensity of the laser beam focused on the wafer surface must be maintained such that the wafer surface can be heated to a temperature above the substrate material melting threshold. That is, the intensity of the laser beam is the intensity threshold
Figure pct00004
It must be higher. However, since the laser beam 230 is divided into a plurality of sub beams 232, the system 200 illustrated in FIG. 4 may have a shortage of laser power. The relationship between the laser beam spot size (D) focused on the wafer surface and the focal length of the lens
Figure pct00005
Known as Therefore, the laser beam intensity threshold at the wafer surface
Figure pct00006
Characteristic of
Figure pct00007
or
Figure pct00008
to be. Therefore, the focal length of the lens 209 is kept relatively small to prevent the phenomenon that the laser power is insufficient, or
Figure pct00009
Should be kept relatively low. However, as mentioned above, the threshold cannot be too low to heat some wafer surfaces to the melting temperature of the substrate material. Therefore, in order to prevent the phenomenon that the laser power is insufficient, the lens 209 should have a relatively small focal length. On the other hand, if the focal length is too small, the optical aberration of the system may be severe. In this case, the scanning quality generally degrades as the laser beam moves away from the center region of the system scan field. Therefore, it is possible to cause the uniformity of processing to be deteriorated under the limitation of actual hardware. There is an equilibrium between maintaining a small focal length and obtaining a uniform processing result. According to one embodiment of the invention, the focal length of the lens is between about 160 mm and about 300 mm. According to a specific embodiment of the present invention, the focal length is 250 mm.

도 5에는 본 발명의 구체적인 다른 실시 방식에 따른 광기전력 소자의 제조 시스템이 도시되어 있다. 도 5를 참조하면, 시스템(200)은 도 4에 도시된 소자 외에도, 레이저 빔을 확대하도록 배치된 빔 확대기(beam expander)(204); 및 레이저 빔의 파워를 원하는 파워 레벨로 감쇠하도록 배치된 감쇠기(attenuator)(206)를 추가로 더 포함할 수 있다. 레이저 빔(230)은 빔 분리 모듈(205)을 통하여 분리되기 전에 빔 확대기(204)를 통하여 확대될 수 있으며, 또한 분리 모듈(205)을 통과한 후에 감쇠기(206)를 통하여 감쇠될 수 있다. 상기 시스템(200)은, 선택적으로 레이저를 셧 오프(shut off)할 수 있는 안전 셔터(203); 및/또는 레이저 빔 파워를 모니터링(monitoring)하는 레이저 파워 미터(laser power meter)(207)를 더 포함할 수 있다.5 shows a manufacturing system of a photovoltaic device according to another specific embodiment of the present invention. Referring to FIG. 5, in addition to the device shown in FIG. 4, the system 200 includes a beam expander 204 arranged to enlarge the laser beam; And an attenuator 206 arranged to attenuate the power of the laser beam to a desired power level. The laser beam 230 may be enlarged through the beam expander 204 before being separated through the beam splitting module 205 and may also be attenuated through the attenuator 206 after passing through the splitting module 205. The system 200 includes a safety shutter 203 capable of selectively shutting off the laser; And / or a laser power meter 207 for monitoring the laser beam power.

다시 도 5를 참조하면, 상기 시스템(200)은 레이저 빔의 스캐닝 범위를 한정하도록 배치된 레이저 마스크(210)를 포함할 수 있다. 레이저 마스크(210)는 레이저 빔이 레이저 빔 스캔 경로를 따라 용융되지 말아야 할 웨이퍼 영역에 히팅(hitting) 되는것을 방지할 수 있다. 예를 들면, 레이저 빔을 한 주사선으로부터 그 다음 주사선으로 이동하도록 컨트롤 할때 레이저 빔의 선회 경로(turnaround paths)를 따라 용융되지 말아야 하는, 웨이퍼 상의 주변 영역(periphery region) 등과 같은 영역이다. 레이저 마스크(210)는 간단한 직사각형(rectangle) 개구 혹은 더 복잡한 디자인을 구비할 수 있다. 예시적인 일 실시예에 따르면, 마스크는 주사선의 단부를 명확하게 한정하도록 웨이퍼에 충분히 접근되게 배치된다. 마스크와 웨이퍼 사이의 수직거리는 5mm보다 작을 수 있다. 웨이퍼(211)는, 웨이퍼(211)가 평탄화되어 웨이퍼 가공을 하도록 진공 척(vacuum chucks)을 통하여 작업대(220)에 로딩 될 수 있다. 작업대(220)는, 예를 들면 X-작업대, 및/또는 Y작업대, 및/또는 Z작업대, 및/또는 회전 작업대 등 이동 가능한 작업대일 수 있다. 비록 도 5에 도시되지 않았으나 시스템(200)의 소자(예를 들면, 레이저 마스크(210) 이외의 레이저 장치(202), 스캐너(208) 등)들은 하나의 강성(rigid) 및 열 안정성(thermally stable) 판(plate)(예를 들면, MIC-6 알루미늄 판 혹은 화강암 판)에 장착될 수 있다. Referring again to FIG. 5, the system 200 may include a laser mask 210 disposed to limit the scanning range of the laser beam. The laser mask 210 may prevent the laser beam from hitting a wafer area that should not be melted along the laser beam scan path. For example, an area such as a peripheral region on a wafer that must not melt along the turnaround paths of the laser beam when controlling the laser beam to move from one scan line to the next. The laser mask 210 may have a simple rectangular opening or more complex design. According to one exemplary embodiment, the mask is placed in sufficient proximity to the wafer to clearly define the end of the scan line. The vertical distance between the mask and the wafer may be less than 5 mm. The wafer 211 may be loaded onto the work bench 220 through vacuum chucks so that the wafer 211 may be planarized and processed into wafers. The work bench 220 may be, for example, a movable work bench such as an X-work bench and / or a Y work bench, and / or a Z work bench, and / or a rotary work bench. Although not shown in FIG. 5, the elements of system 200 (eg, laser device 202 other than laser mask 210, scanner 208, etc.) may be rigid and thermally stable. ) May be mounted on a plate (eg, MIC-6 aluminum plate or granite plate).

설명의 편의를 위하여 빔 분리 모듈(205), 및 시스템(200)에서 빔 분리 모듈(205)의 상류(upstream)에 위치한 소자를 빔 처리 메인 부분이라 칭하고, 시스템(200)에서 빔 분리 모듈(205)의 하류(downstream)에 위치한 소자를 빔 처리 서브 부분이라 칭한다. 도 5에 도시된 시스템의 다른 구조에 있어서, 확대기(204)는 빔 처리 메인 부분이 아닌 빔 처리 서브 부분에 포함될 수 있다. 도 5에 도시된 시스템의 또 다른 구조에 있어서, 레이저 빔(230)의 확대는 복수 개 스테이지(multiple stages)에서 실현될 수 있다. 예를 들면, 확대율이 같거나 서로 다른 하나 이상의 빔 확대기를 사용할 수 있다. 도 5에 도시된 시스템의 또 다른 구조에 있어서, 만약 2-스테이지식 확대기를 사용하면, 하나 혹은 두개 확대기 스테이지는 빔 처리 메인 부분이 아닌 빔 처리 서브 부분에 포함될 수 있다. 도 5에 도시된 시스템의 또 다른 구조에 있어서, 레이저 파워 미터(207)는 서로 다른 위치에 위치할 수 있다. 예를 들면, 레이저 파워 미터(207)는 렌즈(209)와 웨이퍼(211) 사이에 위치할 수 있다. 도 5에 도시된 시스템의 또 다른 구조에 있어서, 빔 처리 서브 부분은 하나의 레이저 파워 미터(207)만 포함할 수 있다. 선택 가능한 것으로, 레이저 파워 미터(207)는 웨이퍼 가공 중에서 광학적 경로(optical path)를 이탈할 수 있다. 도 5에 도시된 시스템의 또 다른 구조에 있어서, 감쇠기(206)는 빔 처리 서브 부분이 아닌 빔 처리 메인 부분에 포함될 수 있다. 터닝 미러(turning mirror) 등과 같은 부가 광학 소자를 사용하여 레이저 빔의 방향을 변화시킬 수 있다. For convenience of description, the beam separation module 205 and the element located upstream of the beam separation module 205 in the system 200 are referred to as the beam processing main part, and the beam separation module 205 in the system 200. The device located downstream of the subfield is referred to as a beam processing sub-part. In another structure of the system shown in FIG. 5, the expander 204 may be included in the beam processing sub-part rather than the beam processing main-part. In another structure of the system shown in FIG. 5, the magnification of the laser beam 230 may be realized in multiple stages. For example, one or more beam expanders with the same or different magnification may be used. In another structure of the system shown in FIG. 5, if using a two-stage expander, one or two expander stages may be included in the beam processing sub-part rather than the beam-processing main part. In another structure of the system shown in FIG. 5, the laser power meter 207 may be located at different positions. For example, the laser power meter 207 may be located between the lens 209 and the wafer 211. In another structure of the system shown in FIG. 5, the beam processing sub-part may include only one laser power meter 207. Optionally, the laser power meter 207 may deviate from the optical path during wafer processing. In another structure of the system shown in FIG. 5, the attenuator 206 may be included in the beam processing main portion rather than in the beam processing sub portion. Additional optical elements such as turning mirrors can be used to change the direction of the laser beam.

본 발명의 구체적인 일 실시 방식에 있어서, 보다 높은 생산량을 실현하도록 레이저 빔은 동일한 레이저 파워를 가지는 세개 혹은 그 이상의 서브 빔으로 구분될 수 있다.In one specific embodiment of the present invention, the laser beam may be divided into three or more sub-beams having the same laser power to realize higher yield.

본 발명의 구체적인 다른 실시 방식에 있어서, 시스템은 빔 분리 모듈을 포함하지 않을 수 있다. In another specific embodiment of the present invention, the system may not include a beam splitting module.

본 발명의 구체적인 또 다른 실시 방식에 있어서, 시스템 중의 광학적 경로는 폐쇄된(enclosed) 경로 이고, 깨끗한 건조 공기(CDA: clean dry air) 혹은 질소(N2)를 통하여 환경 오염물이 차단되도록 정화할 수 있다. In another specific embodiment of the invention, the optical path in the system is an enclosed path and can be purified to block environmental contaminants through clean dry air (CDA) or nitrogen (N 2 ). have.

본 발명의 구체적인 또 다른 실시 방식에 있어서, 상기 시스템은, 시스템의 청정을 유지하고 또한 렌즈(209)와 같은 광학 소자 등에 오염물이 없도록 유지하는, 특히 웨이퍼 레이저 가공 중 생성된 오염물이 없도록 유지하는 잔해 제거 구조물을 포함할 수 있다. In another specific embodiment of the present invention, the system maintains the cleanliness of the system and remains free of contaminants, such as lenses, such as lenses 209, in particular free of debris generated during wafer laser processing. It may include a removal structure.

본 발명의 구체적인 또 다른 실시 방식에 있어서, 상기 시스템은, 웨이퍼(211)에서 과량의 도핑 화학물을 수집 및 포획하여 시스템 부식을 방지하는 유지 및 포획 구조물을 포함할 수 있다. In yet another specific embodiment of the present invention, the system may include a retention and capture structure that collects and captures excess doping chemicals from the wafer 211 to prevent system corrosion.

본 발명의 구체적인 또 다른 실시 방식에 있어서, 광학적 경로 중의 조절 가능한 편광기(adjustable polarizer)(예를 들면, 1/4 파장 시트 혹은 반파장 시트)를 통하여 레이저 빔의 편광를 제어할 수 있다. In another specific embodiment of the present invention, the polarization of the laser beam can be controlled via an adjustable polarizer (eg, quarter-wave or half-wavelength sheet) in the optical path.

사이즈가 큰 웨이퍼에 대하여, 예들 들면 업계 표준인 125x125mm, 156x156mm, 혹은 210x210mm 웨이퍼에 대하여, 전체 웨이퍼 상에서 본 발명이 요구하는 프로세스 원도우를 유지하려면 두 가지의 문제가 있다. (a) 렌즈(209)와 스캐너(208)의 실제 하드웨어의 제한으로 인해, 레이저 빔 스팟 크기 혹은 웨이퍼 표면에서의 레이저 빔 세기는 일반적으로 빔이 시스템의 스캔 필드의 중심 영역에서 멀어질수록 저하되므로, 시스템은 스캔 필드의 중심에 위치한 한정된 "최적 스팟(sweet spot)" 영역을 구비하는데 여기에서 최적의 재료 가공 결과를 제공할 수 있다. (b) 본 발명이 원하는 짧은 초점거리(f) 및 실제 하드웨어의 제한(예를 들면, 스캐너(208)의 한정된 스캔 각도(scan angle))의 결합은 하나의 스캐닝 레이저 빔으로 웨이퍼 전체를 가공하기 어렵게 한다. 아래에 기술하는 방법에서 상기 두개의 문제를 해결하기 위하여, 큰 웨이퍼의 전체 영역에서 본 발명의 프로세스 윈도우를 유지하도록 하고자 한다. 아래에 기술하는 방법은 본 발명의 시스템과 결합하여 웨이퍼를 가공할 수 있다. For large wafers, for example industry standard 125x125mm, 156x156mm, or 210x210mm wafers, there are two problems to maintain the process window required by the present invention over the entire wafer. (a) Due to the limitations of the actual hardware of the lens 209 and the scanner 208, the laser beam spot size or laser beam intensity at the wafer surface generally decreases as the beam moves away from the center region of the system's scan field. The system has a limited "sweet spot" area located in the center of the scan field, which can provide optimum material processing results. (b) The combination of the short focal length f and the limitations of the actual hardware desired by the present invention (e.g., the limited scan angle of the scanner 208) allows the entire wafer to be processed with one scanning laser beam. Makes it difficult. In order to solve the above two problems in the method described below, it is intended to maintain the process window of the present invention over the entire area of the large wafer. The method described below can be combined with the system of the present invention to process a wafer.

도 6a 내지 도 6d는 본 발명의 다른 실시 방식에 따른 레이저 스캐닝을 통하여 웨이퍼를 가공하는 방법을 나타내는 예시도이다. 도 6a 내지 도 6d를 참조하면, 큰 웨이퍼는 크기가 실질적으로 동일한 네개 영역(R1, R2, R3, 및 R4)으로 나뉜다. 도 6a 내지 6d에서 영역 개수는 설명을 위한 목적으로, 웨이퍼는 실질적으로 동일한 크기를 가지는 서로 다른 개수 만큼의 영역으로 나뉘어 가공될 수 있다. 웨이퍼는 레이저 빔(233)을 통하여 스캔 필드의 "최적 스팟(sweet spot)" 영역 하에 연속적으로 각 영역별로 레이저 재료 가공이 수행될 수 있다. 이동 가능한 작업대에 의하여 필요한 웨이퍼 이동을 실현할 수 있다. 레이저 마스크(210)를 이용하여 레이저 빔이 레이저 빔 스캔 경로를 따라 가공되지 말아야 할 웨이퍼 영역에 히팅되는 것을 방지하도록 할 수 있다. 6A through 6D are exemplary views illustrating a method of processing a wafer through laser scanning according to another exemplary embodiment of the present invention. 6A-6D, a large wafer is divided into four regions R1, R2, R3, and R4 that are substantially the same size. In FIGS. 6A to 6D, the number of regions is for illustrative purposes, and the wafer may be divided into as many different regions having substantially the same size. The wafer may be subjected to laser material processing for each region continuously under the “sweet spot” region of the scan field through the laser beam 233. It is possible to realize the required wafer movement by the movable worktable. The laser mask 210 may be used to prevent the laser beam from being heated in the wafer area that should not be processed along the laser beam scan path.

도 6e는 본 발명의 또 다른 실시 방식에 따른 레이저 스캐닝을 통하여 웨이퍼를 가공하는 방법을 나타내는 예시도이다. 도 6e를 참조하면, 설명의 편의를 위하여 웨이퍼는 여전히 네개의 영역으로 나뉜다. 그러나 웨이퍼는 네개의 레이저 빔을 통하여 각각 가공된다. 웨이퍼가 네개의 가공 위치를 전부 통과한 후, 웨이퍼의 네개 영역은 모두 가공이 완료된다. 웨이퍼(211)는 실질적으로 동일한 크기를 가지는 다른 개수의 영역으로 나뉘어 가공될 수 있는데, 웨이퍼 가공에 사용되는 레이저 빔의 개수도 다를 수 있다. 예를 들면, 웨이퍼는 실질적으로 동일한 크기를 가지는 여섯 개의 영역으로 나뉘어 두 개, 세 개, 혹은 여섯 개의 레이저 빔을 통하여 가공될 수 있다. 6E is an exemplary view illustrating a method of processing a wafer through laser scanning according to another exemplary embodiment of the present invention. Referring to FIG. 6E, the wafer is still divided into four regions for convenience of explanation. However, the wafer is processed through four laser beams, respectively. After the wafer has passed all four processing positions, all four regions of the wafer are finished processing. The wafer 211 may be divided into different numbers of regions having substantially the same size, and the number of laser beams used for processing the wafer may also be different. For example, the wafer may be processed through two, three, or six laser beams divided into six regions having substantially the same size.

도 6f는 본 발명의 또 다른 실시 방식에 따른 레이저 스캐닝을 통하여 웨이퍼를 가공하는 방법을 나타내는 예시도이다. 도 6f를 참조하면, 설명의 편의를 위하여 각각의 웨이퍼는 여전히 동일한 크기를 가지는 네개의 영역으로 나뉘며, 또한 회전 작업대(220)를 통하여 이송될 수 있다. 생산량을 최적화하도록 웨이퍼 상의 복수 개의 영역들은 동일한 개수의 레이저 빔을 통하여 가공된다. 도 6f에 도시된 실시예에 따르면, 여섯 개의 작업 위치(P1, P2, P3, P4, P5, 및 P6)들을 구비하는 회전 작업대(220)에 의하여 웨이퍼를 이송함으로서 웨이퍼 상의 네게 영역(R1, R2, R3, 및 R4)의 레이저 가공을 완성할 수 있다. 여섯 개의 작업 위치(P1, P2, P3, P4, P5, 및 P6)들은 작업대(220)의 중심축 선을 둘러싸고 대칭될 수 있으며 또한 그 주변을 둘러싸고 위치할 수 있다. 웨이퍼(211)들은 각각 진공 척을 통하여 이런 작업 위치들에 고정될 수 있다. 작업대(220)은 60도의 회전 각도로 증량(increment) 회전되어 웨이퍼를 일 위치에서 다음 위치로 이동시킬 수 있고, 또한 각 위치에서 한동안 정지한다. 위치(P2, P3, P4, 및 P5)들에 위치할 때, 웨이퍼(211)는 네개의 독립적인 레이저 빔들에 의해 가공된다. 아래 도 6f에 도시된 실시예를 참조하여 가공 과정을 설명한다. 6F is an exemplary view showing a method of processing a wafer through laser scanning according to another embodiment of the present invention. Referring to FIG. 6F, for convenience of description, each wafer is still divided into four regions having the same size, and can also be transported through the rotary work bench 220. Multiple areas on the wafer are processed through the same number of laser beams to optimize yield. According to the embodiment shown in FIG. 6F, the wafer area R1, R2 on the wafer by transferring the wafer by a rotating work bench 220 having six working positions P1, P2, P3, P4, P5, and P6. , R3, and R4) can be completed. The six work positions P1, P2, P3, P4, P5, and P6 may be symmetrical about the central axis line of the work bench 220 and may also be located around it. The wafers 211 may each be fixed at these working positions via a vacuum chuck. Working table 220 can be incrementally rotated at a rotational angle of 60 degrees to move the wafer from one position to the next, and also stop for a while at each position. When located at locations P2, P3, P4, and P5, wafer 211 is processed by four independent laser beams. Hereinafter, a machining process will be described with reference to the embodiment illustrated in FIG. 6F.

첫번째 웨이퍼가 위치(P1)에 로딩된 후, 작업대(220)는 60도 회전하여 첫번째 웨이퍼를 위치(P1)에서 위치(P2)로 이동시키고 정지된다. 작업대(220)가 정지되었을 때 첫번째 웨이퍼의 영역(R1)은 레이저 빔에 의해 가공된다. 동시에 위치(P1)에 두번째 웨이퍼가 로딩된다. 첫번째 웨이퍼의 영역(R1)은 가공 완료 된 후 작업대(220)는 동일한 방향을 따라 다시 60도 회전하여 첫번째 웨이퍼를 위치(P2)에서 위치(P3)로 이동시키고, 두번째 웨이퍼를 위치(P1)에서 위치(P2)로 이동 시킨다. 이와같이, 첫번째 웨이퍼의 영역(R2)은 위치(P3)에서 레이저 빔에 의하여 가공되고, 두번째 웨이퍼의 영역(R1)은 위치(P2)에서 다른 레이저 빔에 의하여 가공된다. After the first wafer is loaded at position P1, work bench 220 is rotated 60 degrees to move the first wafer from position P1 to position P2 and stop. When the work bench 220 is stopped, the area R1 of the first wafer is processed by the laser beam. At the same time a second wafer is loaded at position P1. After the machining of the first wafer R1 is completed, the work bench 220 rotates again 60 degrees along the same direction to move the first wafer from the position P2 to the position P3 and the second wafer at the position P1. Move to position P2. As such, the region R2 of the first wafer is processed by the laser beam at position P3 and the region R1 of the second wafer is processed by the other laser beam at position P2.

첫번째 웨이퍼가 위치(P2, P3, P4, 및 P5)들에서 네개 레이저 빔들에 의하여 레이저 가공될 때까지 상술한 단계들을 반복한다. 이러한 웨이퍼 가공 방법을 통하여 웨이퍼는 순차적으로 이러한 위치들을 통과한 후 가공 완료되고 위치(P6)에서 언로딩 될 수 있다.The above steps are repeated until the first wafer is laser processed by four laser beams at positions P2, P3, P4, and P5. Through this wafer processing method, the wafer may sequentially pass through these positions and then be processed and unloaded at the position P6.

도 6f에 도시된 실시예에 따르면, 레이저 빔의 개수와 하나의 웨이퍼 상에 있는 가공 예정인 영역의 개수를 균일하게하여 생산량을 최적화 한다. 다른 실시예에 있어서, 하나의 레이저 빔만 사용하여 복수 개의 영역을 구비한 웨이퍼를 가공한다. 이 경우, 추가적인 시간과 운동으로 레이저 빔 혹은 웨이퍼를 이동시켜 전체 웨이퍼의 모든 영역을 가공한다. According to the embodiment shown in FIG. 6F, the number of laser beams and the number of regions to be processed on one wafer are equalized to optimize production. In another embodiment, only one laser beam is used to process a wafer having a plurality of regions. In this case, all areas of the entire wafer are processed by moving the laser beam or wafer with additional time and motion.

다시 도 6f를 참조하면, 웨이퍼의 로딩과 언로딩은 레이저 가공 과정 중 진행됨으로서 별도의 지연이 추가되는 것을 방지할 수 있다. 이 외에, 회전 작업대(220)와 네개의 레이저 가공 위치(P2, P3, P4, 및 P5)들을 서로 결합시킴으로서, 시스템은 하나의 웨이퍼 로딩과 언로딩 작동을 통하여 고 처리율(high throughput)을 갖도록 할 수 있다. 또한, 위치(P1)와 위치(P6)는 물리적으로 서로 인접되어 있음으로서 웨이퍼 처리 장치(wafer handling apparatus) 하나만으로 웨이퍼를 로딩 및 언로딩할 수 있어 필요한 하드웨어 개수를 최소화 한다. Referring back to FIG. 6F, the loading and unloading of the wafer may be performed during the laser processing process, thereby preventing additional delays from being added. In addition, by combining the rotary work station 220 and the four laser machining positions (P2, P3, P4, and P5) with each other, the system is capable of high throughput through one wafer loading and unloading operation. Can be. In addition, since the location P1 and the location P6 are physically adjacent to each other, the wafer can be loaded and unloaded with only one wafer handling apparatus to minimize the number of hardware required.

또 다른 실시예에 따르면, 웨이퍼 처리 장치가 웨이퍼 가공 시간 내에 웨이퍼를 교환할 수 있을 정도로 충분히 빠르다면, 웨이퍼의 로딩 및 언로딩 위치(P1)와 위치(P6)는 하나로 결합될 수 있다. 회전 작업대(220)는 다섯 개의 웨이퍼 위치만 구비할 때 회전 각도(rotation step size)는 60도가 아닌 72도이다. According to another embodiment, the loading and unloading positions P1 and P6 of the wafer may be combined into one if the wafer processing apparatus is fast enough to exchange the wafer within the wafer processing time. The rotation work table 220 has a rotation step size of 72 degrees instead of 60 degrees when only five wafer positions are provided.

다시 도 6f를 참조하면, 네개의 레이저 빔 각각은 웨이퍼의 서로 다른 영역(R1, R2, R3, 및 R4)을 스캐닝하고, 스캔 순서는 도 6f에 도시된 순서와 다를 수 있는데 웨이퍼의 네개 영역 전부가 회전 작업대(220) 상의 웨이퍼 위치를 전부 경과했다면 가공 완료된다. Referring again to FIG. 6F, each of the four laser beams scans different regions R1, R2, R3, and R4 of the wafer, and the scanning order may differ from the order shown in FIG. 6F, with all four regions of the wafer. If all of the wafer positions on the rotary work bench 220 have passed, the machining is completed.

다시 도 6f를 참조하면, 작업대(220)의 회전 방향은 시계 방향 혹은 반시계 방향일 수 있다. Referring back to FIG. 6F, the rotation direction of the work bench 220 may be clockwise or counterclockwise.

다시 도 6f를 참조하면, 웨이퍼(211)는 실질적으로 동일한 크기를 가지는 다른 개수의 영역으로 나뉘어 가공될 수 있다. 웨이퍼 가공에 사용되는 레이저 빔의 개수와 웨이퍼 상의 영역 개수는 다를 수 있다. Referring back to FIG. 6F, the wafer 211 may be divided into different numbers of regions having substantially the same size and processed. The number of laser beams used for wafer processing and the number of regions on the wafer may be different.

도 7a 내지 7c는 본 발명의 일 가능한 실시 방식에 따른 레이저 스캐닝을 통하여 웨이퍼를 가공하는 스티칭(stitching) 방법을 나타내는 예시도이다. 도 7a 내지 7c를 참조하면, 웨이퍼가 복수 개의 영역으로 구분되고 한개 영역씩 레이저 가공이 수행될 때, 일반적으로 스티칭 작업은 레이저 스캐닝으로 인해 웨이퍼 상에 생성된 패턴(예를 들면, 금속 접촉 패턴)들의 연속성(continuity)을 유지하여야 한다. 도 7a 내지 7c를 참조하면, 웨이퍼(211)의 정면 금속 접촉 패턴과 스티칭 방법의 예시적인 실시예가 도시되어 있다. 핑거선(fingers)(241)과 모선(busbars)(242)의 금속 접촉 패턴을 형성하기 위하여, 선(lines)(243)을 통하여 웨이퍼(211)는 네개 영역(R1, R2, R3, 및 R4)으로 구분된다. 선(243)은 서로 인접한 영역 사이의 중첩 영역도 표시하는데, 시스템 고유의 비정열 오차(misalignment error)로 인해 패턴이 상기 중첩 영역에서 정확하게 정렬되어 있지 않을 수 있다. 도 7b에 따르면, 영역(R2)와 영역(R3) 사이에서 핑거선(241)은 "X"자 형태로 서로 스티칭되어 있다. 도 7c에 따르면, 영역(R3)과 영역(R4) 사이에서 모선(242)는 "X"자 형태로 서로 스티칭되어 있다. 시스템 하드웨어의 선택, 정열, 및 교정(calibration)을 통하여 스티칭 형태인 "X"의 크기를 최소화 할 수 있다. 스티칭 형태는 "X" 형태뿐만 아니라 서로 인접한 영역 사이의 패턴의 정열 오차만 충분히 해결할 수 있으면 기타 스티칭 형태도 사용할 수 있다. 다른 실시 방식에 따르면, 서로 인접한 패턴선(patterns lines)이 +/-10㎛의 오차내로 정열된다면, 금속화 가공이 이 정도의 작은 비정열 오차를 허용할 수 있으므로 스티칭 가공이 필요하지 않을 수 있다. 7A through 7C are exemplary views illustrating a stitching method of processing a wafer through laser scanning according to one possible embodiment of the present invention. 7A to 7C, when the wafer is divided into a plurality of regions and laser processing is performed one region at a time, the stitching operation is generally a pattern generated on the wafer due to laser scanning (for example, a metal contact pattern). Their continuity must be maintained. 7A-7C, an exemplary embodiment of the front metal contact pattern and stitching method of the wafer 211 is shown. In order to form a metal contact pattern of fingerers 241 and busbars 242, wafer 211 through lines 243 has four regions (R1, R2, R3, and R4). Are separated by). Lines 243 also indicate overlapping areas between adjacent areas, and patterns may not be exactly aligned in the overlapping areas due to system-specific misalignment errors. According to FIG. 7B, the finger lines 241 are stitched to each other in an "X" shape between the region R2 and the region R3. According to FIG. 7C, the buses 242 are stitched together in the form of an “X” between the region R3 and the region R4. Selection, alignment, and calibration of system hardware minimizes the size of the stitching form "X". In addition to the "X" form, the stitching form can be used as long as the alignment error of the pattern between adjacent areas can be sufficiently solved. According to another embodiment, if the pattern lines adjacent to each other are aligned within an error of +/− 10 μm, the stitching may not be necessary since the metallization may tolerate this small misalignment error. .

다시 도 7a 내지 7c를 참조하면, 스티칭 방법의 일 가능 실시 방식은 중첩 영역 내에서 핑거선(241) 스티칭이 아닌 모선(242) 스티칭을 수행한다. 이는 핑거선(241)이 이미 모선(242)으로 가는 연속적인 전기적 경로를 구비하고 있기 때문이다. 필요하면 웨이퍼(211) 상에 두개 이상의 모선(242)을 형성할 수 있다. Referring again to FIGS. 7A-7C, one possible implementation of the stitching method is to perform the stitching of the bus 242 rather than the finger 241 stitching in the overlap region. This is because finger wire 241 already has a continuous electrical path to bus 242. If necessary, two or more bus bars 242 may be formed on the wafer 211.

도 8a 내지 8b는 본 발명의 다른 가능 실시 방식에 따른 레이저 스캐닝을 통하여 웨이퍼를 가공하는 방법을 나타내는 예시도이다. 도 8a 내지 8b에 예시된 방법에 따르면, 레이저 가공 시간내에 정지가 아닌 실질적으로 일정한 속도로 웨이퍼를 이동시키는 것이다. 이런 경우, "나비 넥타이(bow-tie)" 패턴으로 스캔 경로(240A, 240B, 240C, 및 240D)들을 따라 레이저 빔(233)을 컨트롤하여 웨이퍼(211) 상에서 직선 패턴을 반복적으로 형성하도록 할 수 있다. 경로(240A)와 경로(240C)를 따라 레이저 빔을 컨트롤할 때 웨이퍼(211) 상에 선형 패턴을 형성하게 되고, 또한 레이저 빔은 경로(240B)와 경로(240D)를 따라 그 다음 선의 시작 위치로 돌아가게 된다. 경로(240A) 혹은 경로(240C)를 따라 직선 스캔 운동 외에 레이저 빔(233)을 약간 앞으로 전진하도록 컨트롤하여 웨이퍼 속도를 따라가도록 함으로써 웨이퍼(211) 상에 직선을 형성한다. 레이저 마스크(210)를 이용하여 레이저 빔이 그 선회 경로(240B, 240D)를 따라 이동 할 때 웨이퍼에 히팅되는 것을 방지할 수 있다. "나비 넥타이 형태" 스캔 패턴에 의해 레이저 빔을 컨트롤하여 웨이퍼(211) 상에 직선을 형성할 때 웨이퍼 주행(travel) 방향에서의 스캔 범위는 약 라인 피치(pitch of lines) 정도로 제한되는데, 이는 한정된 "최적 스팟" 영역을 가지는 시스템에 있어서 유리한 것이다. 8A through 8B are exemplary views illustrating a method of processing a wafer through laser scanning according to another possible embodiment of the present invention. According to the method illustrated in FIGS. 8A-8B, the wafer is moved at a substantially constant speed and not stationary within the laser processing time. In this case, the laser beam 233 can be controlled along the scan paths 240A, 240B, 240C, and 240D in a "bow-tie" pattern to repeatedly form a straight pattern on the wafer 211. have. When controlling the laser beam along path 240A and path 240C, a linear pattern is formed on the wafer 211, and the laser beam is also the starting position of the next line along path 240B and path 240D. Will return to. In addition to the linear scan movement along the path 240A or 240C, the laser beam 233 is controlled to advance slightly forward to follow the wafer speed to form a straight line on the wafer 211. The laser mask 210 can be used to prevent the laser beam from being heated on the wafer as it moves along its pivot paths 240B and 240D. When forming a straight line on the wafer 211 by controlling the laser beam with a “butterfly tie” scan pattern, the scan range in the wafer travel direction is limited to about a pitch of lines, which is limited. It is advantageous for systems with an "optimal spot" area.

또한, 도 8a 내지 8b에 예시된 방법은 선형 패턴을 형성하는데만 사용되는 것은 아니다. 예를 들면, 소정된 위치에서 레이저 빔을 차단하거나 레이저 빔을 통과시켜 웨이퍼 상에 패턴을 라이트(write)할 수 있는 마스크를 이용함으로서, 마스크에 의해 도트 매트릭스(matrix of dots)를 형성할 수 있다. In addition, the method illustrated in FIGS. 8A-8B is not only used to form a linear pattern. For example, a matrix of dots may be formed by the mask by using a mask that may block the laser beam at a predetermined position or pass the laser beam to write a pattern on the wafer. .

또한, 도 8a 내지 8b에 예시된 방법은 도 6a 내지 6d, 및 도 6e에 예시된 방법과 서로 결합하여 웨이퍼를 가공할 수 있다. 예를 들면, 웨이퍼는 실질적으로 동일한 크기를 가지는 복수 개의 영역으로 구획되고, 각 영역들은 도 8a 내지 8b에 예시된 방법을 통하여 가공될 수 있다. In addition, the method illustrated in FIGS. 8A-8B can be combined with the method illustrated in FIGS. 6A-6D and 6E to process a wafer. For example, the wafer is partitioned into a plurality of regions having substantially the same size, and each region can be processed through the method illustrated in FIGS. 8A-8B.

이상은 여러 다양한 실시 방식에서 생산에 사용되는 고 생산량으로 제조되는 광기전력 소자의 방법 및 시스템에 관하여 설명하였다. 이러한 방법과 시스템은 웨이퍼 상에 전면 금속 접촉을 형성할 때 사용될 수 있을뿐 만 아니라 배면 금속 접촉을 형성할 때도 사용될 수 있다. The foregoing has described a method and system for a photovoltaic device that is manufactured at high yields used in production in various various manners. Such methods and systems can be used not only to form front metal contacts on wafers, but also to form back metal contacts.

이상과 같은 설명, 실시 방식, 혹은 실시예를 통하여 본 발명을 설명하였으나 이들은 어떠한 형태로도 본 발명이 보호하고자 하는 범위를 한정하지는 않는다. Although the present invention has been described through the above description, implementation manners, or examples, these examples do not limit the scope of the present invention in any form.

Claims (46)

반도체 웨이퍼를 포함하는 광기전력 소자의 제조 시스템에 있어서,
레이저 빔을 생성하도록 배치된 레이저 장치; 및
상기 웨이퍼 상에 상기 레이저 빔을 스캐닝하여 상기 웨이퍼의 표면을 국부적으로 가열하도록 배치된 레이저 스캔 장치를 포함하는 광기전력 소자의 제조 시스템.
In the photovoltaic device manufacturing system including a semiconductor wafer,
A laser device arranged to generate a laser beam; And
And a laser scanning device arranged to scan the laser beam on the wafer to locally heat the surface of the wafer.
제 1 항에 있어서,
상기 웨이퍼는, 기판과 상기 기판 상에 형성된 유전체층을 구비하고,
상기 레이저 스캔 장치는 추가로, 상기 웨이퍼의 표면을 가열하여 상기 유전체층을 관통하는 개구를 한정하고, 상기 유전체층 아래에 위치하는 상기 기판의 표면을 용융하도록 배치되는 광기전력 소자의 제조 시스템.
The method of claim 1,
The wafer has a substrate and a dielectric layer formed on the substrate,
The laser scanning device is further configured to heat the surface of the wafer to define an opening through the dielectric layer and to melt the surface of the substrate located below the dielectric layer.
제2항에 있어서,
상기 광기전력 소자는 상기 유전체층 표면에 형성된 도펀트 원재료 층을 더 포함하고,
상기 레이저 스캔 장치는, 상기 웨이퍼의 표면을 가열하여 상기 도펀트 원재료 층 내에 포함된 도펀트가 상기 개구를 통하여 용융된 기판 내로 확산되어 상기 기판 내에 고농도로 도핑된 영역을 추가로 형성하도록 배치되는 광기전력 소자의 제조 시스템.
The method of claim 2,
The photovoltaic device further includes a dopant raw material layer formed on the surface of the dielectric layer,
The laser scanning device is arranged to heat a surface of the wafer such that a dopant contained in the dopant raw material layer is diffused through the opening into the molten substrate to further form a highly doped region in the substrate. Manufacturing system.
제 1 항 내지 제 3 항 중 어느 한 항에 있어서, 상기 레이저 스캔 장치는,
상기 레이저 빔을 균등한 레이저 파워(power)를 가지는 적어도 두개의 서브 빔으로 분리하도록 배치되는 빔 분리 모듈;
상기 서브 빔이 상기 웨이퍼 상에 규정된 소정의 스캔 경로를 따라 스캐닝되도록 컨트롤하는 적어도 두개의 스캐너; 및
컨트롤되는 서브 빔이 상기 소정의 스캔 경로와 일치한 초점 위치에 집광되도록 배치된 적어도 두개의 렌즈를 포함하는 광기전력 소자의 제조 시스템.
The laser scanning device of any one of claims 1 to 3, wherein
A beam splitting module arranged to split the laser beam into at least two sub-beams having equal laser power;
At least two scanners for controlling the sub-beams to be scanned along a predetermined scan path defined on the wafer; And
And at least two lenses arranged such that the controlled sub-beams are focused at a focal position coinciding with the predetermined scan path.
제4항에 있어서,
상기 스캐너들은 각각 하나의 서브 빔을 컨트롤하도록 배치되는 광기전력 소자의 제조 시스템.
The method of claim 4, wherein
And the scanners are arranged to control one subbeam each.
제4항에 있어서,
상기 렌즈들은 각각 하나의 서브 빔을 집광하도록 배치되는 광기전력 소자의 제조 시스템.
The method of claim 4, wherein
And said lenses are each arranged to focus one sub-beam.
제1항에 있어서,
상기 레이저 빔이 상기 웨이퍼 상에 가열될 필요가 없는 영역을 스캐닝하는 것을 방지하도록 배치된 레이저 마스크를 더 포함하는 광기전력 소자의 제조 시스템.
The method of claim 1,
And a laser mask disposed to prevent scanning of the area where the laser beam does not need to be heated on the wafer.
제1항에 있어서,
상기 레이저 장치는 준연속파(quasi-continuous wave) 레이저 기기 혹은 연속파(continuous wave) 레이저 기기인 광기전력 소자의 제조 시스템.
The method of claim 1,
The laser device is a quasi-continuous wave laser device or a continuous wave laser device manufacturing system of a photovoltaic device.
제1항에 있어서,
상기 레이저 장치는 1MHz이상의 펄스 반복율을 가지는 준연속파 레이저 기기인 광기전력 소자의 제조 시스템.
The method of claim 1,
The laser device is a quasi-continuous wave laser device having a pulse repetition rate of 1MHz or more.
제1항에 있어서,
상기 레이저 장치는 피코초 레이저 기기인 광기전력 소자의 제조 시스템.
The method of claim 1,
The laser device is a picosecond laser device manufacturing system for a photovoltaic device.
제1항에 있어서,
상기 레이저 장치의 파장은 약 532nm이하인 광기전력 소자의 제조 시스템.
The method of claim 1,
And a wavelength of the laser device is about 532 nm or less.
제1항에 있어서,
상기 레이저 빔의 빔 양호도(quality factor)는 1.3이하인 광기전력 소자의 제조 시스템.
The method of claim 1,
And a beam quality factor of the laser beam is 1.3 or less.
제1항에 있어서,
상기 레이저 장치로부터 생성된 상기 레이저 빔의 프로파일(profile)은 TEM00모드인 광기전력 소자의 제조 시스템.
The method of claim 1,
And a profile of the laser beam generated from the laser device is TEM00 mode.
제1항에 있어서,
상기 레이저 장치로부터 생성된 상기 레이저 빔의 프로파일(profile)은 TEM00모드이며, 상기 웨이퍼 표면 상에 집광된 상기 레이저 빔의 스팟은 10㎛ 내지 50㎛ 크기가 되도록 하는 광기전력 소자의 제조 시스템.
The method of claim 1,
The profile of the laser beam generated from the laser device is in the TEM00 mode, the spot of the laser beam focused on the wafer surface is 10㎛ to 50㎛ size of the manufacturing system of the photovoltaic device.
제1항에 있어서,
상기 레이저 빔의 스캐닝 속도는 2000mm/s 내지 3000mm/s 사이인 광기전력 소자의 제조 시스템.
The method of claim 1,
And a scanning speed of the laser beam is between 2000 mm / s and 3000 mm / s.
제1항에 있어서,
상기 레이저 빔의 평균 레이저 파워 밀도(laser power density)는 2MW/cm2 내지 20MW/cm2사이인 광기전력 소자의 제조 시스템.
The method of claim 1,
The average laser power density of the laser beam is 2MW / cm 2 A system for manufacturing a photovoltaic device of between 20 MW / cm 2 .
제4항에 있어서,
상기 웨이퍼 표면 상에 집광된 각 서브 빔의 세기는, 상기 웨이퍼 표면을 상기 웨이퍼 재료의 용융 문턱값보다 높고 상기 웨이퍼 재료의 기화 문턱값보다 낮은 온도까지 가열하도록 유지되는 광기전력 소자의 제조 시스템.
The method of claim 4, wherein
The intensity of each sub-beam focused on the wafer surface is maintained to heat the wafer surface to a temperature above the melting threshold of the wafer material and below the vaporization threshold of the wafer material.
제17항에 있어서,
상기 렌즈의 초점거리는, 상기 웨이퍼 표면에 집광된 각 서브 빔의 세기에 의하여 상기 웨이퍼 표면이 상기 웨이퍼 재료의 용융 문턱값보다 높은 온도까지 가열되는 세기로 상기 서브 빔의 세기를 유지하도록, 충분히 짧게 배치된 광기전력 소자의 제조 시스템.
The method of claim 17,
The focal length of the lens is short enough to maintain the intensity of the sub-beams at such an intensity that the wafer surface is heated to a temperature above the melting threshold of the wafer material by the intensity of each sub-beam focused on the wafer surface. System for manufacturing photovoltaic devices.
제18항에 있어서,
상기 렌즈의 초점거리는 160mm 내지 300mm 사이인 광기전력 소자의 제조 시스템.
The method of claim 18,
The focal length of the lens is 160mm to 300mm manufacturing system of a photovoltaic device.
제18항에 있어서,
상기 렌즈의 초점거리는 250mm인 광기전력 소자의 제조 시스템.
The method of claim 18,
The focal length of the lens is 250mm photovoltaic device manufacturing system.
제4항에 있어서,
상기 웨이퍼는 동일한 크기를 가지는 적어도 두개의 영역으로 구획되고,
상기 레이저 스캔 장치는 상기 서브 빔을 통하여 상기 영역들을 각각 스캐닝하도록 배치되는 광기전력 소자의 제조 시스템.
The method of claim 4, wherein
The wafer is partitioned into at least two regions having the same size,
And the laser scanning device is arranged to scan the regions respectively through the sub-beams.
제21항에 있어서,
상기 웨이퍼는 동일한 크기를 가지는 네개의 영역으로 구획되는 광기전력 소자의 제조 시스템.
The method of claim 21,
And the wafer is divided into four regions having the same size.
제 21 항에 있어서,
상기 웨이퍼는 하나의 서브 빔에 의해 한개 영역씩 연속적으로 스캐닝되는 광기전력 소자의 제조 시스템.
The method of claim 21,
And the wafer is successively scanned one area by one sub-beam.
제 21 항에 있어서,
동일한 웨이퍼의 상기 영역들은 각각 다른 레이저 빔에 의해 스캐닝되는 광기전력 소자의 제조 시스템.
The method of claim 21,
The areas of the same wafer are each scanned by a different laser beam.
제21항에 있어서,
상기 레이저 스캔 장치는, 상기 서브 빔을 컨트롤하여 동일한 웨이퍼의 동일한 스캔 경로 상 인접한 영역 사이의 미 정열된 주사선에 대하여 스티칭 하도록 배치된 광기전력 소자의 제조 시스템.
The method of claim 21,
And the laser scanning device is arranged to control the sub-beams to stitch to unaligned scan lines between adjacent areas on the same scan path of the same wafer.
제25항에 있어서,
상기 주사선은 상기 인접한 영역 사이의 중첩 영역에서 "X" 형태로 서로 스티칭되는 광기전력 소자의 제조 시스템.
The method of claim 25,
And the scanning lines are stitched to each other in an "X" shape in an overlapping region between the adjacent regions.
제1항에 있어서,
상기 웨이퍼가 상기 레이저 빔에 의해 스캐닝될 때 상기 웨이퍼는 정지하는 광기전력 소자의 제조 시스템.
The method of claim 1,
And the wafer stops when the wafer is scanned by the laser beam.
제1항에 있어서,
상기 웨이퍼가 상기 레이저 빔에 의해 스캐닝될 때 상기 웨이퍼는 일정한 속도로 한 방향을 따라 이동하는 광기전력 소자의 제조 시스템.
The method of claim 1,
And the wafer moves along one direction at a constant speed when the wafer is scanned by the laser beam.
제28항에 있어서,
상기 레이저 스캔 장치는, 나비 넥타이 형태 패턴의 스캔 경로를 따라 상기 레이저 빔을 컨트롤하여 상기 웨이퍼 상에 직선 패턴을 형성하도록 배치된 광기전력 소자의 제조 시스템.
The method of claim 28,
The laser scanning device is configured to control the laser beam along a scan path of a bow tie pattern to form a straight pattern on the wafer.
제4항에 있어서,
웨이퍼를 로딩하도록 배치된 작업대를 더 포함하는 광기전력 소자의 제조 시스템.
The method of claim 4, wherein
And a workbench arranged to load the wafer.
제30항에 있어서,
상기 작업대는 상기 작업대의 중심축 선을 둘러싸고 그 주변으로 설치된 복수 개의 위치들을 포함하는 회전 작업대이고,
상기 각 위치들은 웨이퍼를 로딩하도록 배치되고,
상기 작업대는 회전하여 각각 대응되는 웨이퍼를 일 위치에서 그 다음 위치로 이동시키도록 배치된 광기전력 소자의 제조 시스템.
The method of claim 30,
The work table is a rotary work table including a plurality of positions surrounding and around the central axis line of the work table,
Each of the positions is arranged to load a wafer,
And said working platform is rotated to move respective corresponding wafers from one position to the next.
제31항에 있어서,
상기 각각의 웨이퍼는 동일한 크기를 가지는 적어도 두개의 영역으로 구획되고,
웨이퍼 상의 각 영역의 수량은 상기 서브 빔의 수량과 동일하고,
웨이퍼 상의 상기 각 영역은 대응되는 서브 빔에 의해 스캐닝되는 광기전력 소자의 제조 시스템.
32. The method of claim 31,
Each wafer is partitioned into at least two regions having the same size,
The quantity of each region on the wafer is equal to the quantity of the sub-beams,
Wherein each area on the wafer is scanned by a corresponding sub-beam.
제32항에 있어서,
각각의 웨이퍼는 시작 위치에서 로딩되고 끝 위치에서 언로딩되며, 상기 웨이퍼가 언로딩될 때 상기 웨이퍼 상의 모든 영역은 상기 서브 빔에 의해 이미 스캐닝 완료된 광기전력 소자의 제조 시스템.
33. The method of claim 32,
Each wafer is loaded at a start position and unloaded at an end position, and when the wafer is unloaded, all regions on the wafer are already scanned by the sub-beams.
제4항에 있어서,
상기 시스템이 오염물의 오염을 받지 않게 유지하도록 배치된 잔해 제거 구조물을 더 포함하는 광기전력 소자의 제조 시스템.
The method of claim 4, wherein
And a debris removal structure arranged to keep the system free of contamination of contaminants.
반도체 기판, 상기 반도체 기판의 표면 상에 형성된 방사층 및 상기 방사층 표면 위를 덮는 유전체층을 구비하는 반도체 웨이퍼를 포함하는 광기전력 소자의 제조 방법에 있어서,
상기 유전체층의 표면 상에 도펀트 원재료 층을 형성하는 단계;
레이저 빔을 통하여 상기 웨이퍼의 표면을 국부적으로 가열하여 상기 유전체층을 관통하는 복수 개의 개구들을 정의하고, 상기 유전체층의 하부에 위치하는 기판의 표면을 용융시킴으로서, 상기 도펀트 원재료 층 내에 포함된 도펀트 원재료가 상기 개구들을 통하여 용융된 기판 내로 확산되어 고농도로 도핑된 영역을 형성하는 단계; 및
도체를 상기 고농도로 도핑된 영역에 증착시키는 단계를 포함하는 광기전력 소자의 제조 방법.
1. A method of manufacturing a photovoltaic device comprising a semiconductor wafer having a semiconductor substrate, a radiation layer formed on a surface of the semiconductor substrate, and a dielectric layer covering the surface of the radiation layer.
Forming a dopant raw material layer on a surface of the dielectric layer;
Locally heating the surface of the wafer through a laser beam to define a plurality of openings penetrating the dielectric layer, and melting the surface of the substrate located below the dielectric layer, so that the dopant raw material contained in the dopant raw material layer is Diffusing through the openings into the molten substrate to form a heavily doped region; And
And depositing a conductor in said heavily doped region.
제35항에 있어서,
레이저 빔을 통하여 상기 웨이퍼의 표면을 국부적으로 가열하는 단계 후, 상기 도펀트 원재료 층을 제거하는 단계를 더 포함하는 광기전력 소자의 제조 방법.
36. The method of claim 35,
And after the step of locally heating the surface of the wafer via a laser beam, removing the dopant raw material layer.
제35항에 있어서,
상기 유전체층은 반사방지막으로 되도록 배치된 광기전력 소자의 제조 방법.
36. The method of claim 35,
And the dielectric layer is arranged to be an anti-reflection film.
제35항에 있어서,
레이저 빔을 통하여 상기 웨이퍼의 표면을 국부적으로 가열하는 단계는,
상기 웨이퍼 표면을 상기 웨이퍼 재료의 용융 문턱값보다 높고 상기 웨이퍼 재료의 기화 문턱값보다 낮은 온도까지 가열할 수 있도록 상기 레이저 빔의 세기를 유지하는 단계를 포함하는 광기전력 소자의 제조 방법.
36. The method of claim 35,
Locally heating the surface of the wafer via a laser beam,
Maintaining the intensity of the laser beam to heat the wafer surface to a temperature above the melting threshold of the wafer material and below the vaporization threshold of the wafer material.
제35항에 있어서,
상기 고농도로 도핑된 영역의 표면 도핑 농도는 1019cm-3 이상인 광기전력 소자의 제조 방법.
36. The method of claim 35,
And a surface doping concentration of the heavily doped region is 10 19 cm −3 or more.
제35항에 있어서,
상기 레이저 빔의 프로파일(profile)은 TEM00모드인 광기전력 소자의 제조 방법.
36. The method of claim 35,
The profile of the laser beam is a TEM00 mode of manufacturing a photovoltaic device.
레이저 스캐닝을 통하여 웨이퍼를 가공하는 방법에 있어서,
상기 웨이퍼를 동일한 크기를 가지는 적어도 두개의 영역으로 구획하는 단계; 및
하나 혹은 복수 개의 레이저 빔을 통하여 상기 영역을 각각 스캐닝하는 단계를 포함하는 웨이퍼의 가공 방법.
In the method of processing a wafer through laser scanning,
Partitioning the wafer into at least two regions having the same size; And
Scanning each of the regions through one or a plurality of laser beams.
제41항에 있어서,
상기 웨이퍼는 하나의 레이저 빔에 의해 한개 영역씩 연속적으로 스캐닝되는 웨이퍼의 가공 방법.
The method of claim 41, wherein
And the wafer is continuously scanned one area by one laser beam.
제41항에 있어서,
하나의 웨이퍼의 상기 각 영역은 대응되는 레이저 빔에 의해 스캐닝되는 웨이퍼의 가공 방법.
The method of claim 41, wherein
Wherein each said area of one wafer is scanned by a corresponding laser beam.
제41항에 있어서,
인접하는 영역 사이의 중첩 영역에서, 상기 인접하는 영역의 동일한 스캔 경로 상의 미 정열된 스캔 패턴에 대하여 스티칭 하는 단계를 더 포함하는 웨이퍼의 가공 방법.
The method of claim 41, wherein
Stitching against unaligned scan patterns on the same scan path of the adjacent regions in overlapping regions between adjacent regions.
제44항에 있어서,
상기 패턴은 상기 인접하는 영역 사이의 중첩 영역에서 "X" 형태로 서로 스티칭된 웨이퍼의 가공 방법.
The method of claim 44,
The pattern is stitched with each other in an "X" shape in an overlapping region between the adjacent regions.
레이저 스캐닝을 통하여 웨이퍼를 가공하는 방법에 있어서,
일정한 속도로 한 방향을 따라 웨이퍼를 이동하는 단계; 및
상기 웨이퍼 상에서 직선 패턴을 형성하도록 패턴 스캔 경로를 따라 나비 넥타이 형태로 레이저 빔을 컨트롤하는 단계를 포함하고,
상기 레이저 빔의 상기 웨이퍼 이동 방향에서의 스캐닝 범위는 스캔 경로의 피치에 한정되는 웨이퍼의 가공 방법.
In the method of processing a wafer through laser scanning,
Moving the wafer along one direction at a constant speed; And
Controlling a laser beam in the form of a bow tie along a pattern scan path to form a straight pattern on the wafer,
And a scanning range of the laser beam in the wafer moving direction is limited to a pitch of a scan path.
KR1020117010073A 2008-10-05 2009-09-25 Methods and systems of manufacturing photovoltaic devices KR20110083641A (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US10286508P 2008-10-05 2008-10-05
US61/102,865 2008-10-05
US17691109P 2009-05-10 2009-05-10
US61/176,911 2009-05-10

Publications (1)

Publication Number Publication Date
KR20110083641A true KR20110083641A (en) 2011-07-20

Family

ID=42073013

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020117010073A KR20110083641A (en) 2008-10-05 2009-09-25 Methods and systems of manufacturing photovoltaic devices

Country Status (3)

Country Link
KR (1) KR20110083641A (en)
CN (1) CN102113137A (en)
WO (1) WO2010037346A1 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN108672931A (en) * 2018-07-18 2018-10-19 武汉锐科光纤激光技术股份有限公司 A kind of ear nail welder

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8263899B2 (en) 2010-07-01 2012-09-11 Sunpower Corporation High throughput solar cell ablation system
DE102010063037A1 (en) * 2010-12-14 2012-06-14 Robert Bosch Gmbh Method for removing material by means of a laser beam source
CN102263164A (en) * 2011-07-06 2011-11-30 杨雪 Manufacturing technology for contact alloying of meal-semiconductor of silicon solar battery
CN103381522A (en) * 2012-05-02 2013-11-06 鸿富锦精密工业(深圳)有限公司 Optical system for processing laser dots
JP6000700B2 (en) * 2012-07-10 2016-10-05 株式会社ディスコ Laser processing method
CN103219421B (en) * 2013-03-27 2015-05-13 中国科学院上海光学精密机械研究所 Method for manufacturing vertical multi-junction solar cell piece by laser
FR3010924B1 (en) 2013-09-20 2015-11-06 Essilor Int DEVICE AND METHOD FOR LASER MARKING OF AN OPHTHALMIC LENS
CN107887317B (en) * 2016-09-30 2020-10-16 上海新昇半导体科技有限公司 Calibration wafer and method for manufacturing the same
WO2019119914A1 (en) * 2017-12-19 2019-06-27 君泰创新(北京)科技有限公司 Film removing processing method and equipment
CN108321247A (en) * 2017-12-19 2018-07-24 君泰创新(北京)科技有限公司 One kind removing membrane processing method and equipment
CN108565313B (en) * 2017-12-19 2019-09-06 君泰创新(北京)科技有限公司 One kind removing membrane processing method and equipment
CN114078977A (en) * 2020-12-18 2022-02-22 帝尔激光科技(无锡)有限公司 Preparation method and preparation equipment of solar cell selective emitter

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN85106598A (en) * 1985-08-26 1987-03-18 三洋电机株式会社 Photovoltaic device and manufacture method thereof
US7045205B1 (en) * 2004-02-19 2006-05-16 Nanosolar, Inc. Device based on coated nanoporous structure
US20080116183A1 (en) * 2006-11-21 2008-05-22 Palo Alto Research Center Incorporated Light Scanning Mechanism For Scan Displacement Invariant Laser Ablation Apparatus

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN108672931A (en) * 2018-07-18 2018-10-19 武汉锐科光纤激光技术股份有限公司 A kind of ear nail welder
CN108672931B (en) * 2018-07-18 2023-09-08 武汉锐科光纤激光技术股份有限公司 Ear nail welding device

Also Published As

Publication number Publication date
CN102113137A (en) 2011-06-29
WO2010037346A1 (en) 2010-04-08

Similar Documents

Publication Publication Date Title
KR20110083641A (en) Methods and systems of manufacturing photovoltaic devices
US9478694B2 (en) Method for forming structures in a solar cell
JP6216407B2 (en) Wafer manufacturing method and wafer processing system
US20080116183A1 (en) Light Scanning Mechanism For Scan Displacement Invariant Laser Ablation Apparatus
JP4921322B2 (en) Multi-station laser ablation apparatus and photovoltaic device manufacturing system with stable scanning path and in-focus state
US20110076847A1 (en) Laser system for processing solar wafers in a carrier
US9120178B2 (en) Method of radiatively grooving a semiconductor substrate
JP5945008B2 (en) Solar cell element and method for manufacturing solar cell element
US9312177B2 (en) Screen print mask for laser scribe and plasma etch wafer dicing process
JP2008507849A (en) Method of laser doping of solids using a linear focus laser beam and solar cell emitter manufactured based on the method
US9214585B2 (en) Annealing for damage free laser processing for high efficiency solar cells
US20120145229A1 (en) Irradiating A Plate Using Multiple Co-Located Radiation Sources
JP2014041925A (en) Method for cutting workpiece
CN103537811A (en) Laser material removal methods and apparatus
KR20140039048A (en) Wafer dicing using hybrid galvanic laser scribing process with plasma etch
US20100147811A1 (en) Apparatus for laser scribing of dielectric-coated semiconductor wafers
US8021913B2 (en) Method and apparatus for forming the separating lines of a photovoltaic module with series-connected cells
JP5306374B2 (en) Laser processing apparatus, laser processing method, and method for manufacturing photovoltaic device
KR101532721B1 (en) Spatially selective laser annealing applications in high-efficiency solar cells
US20120244723A1 (en) Laser drilling of vias in back contact solar cells
TW201041173A (en) Methods and systems of manufacturing photovoltaic devices
Greenwald Excimer laser annealing to fabricate low cost solar cells. Quarterly technical report No. 3, October 1-December 31, 1984
JPH0582967B2 (en)

Legal Events

Date Code Title Description
WITN Application deemed withdrawn, e.g. because no request for examination was filed or no examination fee was paid