KR20110058893A - 플라스마 처리를 위한 저전압 유도성 커플링된 공급원 - Google Patents

플라스마 처리를 위한 저전압 유도성 커플링된 공급원 Download PDF

Info

Publication number
KR20110058893A
KR20110058893A KR1020117009035A KR20117009035A KR20110058893A KR 20110058893 A KR20110058893 A KR 20110058893A KR 1020117009035 A KR1020117009035 A KR 1020117009035A KR 20117009035 A KR20117009035 A KR 20117009035A KR 20110058893 A KR20110058893 A KR 20110058893A
Authority
KR
South Korea
Prior art keywords
chamber
coil
substrate
plasma
plasma processing
Prior art date
Application number
KR1020117009035A
Other languages
English (en)
Inventor
존 엠. 화이트
칼 소렌센
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20110058893A publication Critical patent/KR20110058893A/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67745Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber characterized by movements or sequence of movements of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01RELECTRICALLY-CONDUCTIVE CONNECTIONS; STRUCTURAL ASSOCIATIONS OF A PLURALITY OF MUTUALLY-INSULATED ELECTRICAL CONNECTING ELEMENTS; COUPLING DEVICES; CURRENT COLLECTORS
    • H01R4/00Electrically-conductive connections between two or more conductive members in direct contact, i.e. touching one another; Means for effecting or maintaining such contact; Electrically-conductive connections having two or more spaced connecting locations for conductors and using contact members penetrating insulation
    • H01R4/58Electrically-conductive connections between two or more conductive members in direct contact, i.e. touching one another; Means for effecting or maintaining such contact; Electrically-conductive connections having two or more spaced connecting locations for conductors and using contact members penetrating insulation characterised by the form or material of the contacting members
    • H01R4/66Connections with the terrestrial mass, e.g. earth plate, earth pin
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/13Discrete devices, e.g. 3 terminal devices
    • H01L2924/1304Transistor
    • H01L2924/1306Field-effect transistor [FET]
    • H01L2924/13069Thin film transistor [TFT]

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)

Abstract

기판을 플라스마 처리하는 챔버를 기술한다. 챔버는 플라스마 처리 영역을 한정하는 하나 또는 그 이상의 챔버 벽체 및 RF 에너지를 플라스마 처리 용역에 전달하는 RF 전달 장치를 포함한다. RF 전달 장치는 제 1 코일부 및 이에 병렬 연결된 제 2 코일부를 포함한다. 각각의 제 1 코일부와 제 2 코일부는 반회전 코일이며 제 1 코일부의 입력부에서의 전압은 제 2 코일부의 입력부에서의 전압과 크기가 거의 동일하다.

Description

플라스마 처리를 위한 저전압 유도성 커플링된 공급원{LOW-VOLTAGE INDUCTIVELY COUPLED SOURCE FOR PLASMA PROCESSING}
본 발명은 일반적으로 플라스마 처리 시스템을 사용하여 전자 장치를 제조하는데 사용되는 기계 및 방법에 관한 것이다.
평면 패널 디스플레이(FPD; flat panel display) 제조에 있어서, 박막 필름 트랜지스터(TFT; thin film transistor) 및 액정 크리스털 셀, 금속 상호접속부 및 기타 피쳐(feature)들이 유리 기판 상에서 도체, 반도체 및 유전물의 다수의 층들을 증착 및 제거함으로써 이루어진다. 형성된 다양한 피쳐들은, 예를 들어 디스플레이 상태가 FPD 상의 각각의 픽셀들에서 전기적으로 형성되는 액티브 매트릭스 디스플레이 스크린(active matrix display screen)을 형성하도록 사용되는 시스템으로 일체화된다. FPD를 형성하는데 사용되는 처리 기술은 플라스마-증진 화학 기상 증착(PECVD; plasma-enhanced chemical vapor deposition), 물리 기상 증착(PVD; physical vapor deposition), 에칭 등을 포함한다. 필름을 증착하는데 필요한 비교적 낮은 처리 온도와 이로 인한 양호한 필름 품질로 인하여 플라스마 처리가 특히 평면 FPD 제조에 적합하다.
소정의 플라스마 처리 챔버는 처리 챔버 내측에 플라스마를 생성하고 제어하기 위한 RF 전력 공급원에 연결된 RF 코일을 포함할 수 있다. 그러나 플라스마는 RF 코일과 플라스마 사이의 용량성 커플링(capacitive coupling)으로 인하여 불안정해질 수 있다.
따라서, 본 기술 분야에서는 RF 코일과 플라스마 사이의 용량성 커플링으로 인한 플라스마 불안정성을 최소화하는 처리 챔버 내측의 플라스마를 생성하고 제어하는 새로운 방법 및 기기가 필요하다.
본 발명의 하나 또는 그 이상의 실시예는 기판을 플라스마 처리하기 위한 챔버를 지시한다. 챔버는 플라스마 처리 영역을 한정하는 하나 또는 그 이상의 챔버 벽체 및 플라스마 처리 영역에 RF 에너지를 전달하도록 구성된 RF 전달 장치를 포함한다. RF 전달 장치는 병렬 연결된 둘 또는 그 이상의 코일부를 포함한다.
또한, 본 발명의 하나 또는 그 이상의 실시예는 기판을 플라스마 처리하기 위한 챔버를 지시한다. 챔버는 플라스마 처리 영역을 한정하는 하나 또는 그 이상의 챔버 벽체 및 플라스마 처리 영역에 RF 에너지를 전달하도록 구성된 RF 전달 장치를 포함한다. RF 전달 장치는 병렬 연결된 둘 또는 그 이상의 코일부를 포함한다. 각각의 제 1 코일부 및 제 2 코일부는 반회전 코일(half turn coil)이며 제 1 코일부의 입력부에서의 전압과 제 2 코일부의 입력부에서의 전압 크기는 거의 동일하다.
또한, 본 발명의 하나 또는 그 이상의 실시예는 기판을 플라스마 처리하기 위한 챔버를 지시한다. 챔버는 플라스마 처리 영역을 한정하는 하나 또는 그 이상의 챔버 벽체 및 플라스마 처리 영역에 RF 에너지를 전달하도록 구성된 RF 전달 장치를 포함한다. RF 전달 장치는 병렬 연결된 둘 또는 그 이상의 코일부를 포함하며 각각의 제 1 코일부 및 제 2 코일부는 반회전 코일이다. 챔버는 RF 전달 장치에 커플링된 임피던스 프리-매치 네트워크(impedance pre-match network) 및 임피던스 프리-매치 네트워크에 커플링된 임피던스 매치 네트워크(impedance match network)를 더 포함한다. 임피던스 프리-매치 네트워크는 임피던스 매치 네트워크로부터 단일 단부 입력부(single ended input)를 수용하고 RF 전달 장치에 이중 단부 출력부(double ended output)를 제공하도록 구성된다.
또한, 본 발명의 하나 또는 그 이상의 실시예는 플라스마 처리 영역에 RF 에너지를 전달하기 위한 방법을 지시한다. 본 방법은 병렬 연결된 제 2 코일부에 연결된 제 1 코일부를 갖는 RF 전달 장치를 제공하는 방법을 포함한다. RF 전달 장치는 플라스마 처리 영역을 한정하는 하나 또는 그 이상의 벽체를 갖는 챔버에 커플링된다. 본 방법은 제 1 코일부에 RF 전력을 인가하는 단계 및 제 2 코일부에 RF 전력을 인가하는 단계를 더 포함한다.
본 발명의 전술한 특징들이 상세히 기술되며, 짧게 요약하여 전술한 본 발명의 보다 상세한 특징들은 첨부된 도면에 도시된 실시예를 참조하여 설명된다. 그러나, 첨부된 도면들은 본 발명의 소정의 실시예를 도시할 뿐이며 본 발명의 범위를 제한하여서는 안되며, 이에 균등한 실시예들에도 본 발명의 범위가 미친다는 것을 주지하여야 한다.
도 1a는, 본 발명의 하나 또는 그 이상의 실시예와 연계되어 사용될 수 있는 플라스마 처리 챔버의 개략적인 단면도를 도시한다.
도 1b 및 1c는, 도 1a에 도시된 유도성 커플링된 공급원 어셈블리의 단면도를 도시한다.
도 2는, 도 1a에서 도시된 플라스마 처리 챔버의 기판 지지체를 전달 위치로서 도시한다.
도 3은, 본 발명의 하나 또는 그 이상의 실시예와 연계된 RF 코일 구성을 갖는 플라스마 처리 챔버의 평면도이다.
도 4는, 본 발명의 하나 또는 그 이상의 실시예와 연계된 4개의 1/4회전 코일부를 갖는 RF 코일 구성을 도시한다.
도 5는, 본 발명의 하나 또는 그 이상의 실시예와 연계된 RF 코일 구성을 갖는 플라스마 처리 챔버의 개략적 다이어그램이다.
도 6은, 도 5에 도시된 전압 입력 및 출력측 사이의 위상 관계도를 도시한다.
도 7은, 본 발명의 하나 또는 그 이상의 실시예와 연계되어 사용될 수 있는 플라스마 처리 챔버의 평면도이다.
도 8은, 본 발명의 하나 또는 그 이상의 실시예와 연계되어 사용될 수 있는 플라스마 처리 챔버의 사시도이다.
도 9는, 본 발명의 하나 또는 그 이상의 실시예와 연계되어 사용될 수 있는 클러스터 툴을 도시한다.
본 발명의 다양한 실시예들은 유도성 커플링된 고밀도 플라스마(inductively coupled high density plasma)를 사용하여 기판 표면을 처리하기 위한 기기 및 방법을 일반적으로 지시한다. 일반적으로, 본 발명의 다양한 실시예들이 FPD 처리, 반도체 처리, 태양열 셀 처리 또는 기타 기판 처리에 사용될 수 있다. 본 발명의 실시예들은, 캘리포니아 산타클라라의 Applied Materials, Inc.의 일부인 AKT로부터 입수 가능한 PECVD 시스템과 같이 넓은 기판 처리를 위한 화학적 증착 시스템을 참조하여 후술된다. 그러나 둥근 기판을 처리하도록 이루어진 시스템을 포함하여 다른 시스템 구성에서도 본 발명의 기기 및 방법이 기능할 수 있음을 주지하여야 한다.
도 1a는 본 발명의 하나 또는 그 이상의 실시예와 연계되어 사용될 수 있는 플라스마 처리 챔버(100)의 단면도를 개략적으로 도시한다. 플라스마 처리 챔버(100)는 일반적으로 가스 분배 어셈블리(64), 유도성 커플링된 공급원 어셈블리(70), 및 하부 챔버 어셈블리(25)를 포함한다. 챔버 체적(17)은 처리 체적(18)과 하부 체적(19)으로 이루어지며 플라스마 처리가 이루어지는 영역을 한정한다. 챔버 체적(17)은 가스 분배 어셈블리(64), 유도성 커플링된 공급원 어셈블리(70) 및 하부 챔버 어셈블리(25)로 둘러싸인다.
하부 챔버 어셈블리(25)는 일반적으로 기판 상승 어셈블리(51), 기판 지지체(238) 및 처리 챔버 베이스(202)를 포함한다. 처리 챔버 베이스(202)는 챔버 벽체(206) 및 챔버 바닥부(208)를 가지며, 이는 부분적으로 하부 체적(19)을 한정한다. 처리 챔버 베이스(202)는 챔버 벽체(206) 내의 접근 포트(32)를 통해 접근된다. 접근 포트(32)는 처리 챔버 베이스(202) 안팎으로 기판(240)이 이동할 수 있는 영역을 한정한다. 챔버 벽체(206) 및 챔버 바닥부(208)는 알루미늄 또는 처리에 적합한 기타 물질(들)의 단일 블록으로 제조될 수 있다.
온도 제어 기판 지지체(238)가 처리 챔버 베이스(202)와 연결된다. 기판 지지체(238)는 처리 동안 기판(240)을 지지한다. 기판 지지체(238)는 적어도 하나의 내포된 히터(232)를 둘러싸는 알루미늄 바디(224)를 포함할 수 있다. 저항성 히터 부재와 같은 내포된 히터(232)는 기판 지지체(238) 내에 위치한다. 내포된 히터(232)는 전력 공급원(274)과 커플링되고, 이는 기판 지지체(238) 및 그 위에 놓인 기판(240)을 제어기(300)의 사용에 의해 미리 결정된 온도까지 제어 가능하게 가열할 수 있다. 전형적으로, 대부분의 CVD 처리에서, 내포된 히터(232)는 플라스틱 기판을 위한 약 60℃ 내지 유리 기판을 위한 약 550℃ 사이의 균등한 윤도 범위에서 기판(240)을 유지한다.
일반적으로 기판 지지체(238)는 후방측(226), 전방측(234) 및 스템(stem)(242)을 갖는다. 전방측(234)은 기판(240)을 지지하며, 스템(242)은 후방측(226)에 커플링된다. 스템(242)에 부착된 스템 베이스(42)는 기판 지지체(238)를 다양한 위치 사이에서 이동하는 상승 어셈블리(40)에 연결된다. 도 2에 도시된 전달 위치는 (도시되지 않은) 시스템 로봇이 기판 지지체(238) 및/또는 상승 핀(52)의 간섭 없이 플라스마 처리 챔버(100)에 자유로이 진입 및 진출하도록 한다. 스템(242)은 기판 지지체(238)와 클러스터 툴(910)의 기타 구성 소자들 사이의 전기적 및 열전대 리즈(leads)를 위한 도관을 추가로 제공한다. 상승 어셈블리는 본 기술분야에서 일반적으로 사용되는 공압형 또는 모터형 리드-나사 상승 어셈블리를 포함할 수 있어서, 플라스마 처리 챔버(100)가 진공 하에 있는 경우 기판 지지체(238) 상에 작동하는 중력 및 대기압에 반대작용하는데 필요한 힘을 공급하고 기판 지지체를 플라스마 처리 챔버(100) 내에 정확히 위치시킨다.
벨로우스(246)가 기판 지지체(238)(또는 스템(242))와 처리 챔버 베이스(202)의 챔버 바닥부(208) 사이에 커플링된다. 벨로우스(246)는 처리 챔버 베이스(202) 외측의 분위기와 챔버 체적(17) 사이에 진공 시트를 제공하며 기판 지지체(238)의 수직 이동을 돕는다.
기판 지지체(238)는 추가로 기판(240)과 둘러싸는 쉐도우 프레임(248)을 지지한다. 일반적으로 쉐도우 프레임(248)은 기판(240)의 에지 상에서와 기판 지지체(238) 상에서의 증착을 방지한다.
기판 지지체(238)는 다수의 상승핀(52)을 수용하도록 위치한 다수의 홀(228)을 갖는다. 상승핀(52)은 전형적으로 세라믹, 그래파이트, 세라믹 코팅 금속 또는 스테인레스 스틸로 이루어진다. 상승핀(52)은, (도 1a에 도시된) 수축된 위치로부터 (도시되지 않은) 상승된 위치로 상승핀(52)을 이동시킬 수 있는 상승 플레이트(50)의 사용에 의해 처리 챔버 베이스(202) 및 기판 지지체(238)에 대해 상대적으로 작동될 수 있다. 각각의 상승핀(52) 및 챔버 바닥부(208)에 부착된 상승 벨로우스(54)는 하부 체적(19)을 플라스마 처리 챔버(100)의 분위기 외측으로부터 절연하도록 사용되며, 또한 상승핀(52)이 (도 1a에 도시된) 수축된 위치로부터 (도시되지 않은) 상승된 위치로 이동하도록 한다. 상승 플레이트(50)는 상승 액튜에이터(56)의 사용에 의해 작동될 수 있다. 상승핀(52)이 상승된 위치에 있고 기판 지지체(238)가 이동 위치에 있는 경우, 기판(240)은 접근 포트(32)의 상부 가장자리 너머로 상승되어 시스템 로봇이 플라스마 처리 챔버(100)에 진입 및 진출할 수 있다.
리드 어셈블리(65)는 전형적으로 가스 공급원(100)에 의해 제공된 처리 챔버가 가스 분배 플레이트(64)를 통과한 후 처리 체적(18)에 진입할 수 있는 진입 포트(112)를 포함한다. 가스 공급원(110)으로부터 진입 포트(112)로의 가스 유동의 적절한 제어 및 조절이 (도시되지 않은) 질량 유동 제어기 및 제어기(300)에 의해 이루어진다. 가스 공급원(110)은 다수의 (도시되지 않은) 질량 유동 제어기를 포함할 수 있다. 여기에서 사용되는 "질량 유동 제어기(mass flow controller)"의 용어는 가스 유동을 신속하고 정확하게 플라스마 처리 챔버(100)에 제공할 수 있는 어떠한 제어 밸브에도 지칭될 수 있다. 진입 포트(112)는 처리 가스가 플라스마 처리 챔버(100)에 진입하고 균등하게 분배되도록 한다. 추가로, 진입 포트(112)는 선택적으로 가열되어 매니폴드 내의 어떠한 반응성 가스의 응축을 방지할 수 있다.
또한, 진입 포트(112)는 세정 공급원(120)에 커플링된다. 세정 공급원(120)은 전형적으로 해리된 플루오르와 같은 세정제를 제공하며, 이는 처리 체적(18) 내에 진입하여 이전의 처리 단계 완료 후 남은 증착물 및 증착 부산물을 제거한다.
리드 어셈블리(65)는 처리 체적(18)에 상부 경계를 제공한다. 리드 어셈블리(65)는 챔버 베이스(202) 및/또는 유도성 커플링된 공급원 어셈블리(70)로부터 제거될 수 있으며 플라스마 처리 챔버(100) 내의 구성 요소에 도움을 준다. 전형적으로 리드 어셈블리(65)는 알루미늄(Al) 또는 양극 처리된 알루미늄 바디로 제조된다.
리드 어셈블리(65)는 외부 진공 펌핑 시스템(152)에 커플링된 상부 펌핑 플레넘(63)을 포함할 수 있다. 상부 펌핑 플레넘(63)은 처리 체적(18)으로부터 처리 부산물 및 가스를 균등하게 비우도록 사용된다. 상부 펌핑 플레넘(63)은 일반적으로 챔버 리드(60)에 내에 형성되거나 이에 부착되며 플레이트(68)에 의해 커버되어 펌핑 채널(61)을 형성한다. 처리 체적(18)의 균등한 비움을 보장하도록 플레이트(68)와 챔버 리드(60) 사이에 갭이 형성되어 펌핑 채널(61)로의 가스 유동을 일부 제한한다. 유도성 커플링된 공급원 어셈블리(70)의 리드 지지 부재(72) 상에 형성된 쉐도우 피쳐(71)가 추가 제한을 제공하도록 사용될 수 있어서 처리 체적(18)의 균등한 비움을 더 보장한다. 진공 펌핑 시스템(152)은, 바람직한 챔버 처리 압력에 필요한 터보 펌프, 러프 펌프(rough pump) 및/또는 Roots Blower™ 펌프와 같은 진공 펌프를 포함할 수 있다.
하부 펌핑 플레넘(24)은 하부 챔버 어셈블리(25)에 위치하여 진공 펌핑 시스템(150)의 사용에 의해 처리 체적(18)으로부터 처리 부산물 및 가스를 균등하게 비우도록 사용될 수 있다. 하부 펌핑 플레넘(24)은 일반적으로 챔버 바닥부(208) 내에 위치하거나 이에 부착된다. 하부 펌핑 플레넘(24)은 플레이트(26)에 의해 커버될 수 있어서 둘러싸인 펌핑 채널(23)을 형성한다. 플레이트(26)는 일반적으로 다수의 홀(21)(또는 슬롯)을 포함하여 펌핑 채널(23)로의 가스 유동을 다소 제한하며, 이에 따라 챔버 체적(17)의 균등한 비움을 보장한다. 펌핑 채널(23)은 펌핑 포트(150A)를 통해 진공 펌핑 시스템(150)에 연결된다. 진공 펌핑 시스템(150)은 터보 펌프, 러프 펌프(rough pump) 및/또는 Roots Blower™ 펌프와 같은 진공 펌프를 포함할 수 있다. 하부 펌핑 플레넘(24)은 처리 챔버 중심을 중심으로 하여 대칭으로 분배될 수 있어서 처리 체적(18)으로부터 균등한 가스 비움을 보장한다. 대안적으로 하부 펌핑 플레넘(24)은 하부 챔버 어셈블리(25) 내에서 (도시되지 않은) 비대칭 위치일 수 있다.
하부 펌핑 플레넘(24)과 상부 펌핑 플레넘(63) 모두 처리 체적(18)을 비우도록 사용된다. 이와 같이 진공 펌핑 시스템(152) 사용에 의해 처리 체적(18)으로부터 제거된 그리고 진공 펌핑 시스템(150)의 사용에 의해 하부 체적(19)으로부터 제거된 가스의 상대적인 유동률은 플라스마 처리 결과를 증진하고 하부 체적(19) 내에서의 플라스마 및 처리 부산물의 누출을 제거하는데 적합하다. 플라스마 및 처리 부산물의 누출을 감소시키는 것은 하부 챔버 어셈블리(25) 구성 요소 상에 증착된 양을 감소시킬 수 있으며, 따라서 바람직하지 않은 증착을 제거하도록 세정 공급원(120)을 사용하는 빈도 및/또는 세정 시간을 감소시킬 수 있다.
가스 분배 플레이트(64)는 리드 어셈블리(65)의 상부 플레이트(62)에 커플링된다. 가스 분배 플레이트(64)의 형태는 전형적으로 기판(240)의 프로파일을 실질적으로 따르도록 구성된다. 가스 분배 플레이트(64)는 천공된 영역(67)을 포함하며, 이를 통해 가스 공급원(110)으로부터 공급원 처리 및 기타 가스가 처리 체적(18)에 전달된다. 가스 분배 플레이트(64)의 천공된 영역(67)은 가스 분배 플레이트(64)를 통한 처리 체적(18)으로의 균등한 가스 분배를 제공하도록 구성된다. 본 발명으로부터 유리하게 이루어질 수 있는 가스 분배 플레이트는 Blonigan 등에 의해 2003년 1월 7일 출원된 미국 출원번호 제 10/337,483호, White 등에 의해 2002년 11월 12일 공보된 미국 특허번호 제 6,477,980호, Choi 등에 의해 2003년 4월 16일 출원된 미국 출원번호 제 10/417,592호에 기재되며 본 발명에서 참조된다.
가스 분배 플레이트(64)는 하나의 단일 부재로 이루어질 수 있다. 또한, 가스 분배 플레이트(64)는 둘 또는 그 이상의 구분된 부품으로 이루어질 수 있다. 다수의 가스 통로(69)가 가스 분배 플레이트(64)를 통해 형성되어 처리 가스의 바람직한 분배가 가스 분배 플레이트(64)를 통해 처리 체적(18)으로 통하도록 한다. 플레넘(66)은 가스 분배 플레이트(64)와 상부 플레이트(62) 사이에 형성된다. 플레넘(66)은 가스 공급원(110)으로부터 플레넘(66)으로 유동하는 가스가 가스 분배 플레이트(64)의 너비를 통해 균등하게 분배되고 가스 통로(69)를 균등하게 통하도록 한다. 가스 분배 플레이트(64)는 전형적으로 알루미늄(Al), 양극처리된 알루미늄 또는 기타 RF 전도성 물질로 이루어진다. 가스 분배 플레이트(64)는 (도시되지 않은) 전기적 절연 부품에 의해 챔버 리드(60)로부터 전기적으로 절연된다.
도 1a, 1b 및 1c를 참조하여, 유도성 커플링된 공급원 어셈블리(70)가 RF 코일(82), 지지 구조체(76), 커버(80), 및 다양한 절연 부품(예를 들어, 내측 절연체(78), 외측 절연체(90) 등)을 포함한다. 지지 구조체(76)는 지지 부재(84) 및 리드 지지 부재(72)를 포함하며, 이는 기판 어셈블리(65)의 구성 요소를 지지하는 접지된 금속 부품이다. RF 코일(82)은 RF 전력 공급원(140)으로부터 코일에 전달된 RF 전력이 지지 구조체(76)를 아크(arc)하는 것을 방지하거나 접지된 챔버 구성 요소(예를 들어, 처리 챔버 베이스(202) 등)로의 큰 손실을 방지하는 다수의 구성 요소들에 의해 지지되고 둘러싸인다. 커버(80)는 박막 연속링, 밴드, 또는 겹치는 섹션의 어레이이며, 이는 지지 구조체(76) 구성 요소에 부착된다. 커버(80)는 RF 코일(82)이 플라스마 증착 화학물과 상호 작용하는 것을 차폐(shield)하거나 플라스마 처리 동안 생성되는 이온 또는 중성자 또는 챔버 세정 화학물에 의해 충격받는 것을 차폐하도록 사용된다. 커버(80)는 세라믹 물질(예를 들어, 알루미나 또는 사파이어)로 이루어지거나 또는 기타 처리-호환 유전물(dieletric material)로 이루어진다. 또한, 예를 들어, 내측 절연물(78) 및 기타 절연물(90)과 같은 다양한 절연 부품들이 RF 코일(82)을 지지하고 전기적으로 접지된 지지 구조체(76)로부터 절연되도록 한다. 절연 부품들은 일반적으로 예를 들어 Teflon® 중합체 또는 세라믹물과 같은 전기적 절연물로 이루어진다. 진공 피드스루(feedthrough)(83)는 지지 구조체(76)에 부착되어 RF 코일(82)을 유지하고 지지하며 비워진 처리 체적(18) 내로의 분위기 누수를 방지한다. 지지 구조체(76), 진공 피드스루(83) 및 다양한 o-링(85, 86, 87, 88, 89)이 RF 코일(82)과 가스 분배 어셈블리(64)를 지지하는 밀한 진공 구조체를 형성하며 RF 생성 필드를 금지하는 전도성 배리어 없이 RF 코일(82)이 처리 체적(18)과 소통하도록 한다.
RF 코일(82)은 RF 임피던스 매치 네트워크(138)를 통해 RF 전력 공급원(140)과 연결된다. 이러한 구성에서, RF 코일은 유도성 커플링된 에너지 전달 장치로서 작동하며, 이는 처리 체적(18) 내에서 플라스마를 생성하고 제어한다. 동적 임피던스 매칭은 RF 코일(82)에 의해 제공된다. 제어기(300)의 사용에 의해, 처리 체적(18)의 원주 상에 장착된 RF 코일(82)이 기판 표면(240A) 주변에 생성된 플라스마를 제어하고 형태를 형성하도록 할 수 있다.
RF 코일(82)은 단일 회전 코일(single turn coil)일 수 있다. 단일 회전 코일의 코일 단부는 플라스마 처리 챔버(100) 내에서 생성되는 플라스마 균등성에 영향을 줄 수 있다. 코일 단부를 겹치는 것이 실행되지 않거나 바람직하지 않은 경우, 갭 영역"A"은 도 7, 8에 도시된 바와 같이 코일 단부들 사이에 남을 수 있다. 갭 영역"A"은 코일의 손실 길이와 코일의 입력측 단부(82A)와 출력측 단부(82B)에서의 RF 전력 상호작용으로 인하여 갭 영역"A" 근처에서의 RF 생성된 자기장을 약화시킬 수 있다. 이러한 영역에서의 약화된 자기장은 챔버 내의 플라스마 균등성에 악영향을 줄 수 있다. 이러한 문제를 해결하도록, RF 코일(82)과 접지 사이의 리액턴스가 다양한 인덕터 사용에 의해 처리 동안 연속적 또는 반복적으로 변화시킬 수 있으며, 이는 RF 전압 분배를 쉬프트하거나 회전시키며, 이에 따라 생성된 플라스마를 RF 코일(82)을 따라 플라스마 불균등 평균 시간으로 변화시키거나 회전시기고 코일 단부에서의 RF 전압 상호작용을 감소시킨다. 코일에서의 RF 전압 분배를 쉬프트하도록 RF 코일(82)과 접지 사이의 리액턴스를 변화시키는 예시적인 방법은 2001년 7월 3일 공보된 "Use of Variable Impedance Having Rotating Core to Control Coil Sputtering Distribution" 명칭의 미국 특허번호 제 6,254,738호에 개시되며 본 발명에서 참조된다. 이에 따라, 처리 체적(18) 내에서 생성된 플라스마는 보다 균등하며 RF 전압 분배를 다양하게 함으로써 플라스마 분배의 평균 시간을 통해 보다 균등하고 축방향 대칭 제어된다. RF 코일(82)을 따른 RF 전압 분배는 플라스마 밀도, RF 잠재 프로파일, 및 기판(240)을 포함한 플라스마-노출 표면의 이온 충돌을 포함하는 플라스마의 다양한 특성에 영향을 준다.
도 3은 본 발명의 하나 또는 그 이상의 실시예에 따른 RF 코일 구성(350)을 갖는 플라스마 처리 챔버(301)의 상부 평면도를 도시한다. RF 코일 구성(350)은 제 2 코일부(320)에 병렬 커플링된 제 1 코일부(310)를 포함한다. 제 1 코일부(310)는 반회전 코일(half turn coil)이며 제 2 코일부(320) 역시 반회전 코일이며, 그 합으로 단일 회전 RF 코일 구성(350)을 형성한다. 제 1 코일부(310)는 RF 전력 공급원(340)에 커플링된 입력부(315) 및 접지된 커패시터(capaciter)(360)에 커플링된 출력부(317)를 포함한다. 입력부(315)에서의 전압은 출력부(317)에서의 전압과 180도 위상이 다르다. 유사하게, 제 2 코일부(320)는 RF 전력 공급원(340)에 커플링된 입력부(325)와 접지된 커패시터(370)에 커플링된 출력부(327)를 포함한다. 입력부(325)에서의 전압은 출력부(327)에서의 전압과 180도 위상이 다르다. 화살표는 RF 코일 구성(350)에 따른 전류의 흐름을 지시한다. 일 실시예에서, 입력부(315)에서의 전압은 예를 들어 도 1b 및 1c에서의 RF 코일(82)과 같은 단일 회전 RF 코일의 입력부에서의 전압의 절반이다. 유사하게, 입력부(325)에서의 전압은 예를 들어 RF 코일(82)과 같은 단일 회전 RF 코일의 입력부에서의 전압의 절반이다. 이와 같이 입력부(315)의 전압과 입력부(325)의 전압은 크가가 거의 동일하다. 이러한 방식으로, RF 코일 구성(350)에서의 총 인가 전압은 RF 코일(82)의 인가 전압과 크기가 거의 동일하다. 그러나 RF 코일 구성(350)을 사용하는 플라스마에의 용량성 커플링된 전압은 단일 RF 코일(82)을 사용하는 플라스마에서의 용량성 커플링된 전압의 절반으로 감소하며, 차례로 아크 가능성을 감소시킨다. RF 코일 구성(350)은 2개의 반회전 코일부에 제한되지 않는다. 다른 실시예에서, RF 코일 구성(350)은 4개의 1/4회전 코일부(qurater turn coil)를 포함할 수 있으며 8개의 1/8회전 코일부(one eight turn coil) 등을 포함할 수 있다.
예를 들어, 도 4는 본 발명의 하나 또는 그 이상의 실시예에 따른 4개의 1/4회전 코일부를 갖는 RF 코일 구성(450)을 도시한다. RF 코일 구성(450)은 제 1 코일부(410), 제 2 코일부(420), 제 3 코일부(430), 및 제 4 코일부(440)를 포함하며, 이들 모두 상호 병렬로 연결된다. 각각의 코일부는 1/4회전 코일이다. 제 1 코일부(410)는 RF 전력 공급원(495)에 커플링된 입력부(415)와 접지된 커패시터(460)에 커플링된 출력부(417)를 포함한다. 유사하게, 제 2 코일부(420)는 RF 전력 공급원(495)에 커플링된 입력부(425)와 접지된 커패시터(470)에 커플링된 출력부(427)를 포함한다. 제 3 코일부(430)는 RF 전력 공급원(495)에 커플링된 입력부(435)와 접지된 커패시터(480)에 커플링된 출력부(437)를 포함한다. 제 4 코일부(440)는 RF 전력 공급원(495)에 커플링된 입력부(445)와 접지된 커패시터(490)에 커플링된 출력부(447)를 포함한다. 화살표는 RF 코일 구성(450)을 따른 전류의 흐름을 지시한다.
도 5는 본 발명의 하나 또는 그 이상의 실시예에 따른 RF 코일 구성(550)을 갖는 플라스마 처리 챔버(500)의 개략적인 다이어그램을 도시한다. RF 코일 구성(550)은 제 1 코일부(510) 및 이에 병렬 연결된 제 2 코일부(520)를 포함한다. 제 1 코일부(510)는 반회전 코일이며 제 2 코일부(520) 역시 반회전 코일이다.
RF 코일 구성(550)은 RF 전력 공급원(540)에 의해 매치 네트워크(555) 및 프리-매치 네트워크(560)를 통해 구동된다. 매치 네트워크(555)는 본 기술분야의 당업자에게 공지된 어떠한 임피던스 매치 네트워크일 수 있다.
프리-매치 네트워크(560)는 매치 네트워크(555)로부터 단일 단부 입력부를 수용하도록 구성되며 RF 코일 구성(550)에 이중 단부 출력부-하나는 입력부(515)에서, 다른 하나는 입력부(525)에서-를 제공한다. 프리-매치 네트워크(560)는 변압기(570)를 포함하여, N2 인자에 의해 RF 코일 구성(550)의 임피던스를 증가시킨다. 이러한 방식으로, 프리-매치 네트워크(560)는 RF 코일 구성(550)의 임피던스를 매치 네트워크(555)에 의해 작동 가능한 임피던스 수준으로 변압하도록 구성된다. 일 실시예에서, 프리-매치 네트워크(560)는 커패시터(562, 564, 565)를 더 포함한다.
제 1 코일부(510)는 커패시터(580)에 커플링된 출력부(517)를 더 포함하며, 이는 접지된다. 제 2 코일부(520)는 커패시터(590)에 연결된 출력부(527)를 더 포함하며, 이는 접지된다. 이와 같이, 커패시터(580, 590)는 능동 소자(reactive element)로서 기능할 수 있다.
일 실시예에서, 각각의 커패시터(562, 564, 580, 590)는 거의 동일한 커패시턴스를 갖는다. 커패시터(580)와 조합된 커패시터(562)의 결과적인 커패시턴스는 제 1 코일부(510)의 인덕턴스와 공진한다. 유사하게, 커패시터(590)에 조합된 커패시터(564)의 결과적인 커패시턴스는 제 2 코일부(520)의 인덕턴스와 공진한다. 더욱이, 커패시터(565)는 변압기(570)에서 불안전하게 커플링된 누출 인덕턴스에 대해 반대작용하도록 사용될 수 있다.
입력부(515, 525)와 출력부(517, 527)에서의 전압 진폭이 거의 동일하다. 그러나 입력부(515)에서의 전압은 출력부(517)에서의 전압과 180도 위상차이며 입력부(525)에서의 전압은 출력부(527)에서의 전압과 180도 위상차이다. 도 6은 입력부(515, 525)와 출력부(517, 527) 사이의 위상 관계도를 도시한다. 이러한 방식으로, 입력부(515, 525)와 출력부(517, 527)에서의 전압은 플라스마에 대해 가능한 작으며, 이에 따라 RF 코일과 플라스마 사이에서 용량성 커플링되어 야기되는 플라스마 불안정성의 징후가 보다 높은 입력 RF 전력 수준으로 시작되도록 한다.
도 1a를 다시 참고하여, 가스 분배 플레이트(64)는 RF 편향될 수 있어서, 처리 체적(18) 내에서 생성된 플라스마가 부착된 임피던스 매치 부재(130), RF 전력 공급원(132) 및 제어기(300)의 사용에 의해 제어되고 형태가 형성될 수 있다. RF 편향된 가스 분배 플레이트(64)는 처리 체적(19) 내에 플라스마를 생성하고 제어할 수 있는 용량성 커플링된 RF 에너지 전달 장치로서 작용한다.
더욱이, RF 전력 공급원(136)은 RF 편향 전력을 임피던스 매치 부재(134)를 통해 기판 지지체(238)에 인가할 수 있다. RF 전력 공급원(136), 임피던스 매치 부재(134) 및 제어기(300)의 사용에 의해 사용자가 처리 체적(18) 내의 생성되는 플라스마를 제어할 수 있고, 기판(240)의 플라스마 충돌을 제어할 수 있고, 그리고 기판 표면(240A)에 걸친 플라스마 시스 두께를 다양하게 할 수 있다. RF 전력 공급원(136)과 임피던스 매치 부재(134)는 기판 지지체(238)를 접지하는 (도시되지 않은) 하나 또는 그 이상의 접지 연결부에 의해 대체될 수 있다.
플라스마 처리 챔버(100)의 작동을 제어하도록 제어기(300)는 완료된 기판 처리 시퀀스의 모든 양상을 제어하도록 이루어질 수 있다. 제어기(300)는 임피던스 매치 부재(즉, 130, 134 및 138), RF 전력 공급원(즉, 132, 136 및 140), 및 플라스마 처리 챔버(100)의 다른 모든 부재들을 제어하도록 이루어진다. 제어기(300)는 전형적으로 마이크로프로세서-기저 제어기이다. 제어기(300)는 사용자 및/또는 플라스마 처리 챔버 내의 다양한 센서들로부터 입력값을 수용하고 다양한 입력값 및 제어기 메모리 내의 소프트웨어 지시에 따라 플라스마 처리 챔버를 적절하게 제어하도록 이루어진다. 제어기(300)는 일반적으로 메모리 및 유지, 처리 및 다양한 프로그램 실행을 위한 CPU를 포함한다. 메모리는 CPU에 연결되며 RAM(random access memory), ROM(read only memory), 플로피 디스크, 하드 디스크, 또는 로컬 또는 리모트형인 디지털 저장을 위한 어떠한 형태의 가용 메모리 중 하나 또는 그 이상일 수 있다. 소프트웨어 지시 및 데이터는 CPU 지시를 위해 메모리 내에 코딩되거나 저장될 수 있다. 또한, 지지 회로는 CPU에 연결될 수 있어서 종래 방법에 의해 프로세서를 지지한다. 지지 회로는 캐시, 전력 공급원, 시계 회로, 입출력 회로, 서브시스템 등을 포함할 수 있다. 제어기(300)에 의해 읽힐 수 있는 프로그램(또는 컴퓨터 지시체제)은 플라스마 처리 챔버 내에서 어떠한 임무를 수행할 수 있는지를 결정한다. 바람직하게는, 프로그램은 제어기(300)에 의해 읽힐 수 있는 소프트웨어이며 모니터링할 수 있는 지시를 포함하고 한정된 규칙 및 입력 데이터에 기초하여 플라스마 처리를 제어한다.
작동시, 플라스마 처리 챔버(100)는 진공 펌핑 시스템(150) 및/또는 진공 펌핑 시스템(152)에 의해 미리 결정된 압력/진공으로 비워져서, 플라스마 처리 챔버(100)가 진공 하의 중앙 전달 챔버(312) 내에 장착된 (도시되지 않은) 시스템 로봇으로부터 기판(240)을 수용할 수 있다. 기판(240)을 챔버에 전달하도록 슬릿 배밸브(도 9의 941, 943, 945 및 947 참조)는 플라스마 처리 챔버(100)를 중앙 전달 챔버(312)로부터 밀봉하며 시스템 로봇이 접근 포트(32)를 통해 처리 챔버 베이스(202) 내에서 연장되도록 한다. 다음, 상승핀(52)이 기판(240)을 연장된 시스템 로봇으로부터 제거한다. 다음, 시스템 로봇은 플라스마 처리 챔버(100)를 끌고 챔버 슬릿 밸브가 폐쇄하여 플라스마 처리 챔버(100)를 중앙 전달 챔버(312)로부터 절연한다. 다음, 기판 지지체(238)는 기판(240)을 상승핀(52)으로부터 상승하고 기판(240)을 바람직한 저리 위치에 이동시킨다.
기판(240)이 수용되면 이어지는 일반적인 플라스마 처리 단계가 기판(240) 상에서 처리 시퀀스를 완료하도록 사용된다. 먼저, 기판(240)이 상승핀에 의해 들어올려지면, 기판 지지체(238)는 바람직한 처리 위치로 이동하고 플라스마 처리 챔버는 미리 결정된 베이스 압력으로 비워진다. 미리 결정된 베이스 압력이 이루어지면, 하나 또는 그 이상의 처리 가스의 특정 유동률이 가스 분배 플레이트(64)를 통해 가스 공급원(110)으로부터 챔버 체적(17) 내에 진입되며, 진공 펌핑 시스템(들)이 연속하여 균등한 처리 압력이 이루어질 때까지 챔버 체적(17)을 비운다. 제어기(300)는 진공 펌핑 시스템(즉, 150 및/또는 152)과의 소통을 스로틀링하거나 및/또는 가스 공급원(110)으로부터 진입한 처리 가스의 유동률을 제어함으로써 처리 압력을 제어할 수 있다. 바람직한 압력 및 가스 유동이 이루어지면, 각각의 RF 전력 공급원들이 처리 체적(18) 내에서 플라스마를 생성하고 제어하도록 작동할 수 있다. 전력은 제어기(300) 사용에 의해 독립적으로 RF 코일(82), 가스 분배 플레이트(64) 및/또는 기판 지지체(238)에 공급된다. RF 코일(82), 가스 분배 플레이트(64) 및/또는 기판 지지체(238)로의 RF 전력을 다양하게 함으로써, 플라스마 이온 밀도는 생성되는 자기장 및/또는 전기장 강도에 직접 영향을 받기에, 처리 체적(18) 내에서 생성되는 플라스마의 밀도가 다양해진다. 또한, 플라스마 이온 밀도는 처리 압력 또는 RF 코일(82) 및/또는 가스 분배 플레이트(64)로 전달되는 RF 전력을 조절함으로써 증가하거나 감소될 수 있다. 다양한 챔버 처리 단계가 기판 상에서 이루어진 이후, 상승핀(52)을 상승시키고, 기판 지지체(238)를 하강시켜서 상승된 상승핀(52) 상에서 기판(240)을 증착하고, (도시되지 않은) 슬릿 밸브를 개방하고, 챔버 내에서 시스템 로봇을 연장하고, 상승핀(52)을 하강시켜서 (도시되지 않은) 시스템 로봇 블레이드 상에서 기판(240)을 증착시키고, 다음 시스템 로봇을 수축시키고 슬릿 밸브를 폐쇄함으로써, 이는 플라스마 처리 챔버(100)로부터 제거된다.
본 발명의 다양한 실시예들은 고밀도 플라스마 산화 처리(HDPO; high density plasma oxidation)을 포함한 다양한 처리를 사용함으로써 고밀도 게이트 유전층을 형성하도록 사용될 수 있다. HDPO 처리의 다른 상세한 설명은, "Multi-Layer High Quality Gate Dielectric For Low-Temperature Poly-Silicon TFTs" 명칭의 2004년 11월 16일 출원된 미국 출원번호 제 10/990,185호에 기재되며 본 발명에서 참조된다.
도 9는 본 발명의 하나 또는 그 이상의 실시예와 연관되어 사용될 수 있는 클러스터 툴(910)을 도시한다. 클러스터 툴(910)은 기판의 예비 가열, 처리 이전 기판 표면의 예비 세정과 같은 예비-처리 단계 및 사후 어닐링 및 냉각과 같은 사후-처리 단계를 단일 제어 환경 내에서 모두 지지할 수 있어서 바람직하다. 구분된 챔버 또는 구분된 시스템이 HDPO층 및 유전층을 증착하도록 사용되는 경우 HDPO층과 유전층 증착 단계 사이의 대기 오염물에 기판 표면이 노출되어 게이트층의 불량한 전기적 특성을 이끌 수 있기에, 게이트 유전층을 증착하도록 제어된 환경을 사용하는 것이 고밀도 게이트 유전층을 형성하는 중요한 양상일 수 있다.
클러스터 툴(910)은 기판을 공기 중에 노출시키지 않고 기판(240)을 처리하도록 사용될 수 있다. 클러스터 툴(910)은 로드록/냉각 챔버(914A, 914B)에 연결된 중앙 전달 챔버(912), 예비 가열 챔버(902) 및 처리 챔버(940, 942, 944, 946)를 포함한다. 중앙 전달 챔버(912), 로드록/냉각 챔버(914A, 914B), 예비 가열 챔버(902), 및 처리 챔버(940, 942, 944, 946)는 시스템이 약 10mTorr 내지 약 1Torr의 내부 압력에서 작동하는 폐쇄된 환경으로 형성하도록 상호 밀봉된다. 로드록/냉각 챔버(914A, 914B)는 로드 도어(916A, 916B)를 포함하는 폐쇄 가능 개구부를 가져서 기판(240)을 클러스터 툴(910) 내측으로 전달한다. 기판(240)은 (도시되지 않은) 분위기 로봇을 사용하여 기판 저장 위치(38A~D) 중 하나로부터 로드록/냉각 챔버(914A 또는 914B) 중 하나에 전달될 수 있다.
로드록/냉각 챔버(914A, 914B) 각각은 기판을 지지하고 냉각시키도록 다수의 선반에 맞추어진 카세트(917)를 포함한다. 로드록/냉각 챔버(914) 내의 카세트(917)는 하나의 선반의 높이에 의해 점진적으로 카세트(917)를 상승시키고 하강시키도록 이루어진 (도시되지 않은) 엘리베이터 어셈블리 상에 장착된다. 로드 도어(916A)는 개방될 수 있고 기판(240)은 로드록/냉각 챔버(914A)의 카세트(917) 내의 선반 상에 위치할 수 있다. 다음, 엘리베이터 어셈블리는 하나의 선반의 높이에 의해 카세트(917)를 상승시켜서 빈 선반이 로드 도어(916A)에 대향하여 위치한다. 다른 기판이 빈 선반에 위치하고 카세트(917)의 모든 선반이 채워질 때까지 처리가 반복된다. 여기에서, 로드 도어(916A)가 폐쇄되고 로드록/냉각 챔버(914A)가 클러스터 툴(910) 내의 압력에서 비워진다.
다음, 중앙 전달 챔버(912)에 인접한 로드록/냉각 챔버(914A)의 내측 벽 상의 슬릿 밸브(920A)가 개방된다. 기판(240)이 중앙 전달 챔버(912) 내의 로봇(922)에 의해 바람직한 온도로 예비 가열되는 예비 가열 챔버(902)에 전달된다. 기판(240)은 예비 가열(902) 챔버 내에서 약 250℃ 내지 약 450℃의 범위 내의 온도로 가열될 수 있다. 또한, 기판(240)이 로드록/냉각 챔버(914A) 내에서 약 250℃ 내지 약 450℃의 범위 내의 온도로 예기 가열될 수 있으며, 따라서 예비 가열 챔버(902)는 이러한 기능을 수행할 필요가 없다. 로봇(922)은 제어기(300)에 의해 제어되며 기판을 로드록/냉각 챔버(914A)의 카세트(917)로부터 밀도록 사용되어 예비 가열 챔버 카세트(929) 내에서 빈 선반 상에 기판을 삽입하며 예비 가열 챔버(902) 내에서 선반 상에 기판을 놓는다. 전형적으로, 예비 가열 챔버 카세트(929)는 예비 가열 챔버(902) 내에서 (도시되지 않은) 엘리베이터 어셈블리 상에 장착된다. 하나의 선반이 로딩된 후, 예비 가열 챔버(929)는 상승되거나 하강되어 로봇(922)에 의해 접근하도록 다른 빈 선반을 나타낸다. 다음, 로봇(922)이 로드록/냉각 챔버(914A)의 카세트(917)로부터 다른 기판을 회수한다.
유사하게, 로봇(922)은 예비 가열 챔버 카세트(929)로부터 기판(240)의 전부 또는 일부를 4개의 처리 챔버(940, 942, 944, 946) 중 하나에 전달할 수 있다. 각각의 처리 챔버(940, 942, 944, 946)는 선택적으로 처리 가스의 절연을 위해 그 관련 슬릿 밸브(941, 943, 945 또는 947)를 각각 구비한 그 내측 벽체(940A, 942A, 944A, 946A) 상에 선택적으로 맞추어진다. 처리 챔버(940, 942, 944, 946)는 전술한 플라스마 처리 챔버(100)일 수 있다. 이러한 구성의 플라스마 처리 챔버는 HDPO층 및 높은 품질의 게이트 산소층의 종래 PECVD 증착 처리를 이룰 수 있다. 이러한 구성에서는 클러스터 툴(910) 내의 HDPO와 PECVD 챔버 사이에서의 로봇(322) 핸드오프 개수가 매우 감소하므로 기판 출력량(예를 들어, 시간당 처리되는 기판)을 증진시킬 수 있다. 추가로, 이러한 구성은 많은 상이한 형태의 처리 챔버 및 클러스터 툴(910)에 부착된 처리 챔버 구성을 허용하여 가용한 처리 시퀀스 중단 문제를 해결하는데 도움을 준다.
기판(240)이 적어도 하나의 처리 챔버(940, 942, 944, 946)에서 처리되면, 기판은 로드록/냉각 챔버(914B)의 카세트(917)에 전달된다. 기판은 카세트(917) 내에 장착된 기판으로부터 열을 제거하는 냉각 표면의 사용에 의하여 냉각 챔버 내에서 냉각될 수 있다. 냉각 표면은 냉각 표면에 장착된 열 교환기를 통한 종래의 열 교환 유체 유동을 사용하여 냉각될 수 있다. 기판이 예를 들어 약 20℃ 내지 약 150℃ 사이의 바람직한 온도에 이르면 기판은 챔버(914B)로부터 개방된 로드 도어(916B)를 통해 제거되고 기판 저장 위치(38A~D) 중 하나 상에 위치한다.
또한, 클러스터 툴(910)은 처리 챔버(940, 942, 944, 946) 위치 또는 예비 가열 챔버(929) 위치 중 하나에 장착된 적어도 하나의 예비 세정 챔버를 포함할 수 있다. 예비 세정 챔버는 게이트 유전층을 증착하기 전에 바람직하지 않은 어떠한 물질(예를 들어, 표면 산소, 오염물 등)을 제거하는 시스템에 더해질 수 있다. 예비 세정 처리는 플라스마 세정 처리이며, 이에서 산소 및 기타 오염물이 광 스퍼터링 에칭(light sputter etch)을 사용하거나 및/또는 플라스마 에칭 화학물(예를 들어, NF3, CF3 등)을 사용하여 기판의 표면으로부터 제거된다. 예비 세정 처리는 전형적으로 비활성 가스(예를 들어, 아르곤, 크세논, 크립톤 등) 및 약 0.3MHz 내지 10GHz 이상 사이의 범위의 RF 주파수에서 구동되는 유도성 및/또는 전도성 커플링된 플라스마를 사용하여 완료되는 비-선택성 RF 플라스마 에칭 처리이다. 예비 세정 처리를 수행하는데 필요한 RF 전력은 챔버 크기, 바람직한 예비 세정 에칭률 및 기판 편향 전압에 따를 수 있다. 예비 세정 처리는 플라스마 처리 단계(들) 이전 외에 예비 가열 단계 전 또는 후에 클러스터 툴(910) 처리 시퀀스에 추가될 수 있다. 대안적으로, 예비 가열 처리는 플라스마 처리 챔버 내에서 완료될 수 있으며 예비 세정 단계는 예비 가열 단계 이전에 완료될 수 있다. 예비 세정 처리는 처리 이전에 플라스마 처리 챔버(100) 내에서 인시츄(in situ) 수행될 수 있다.
더욱이, 클러스터 툴(910)은 처리 챔버(940, 942, 944, 946) 위치 또는 예비 가열 챔버(929) 위치 중 어느 하나에 장착된 적어도 하나의 어닐링 챔버 내에서 포함될 수 있다. 어닐링 챔버 시스템에 더해질 수 있어서 게이트 유전층 형성 동안 생성되는 결함의 개수를 줄인다. 어닐링 처리는 열적 처리이며, 여기에서 기판은 약 400℃ 내지 약 550℃ 범위의 온도에서 바람직한 시간 주기 동안 어닐링 챔버 내에서 처리된다. 어닐링 단계는 질소, 불활성 가스 또는 가능한 예를 들어 약 95% 질소 및 약 5% 수소의 질소 수소 혼합물 포함 분위기에서 이루어질 수 있다. 또한, 어닐링 처리는 진공에서 이루어질 수 있다. 어닐링 단계는 5 내지 30분 동안 이루어질 수 있으며, 예를 들어 약 10분 동안 이루어질 수 있다. 어닐링 단계가 완료된 이후 기판(240)은 핸들링 온도에서 냉각되는 냉각/로드록 챔버(914A~B) 중 하나로 수행된다. 어닐링 처리를 수행하는 예시적인 방법 및 클러스터 툴의 예시적인 하드웨어 구성은 2001년 9월 10일 출원된 "Method of Annealing Large Area Glass Substrates" 명칭의 미국 특허번호 제6,610,374호에 추가로 개시되며, 본 발명에서 참조되며 출원범위가 일치하지는 않는다.
본 발명의 다양한 실시예들은 TEOS 또는 기타 실리콘 전구체를 사용하여 산화 실리콘을 증착하는데 사용될 수 있다. 또한, 본 발명의 실시예들은 질화 실리콘, 무정형 실리콘, 도핑된 무정형 실리콘, 질산화 실리콘, 무정형 탄소 및 탄화 실리콘과 같은 기타 물질을 증착하는데 사용될 수 있다.
본 발명의 실시예들이 개시되었으나 본 발명의 다른 실시예 또는 추가 실시예가 첨부된 청구범위에 의해 결정되는 범위를 벗어나지 않고 가능하다.

Claims (2)

  1. 기판을 플라스마 처리하는 챔버에 있어서,
    가스 분배 플레이트;
    기판 지지체;
    단일 회전 RF 코일 구성을 형성하도록 병렬 연결된 둘 또는 그 이상의 코일부를 포함하는 유도성 커플링된 공급원 어셈블리;
    플라스마 처리 영역을 한정하는 챔버 벽체;
    상기 챔버 벽체 내에 배치된 지지 구조체; 및
    상기 지지 구조체로부터 상기 둘 또는 그 이상의 코일 부분들을 지지하고 절연하도록 구성된 절연 부품들을 포함하며,
    상기 코일부는 상기 코일부가 플라스마 증착 화학물과 상호 작용하는 것을 차폐하거나 플라스마 처리 동안 생성된 이온 또는 중성자에 의해 충격되는 것을 차폐하도록 작동될 수 있는 유전체 커버를 구비한,
    챔버.
  2. 제 1 항에 있어서,
    상기 지지 구조체가 전기적으로 접지되는
    챔버.
KR1020117009035A 2005-10-07 2006-09-28 플라스마 처리를 위한 저전압 유도성 커플링된 공급원 KR20110058893A (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/245,557 2005-10-07
US11/245,557 US20070080141A1 (en) 2005-10-07 2005-10-07 Low-voltage inductively coupled source for plasma processing

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020087010980A Division KR20080055991A (ko) 2005-10-07 2006-09-28 플라스마 처리를 위한 저전압 유도성 커플링된 공급원

Publications (1)

Publication Number Publication Date
KR20110058893A true KR20110058893A (ko) 2011-06-01

Family

ID=37910258

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020117009035A KR20110058893A (ko) 2005-10-07 2006-09-28 플라스마 처리를 위한 저전압 유도성 커플링된 공급원
KR1020087010980A KR20080055991A (ko) 2005-10-07 2006-09-28 플라스마 처리를 위한 저전압 유도성 커플링된 공급원

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020087010980A KR20080055991A (ko) 2005-10-07 2006-09-28 플라스마 처리를 위한 저전압 유도성 커플링된 공급원

Country Status (6)

Country Link
US (1) US20070080141A1 (ko)
JP (1) JP2009515292A (ko)
KR (2) KR20110058893A (ko)
CN (1) CN101283112A (ko)
TW (1) TW200729329A (ko)
WO (1) WO2007044248A2 (ko)

Families Citing this family (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7569463B2 (en) * 2006-03-08 2009-08-04 Applied Materials, Inc. Method of thermal processing structures formed on a substrate
US20080118663A1 (en) * 2006-10-12 2008-05-22 Applied Materials, Inc. Contamination reducing liner for inductively coupled chamber
TW200908363A (en) * 2007-07-24 2009-02-16 Applied Materials Inc Apparatuses and methods of substrate temperature control during thin film solar manufacturing
DE102009018700B4 (de) * 2008-09-01 2020-02-13 Singulus Technologies Ag Beschichtungsanlage und Verfahren zum Beschichten
JP5591585B2 (ja) * 2010-05-17 2014-09-17 東京エレクトロン株式会社 プラズマ処理装置
US9443753B2 (en) * 2010-07-30 2016-09-13 Applied Materials, Inc. Apparatus for controlling the flow of a gas in a process chamber
JP5781349B2 (ja) 2011-03-30 2015-09-24 東京エレクトロン株式会社 プラズマ処理装置
US9512520B2 (en) * 2011-04-25 2016-12-06 Applied Materials, Inc. Semiconductor substrate processing system
JP2013098177A (ja) * 2011-10-31 2013-05-20 Semes Co Ltd 基板処理装置及びインピーダンスマッチング方法
CN105765103B (zh) * 2013-12-02 2018-09-25 应用材料公司 用于原位清洁工艺腔室的方法和装置
CN107295738B (zh) * 2016-04-11 2020-02-14 北京北方华创微电子装备有限公司 一种等离子体处理装置
US10720334B2 (en) * 2018-07-20 2020-07-21 Asm Ip Holding B.V. Selective cyclic dry etching process of dielectric materials using plasma modification
US10720337B2 (en) * 2018-07-20 2020-07-21 Asm Ip Holding B.V. Pre-cleaning for etching of dielectric materials

Family Cites Families (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4431898A (en) * 1981-09-01 1984-02-14 The Perkin-Elmer Corporation Inductively coupled discharge for plasma etching and resist stripping
JPH02156080A (ja) * 1988-12-09 1990-06-15 Tokuda Seisakusho Ltd スパッタ装置
US6545420B1 (en) * 1990-07-31 2003-04-08 Applied Materials, Inc. Plasma reactor using inductive RF coupling, and processes
US5392018A (en) * 1991-06-27 1995-02-21 Applied Materials, Inc. Electronically tuned matching networks using adjustable inductance elements and resonant tank circuits
US5290382A (en) * 1991-12-13 1994-03-01 Hughes Aircraft Company Methods and apparatus for generating a plasma for "downstream" rapid shaping of surfaces of substrates and films
JP2530560B2 (ja) * 1993-05-17 1996-09-04 株式会社アドテック 高周波プラズマ用インピ―ダンス整合装置
US5683539A (en) * 1995-06-07 1997-11-04 Applied Materials, Inc. Inductively coupled RF plasma reactor with floating coil antenna for reduced capacitive coupling
US5573595A (en) * 1995-09-29 1996-11-12 Lam Research Corporation Methods and apparatus for generating plasma
JPH1064697A (ja) * 1996-08-12 1998-03-06 Anelva Corp プラズマ処理装置
TW403959B (en) * 1996-11-27 2000-09-01 Hitachi Ltd Plasma treatment device
CA2209037C (en) * 1997-01-03 2005-08-02 Stephen E. Hammond Internal flexible dust seal
US6150628A (en) * 1997-06-26 2000-11-21 Applied Science And Technology, Inc. Toroidal low-field reactive gas source
US6204604B1 (en) * 1998-02-09 2001-03-20 Micron Technology, Inc. Method and apparatus for controlling electrostatic coupling to plasmas
JP4122467B2 (ja) * 1998-02-17 2008-07-23 株式会社東芝 高周波放電装置及び高周波処理装置
US6254738B1 (en) * 1998-03-31 2001-07-03 Applied Materials, Inc. Use of variable impedance having rotating core to control coil sputter distribution
US5998933A (en) * 1998-04-06 1999-12-07 Shun'ko; Evgeny V. RF plasma inductor with closed ferrite core
US6164241A (en) * 1998-06-30 2000-12-26 Lam Research Corporation Multiple coil antenna for inductively-coupled plasma generation systems
GB2387023B (en) * 1998-12-17 2003-12-03 Trikon Holdings Ltd Inductive coil assembly
US6474258B2 (en) * 1999-03-26 2002-11-05 Tokyo Electron Limited Apparatus and method for improving plasma distribution and performance in an inductively coupled plasma
US6239553B1 (en) * 1999-04-22 2001-05-29 Applied Materials, Inc. RF plasma source for material processing
US6331754B1 (en) * 1999-05-13 2001-12-18 Tokyo Electron Limited Inductively-coupled-plasma-processing apparatus
JP3836636B2 (ja) * 1999-07-27 2006-10-25 独立行政法人科学技術振興機構 プラズマ発生装置
US6441555B1 (en) * 2000-03-31 2002-08-27 Lam Research Corporation Plasma excitation coil
KR100444189B1 (ko) * 2001-03-19 2004-08-18 주성엔지니어링(주) 유도결합 플라즈마 소스의 임피던스 정합 회로
US6824658B2 (en) * 2001-08-30 2004-11-30 Applied Materials, Inc. Partial turn coil for generating a plasma
US20030015965A1 (en) * 2002-08-15 2003-01-23 Valery Godyak Inductively coupled plasma reactor
US6876155B2 (en) * 2002-12-31 2005-04-05 Lam Research Corporation Plasma processor apparatus and method, and antenna
US20060027327A1 (en) * 2004-07-12 2006-02-09 Applied Materials, Inc. Apparatus and methods for a low inductance plasma chamber

Also Published As

Publication number Publication date
WO2007044248A3 (en) 2007-11-01
CN101283112A (zh) 2008-10-08
TW200729329A (en) 2007-08-01
WO2007044248A2 (en) 2007-04-19
US20070080141A1 (en) 2007-04-12
WO2007044248B1 (en) 2007-12-13
KR20080055991A (ko) 2008-06-19
JP2009515292A (ja) 2009-04-09

Similar Documents

Publication Publication Date Title
KR20110058893A (ko) 플라스마 처리를 위한 저전압 유도성 커플링된 공급원
KR100932815B1 (ko) 저온 폴리-실리콘 박막 트랜지스터를 위한 다층 고품질게이트 유전체
US20090197015A1 (en) Method and apparatus for controlling plasma uniformity
KR101603056B1 (ko) 강화된 이온화 및 무선 주파수 전력 커플링을 갖는 낮은 비저항의 텅스텐 물리 기상 증착
US6475854B2 (en) Method of forming metal electrodes
US9583349B2 (en) Lowering tungsten resistivity by replacing titanium nitride with titanium silicon nitride
TW201207975A (en) Confined process volume PECVD chamber
JP4255747B2 (ja) プラズマ処理装置及びプラズマ処理方法
US20080282982A1 (en) Apparatus and method for deposition over large area substrates
US20170229490A1 (en) Interface engineering for high capacitance capacitor for liquid crystal display
KR20080114612A (ko) 기판 처리 장치 및 샤워 헤드
WO2019094102A1 (en) A layer stack for display applications
JP2002241946A (ja) プラズマ処理装置
TWI842123B (zh) 動態處理腔室擋板
TWI834331B (zh) 動態處理腔室擋板
US11670722B2 (en) Process to reduce plasma induced damage
KR20240007228A (ko) 막 치밀화를 위한 펄싱 플라즈마 처리
JP2009194298A (ja) 原子層成長装置

Legal Events

Date Code Title Description
A107 Divisional application of patent
WITN Application deemed withdrawn, e.g. because no request for examination was filed or no examination fee was paid