KR20110041053A - Substrate processing apparatus, and method for separating substrate supporter assembly from processing module of substrate processing apparatus - Google Patents

Substrate processing apparatus, and method for separating substrate supporter assembly from processing module of substrate processing apparatus Download PDF

Info

Publication number
KR20110041053A
KR20110041053A KR1020090098059A KR20090098059A KR20110041053A KR 20110041053 A KR20110041053 A KR 20110041053A KR 1020090098059 A KR1020090098059 A KR 1020090098059A KR 20090098059 A KR20090098059 A KR 20090098059A KR 20110041053 A KR20110041053 A KR 20110041053A
Authority
KR
South Korea
Prior art keywords
moving part
substrate
support assembly
substrate support
transfer
Prior art date
Application number
KR1020090098059A
Other languages
Korean (ko)
Inventor
김병준
Original Assignee
김병준
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 김병준 filed Critical 김병준
Priority to KR1020090098059A priority Critical patent/KR20110041053A/en
Publication of KR20110041053A publication Critical patent/KR20110041053A/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67173Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers in-line arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • H01L21/67721Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations the substrates to be conveyed not being semiconductor wafers or large planar substrates, e.g. chips, lead frames
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins

Abstract

The present invention relates to a substrate processing apparatus, and more particularly, to a substrate processing apparatus for performing a predetermined process for a substrate.

The present invention provides a transfer structure for forming a transfer path to which a transfer robot for transporting a substrate or a tray loaded with a plurality of substrates; And a plurality of process modules, each of which is provided on one side of the transfer structure to perform substrate processing in an isolated state from the outside, including a module body and a substrate support assembly detachably coupled downwardly from the module body. Disclosed is a substrate processing apparatus, characterized in that the substrate support assembly of each process module is separated from the module main body and installed so as to be movable to a transfer passage of the transfer structure.

Description

Substrate processing apparatus, and method for separating substrate supporter assembly from processing module of substrate processing apparatus}

The present invention relates to a substrate processing apparatus, and more particularly, to a substrate processing apparatus for performing a predetermined process for a substrate.

A substrate processing apparatus is a device for performing a predetermined process for a substrate, comprising: a transfer structure for forming a transfer passage through which a transfer robot for transferring a substrate moves; Installed on one side of the transfer structure is configured to include a plurality of process modules for performing a substrate treatment in a closed processing space.

Substrates processed by the substrate processing apparatus include semiconductor wafers, glass panels for LCD panels, and solar cell substrates.

The substrate processing apparatus includes a vacuum processing apparatus that performs a vacuum treatment under a predetermined vacuum pressure. For example, after mounting a solar cell substrate on a substrate support, the substrate is covered with a cover member having a plurality of openings formed on the substrate. There is a vacuum treatment apparatus for performing a vacuum treatment to form fine irregularities on the surface of the.

Meanwhile, the process module includes a module main body and a substrate support assembly detachably coupled downward from the module main body.

In the conventional substrate processing apparatus, when the crane is installed at the time of maintenance, such as replacing or repairing the substrate support assembly of the process module, the module body (generally the upper lead) is separated from the substrate support assembly fixed by the crane and moved. If the crane is not installed, the substrate support assembly is separated from the fixed module body and moved to a space where work is easily performed.

In particular, when the crane is not installed, the conventional substrate processing apparatus, as shown in FIG. 1, separates a separation space capable of separating the substrate support assembly 42 including the substrate support 43 from the module body 41. Must be secured on one side of the process module 40.

Therefore, the conventional substrate processing apparatus has a problem in that the installation space occupied by the entire apparatus is limited due to the existence of the separation space secured on one side of each process module.

An object of the present invention to solve the above problems, by using a transfer path to move the transfer robot for separation and movement of the substrate support assembly substrate processing apparatus unnecessary separation space to be installed on one side of each module process and The present invention provides a method for separating a substrate support assembly from a process module of a substrate processing device.

The present invention was created in order to achieve the object of the present invention as described above, the present invention is a transfer structure for forming a transfer path for moving the transfer robot for transporting a substrate or a tray loaded with a plurality of substrates; And a plurality of process modules, each of which is provided on one side of the transfer structure to perform substrate processing in an isolated state from the outside, including a module body and a substrate support assembly detachably coupled downwardly from the module body. Disclosed is a substrate processing apparatus, characterized in that the substrate support assembly of each process module is separated from the module main body and installed so as to be movable to a transfer passage of the transfer structure.

A first moving part installed to support the substrate support assembly and movably installed in the transfer passage from the module main body; The first moving part supporting the substrate support assembly may be configured to include a second moving part installed to support the first moving part when the first moving part is moved to the transfer path and movably installed along the transfer path.

The transfer path may be provided with a guide rail for guiding the movement of the transfer robot, and the second moving part may be installed to move along the guide rail.

The first moving part may further include an elevating part for elevating the substrate support assembly.

The elevating unit is a main elevating unit for elevating the substrate support assembly; Is installed on the upper or lower portion of the main lift portion may include a micro lift portion for fine lifting the substrate support assembly.

When the first moving part is moved to the transfer passage, a first auxiliary moving part may be additionally installed to connect the first moving part and the second moving part to guide the movement of the first moving part.

The first auxiliary moving part may be hinged with any one of the first moving part and the second moving part so as to be expanded when necessary to connect the first moving part and the second moving part.

When the first moving part is discharged to the outside of the transfer structure, the first moving part and the carrier which is movable from the outside of the transfer structure and the second moving part by connecting the second moving part to guide the movement of the first moving part 2 Auxiliary moving parts can be installed.

The second auxiliary moving part may be hinged with any one of the carrier and the second moving part so as to be expanded when necessary to connect the carrier and the second moving part.

The transfer structure may further include a door communicating with the outside, and the substrate support assembly may be discharged to the outside through the door.

The door may be installed at one end or one side of the transfer passage based on the transfer passage.

The present invention also comprises a transfer structure for forming a transfer passage for moving the transfer robot for transporting a substrate or a tray loaded with a plurality of substrates; Substrate processing comprising a module body, a substrate support assembly detachably coupled downward from the module body and performing substrate processing in an isolated state from the outside, and including a plurality of process modules respectively installed on one side of the transfer structure. A method of separating a substrate support assembly of a process module of an apparatus, comprising: a separation step of separating the substrate support assembly downward from a module body of the process module; A moving step of moving the substrate support assembly separated from the module main body into a transfer passage of the transfer structure; Disclosed is a substrate support assembly separation method of a process module of a substrate processing apparatus, characterized in that it comprises a discharge step of discharging the substrate support assembly transferred to the transfer path through the door of the transfer structure installed to communicate with the outside. .

The substrate processing apparatus includes a first moving part installed to support a substrate support assembly and movably installed from the module main body to the transfer passage; And a second moving part installed to support the first moving part when the first moving part supporting the substrate support assembly is moved to the transfer path and movably installed along the transfer path. The first moving part may be discharged to the outside to discharge the substrate support assembly to the outside, or the second moving part may be discharged to the outside to discharge the substrate support assembly to the outside.

The substrate support assembly separation method of the substrate processing apparatus and the processing module of the substrate processing apparatus according to the present invention utilizes a transfer passage to which the transfer robot moves for separation and movement of the substrate support assembly. Since space is unnecessary, there is an advantage that the installation space of the device can be minimized by minimizing the space between each process module.

In particular, since the substrate processing apparatus is installed in a so-called clean room that maintains a clean state, minimizing the installation space of the apparatus reduces the overall equipment space for processing the substrate, thereby ultimately increasing the cost of semiconductor manufacturing, LCD panel manufacturing, and solar cell manufacturing. There is an advantage that can be saved.

Hereinafter, a substrate support assembly separation method of a substrate processing apparatus and a process module of the substrate processing apparatus according to the present invention will be described in detail with reference to the accompanying drawings.

Figure 2 is a plan view showing the configuration of a substrate processing apparatus according to the present invention, Figure 3 is a side cross-sectional view showing the configuration of a process module of the substrate processing apparatus of Figure 1, Figures 4a to 4c is a process of the substrate processing apparatus of FIG. Side cross-sectional views illustrating a process of separating and discharging the substrate support assembly from the module to the outside, and FIG. 5 is a plan view illustrating a structure of a substrate processing apparatus according to another embodiment of the present invention.

An embodiment of the present invention will be described by taking a vacuum processing apparatus for performing vacuum processing in a vacuum state, which is one of substrate processing apparatuses.

Vacuum processing apparatus according to an embodiment of the present invention, as shown in Figures 2 and 3, the transfer path 311 to move the transfer robot 320 for transporting the substrate 1 or the tray 2 is formed The transfer structure 300 and the plurality of process modules 400 are respectively installed on one side of the transfer structure 300 to perform a substrate treatment, that is, a vacuum treatment.

Here, each of the modules is preferably maintained in a clean state, and the other modules except the process module 400 is preferably maintained at atmospheric pressure.

In addition, a substrate exchange module 100 may be additionally installed at one side or one end of the transfer structure 300, and the substrate exchange module 100 may load a plurality of substrates 1 to be vacuumed on the tray 2. Alternatively, as the configuration for unloading the substrate 1 after vacuum treatment, various configurations are possible depending on the design and the design.

The substrate exchange module 100 may load a substrate 1 into or out of a tray 2 between a cassette (not shown) on which a plurality of substrates 1 are stacked and the tray 2. A substrate transfer robot (not shown) for exchanging (1) may be installed, and a configuration for covering or releasing the cover member 30 on the tray 2 may be provided.

The transfer structure 300 is configured to form a transfer passage 311 to which the transfer robot 320 for transferring the substrate 1 or the tray 2 moves, and various configurations are possible, FIGS. 2 and 3. As shown in the figure, it is composed of a transfer robot 320 and a guide rail 330 to transfer the tray 2 loaded with a plurality of substrates (1), various configurations are possible according to the design and design As shown in FIG. 2, the modules are installed in a rectangle having a relatively long length so that the modules can be properly disposed.

The transfer robot 320 is configured to transfer at least one of the tray 2 and the cover member 30 while moving along the guide rail 330.

The guide rail 330 is a configuration for guiding the movement of the transport robot 320, it is possible to various configurations depending on the design and design.

Meanwhile, the transfer structure 300 may include a housing having one or more doors and gates to isolate the moving space of the transfer robot 320 in order to minimize exposure by foreign matters when the transfer robot 320 moves between modules. 310 may be configured.

The process module 400 is a configuration for forming a closed processing space (S) for the vacuum treatment, various configurations are possible according to the processing process, as shown in Figures 2 and 3, the module body 410 ) And a substrate support assembly 420 including a substrate support 430 and detachably coupled to the lower side of the module body 410 to form a processing space S. Here, the vacuum treatment process performed by the process module 400 according to the present invention includes an etching process, a deposition process, and the like, such as a reactive ion etching (RIE) process.

The substrate 1 to be subjected to vacuum treatment may be any substrate as long as it is a substrate requiring vacuum treatment. In particular, a substrate for solar cells such as monocrystalline silicon and polycrystalline silicon, which need to form fine irregularities on its surface through etching, may be used.

The module body 410 can be configured in various ways according to the design and design, by the gate valve 460 so that the substrate 1 can be directly in or out, or the tray 2 on which the substrate 1 is seated can be in and out. At least one gate 470 is formed to be opened and closed.

On the other hand, the module body 410 can be a variety of configurations depending on the substrate processing, the shower head 440 is supplied from a gas supply device (not shown) to inject the processing gas into the processing space (S) may be installed. .

As an example of the module main body 410, as shown in FIG. 3, a side wall portion constituting the side wall of the module main body 410 and a shower head 440 are coupled and are separated or integrally coupled to the side wall portion. 412.

The module body 410 may be supported by a separate support frame 411.

The support frame 411 may be configured in various ways according to the support form of the module body 410, it is preferable to be installed to enable the separation and movement of the substrate support assembly 420 to be described later, the bottom surface of the module body 410 It is installed to support, a horizontal adjustment unit (not shown) for adjusting the horizontal level of the module body 410 may be additionally installed.

The substrate support assembly 420 may perform a vacuum treatment process such as a substrate support 430 on which the substrate 1 is seated directly or through the tray 2, and an exhaust system for controlling and evacuating pressure in the processing space S. Devices can be installed.

The substrate support assembly 420 is combined with the module main body 410 to form a processing space S for substrate processing, and may be combined with the module main body 410 in various structures.

For example, as shown in FIG. 3, the substrate support assembly 420 may include a module body 410 and a plate member 421 coupled to a lower side and a fastening member such as a bolt. At this time, the substrate support 430 is installed on the plate member 421.

The substrate support 430 is directly mounted on the substrate 1, or as shown in Figure 3, is configured to seat the tray 2 on which the substrates 1 are mounted, plasma formation, etc. in the processing space (S) A lower electrode (not shown) to which power is applied is installed so that a reaction for processing may occur.

Here, the lower electrode is grounded to the module body 410 and the shower head 440 according to the power application method, one or two RF power is applied, or the lower electrode is grounded and the module body 410 and the shower head 440 RF power may be applied to the lower electrode, or a first RF power may be applied to the lower electrode, and a second RF power may be applied to the module body 410 and the shower head 440.

The tray 2 is configured to transfer one or more substrates 1, in particular, a plurality of substrates 1, and may have various materials and shapes depending on the type and processing process of the substrate 1. Here, the tray 2 is made of a material resistant to plasma, such as borosilicate glass (pyrex), Al 2 O 3 , quartz (Quartz), and various resins, and transports the substrates 1 with the substrates 1 seated thereon. Of course, it is not necessary when the substrate 1 is directly seated on the substrate support 430 as a configuration for the above.

In addition, the tray 2 may be composed of a plurality of members for the convenience of manufacturing when the size of the substrate 1 to be seated is large or the size thereof is enlarged for the loading of a larger number of substrates 1. .

The process module 400 is an apparatus for performing an etching process for forming irregularities on the surface of the substrate 1 using the cover member 30, and in particular, may perform a reactive ion etching process (RIE).

At this time, the cover member 30 can be configured in various ways depending on the purpose of use, and as shown in Figure 3, the cover portion 32 is formed with a plurality of openings 31 formed through the upper and lower, and the cover portion ( 32 may include a support part 33 installed at an edge of the cover part 32 to be installed at a predetermined interval from the substrate 1 seated on the tray 2 or the substrate support 430.

In this case, the cover member 30 forms a covering space ES between the tray 2 or the substrate support 430 on which the substrate 1 is seated, and the residue material etched by the plasma introduced through the opening 31. It is an example of the case where the residue material is used for a predetermined purpose, such as to form a fine concavo-convex by attaching to the surface of the substrate (1).

At this time, the distance (D) between the cover member 30 and the tray 2 or the substrate support 430 is preferably maintained 5mm-30mm in consideration of the effect of trapping the residue and the uneven formation rate due to the residue. Do.

The opening 31 formed in the cover part 32 has various shapes and dimensions according to the purpose of use of the cover member 30, and may be formed to be elongated like a slit.

The cover portion 32 may be used in a variety of materials according to the vacuum treatment process, a material resistant to the plasma is preferably used, it may have a material of aluminum or its alloy.

The support part 33 is configured to partition the processing space S and the space ES while maintaining a constant distance from the tray 2 or the substrate support 430 on which the cover part 32 and the substrate 1 are seated. As an example, the cover unit 32 may be integrally formed with or separately from the cover unit 32. In this case, the support part 33 may have a material different from that of the cover part 32.

The cover member 30 is configured to cover the tray 2 or the substrate support 430 on which the substrate 1 is seated in the process module 400 or the tray 2 in a state of covering the tray 2 from the outside. 2) can be transported together.

Meanwhile, the vacuum processing apparatus may be installed at one side of the transfer structure 300 to temporarily store the tray 2 so that the transfer robot 320 may smoothly transfer the tray 2 to each module in addition to the process module 400. A buffer module (not shown) may be further included.

The buffer module is temporarily stored only in the tray 2, or temporarily stored with the cover member 30, or the cover member 30 is further included in the configuration that the cover 2 to open or release the tray 2 Configuration is possible.

On the other hand, when the repair, replacement, etc. of the substrate support assembly 420 of the process module 400 of the vacuum processing apparatus having the configuration as described above is separated from the module body 410 to be transported to the outside to perform repair, replacement There is a need.

Therefore, as shown in FIGS. 2 and 3, the substrate support assembly 410 of each process module 400 is separated by descending downward from the module body 410 and then the transfer path of the transfer structure 300 ( 311) to be movable.

At this time, the process module 400 is installed to support the substrate support assembly 420 and the first moving unit 510 is installed to be moved from the module body 410 to the transfer path 311; The first moving part 510 supporting the substrate support assembly 420 is installed to support the first moving part 510 when the first moving part 510 is moved to the transfer path 311, and is installed to be movable along the transfer path 311. It may include two moving parts (520).

In this case, an opening 312 through which the substrate support assembly 420 passes may be formed in the housing 310 of the transfer structure 300, and the opening 312 may be opened and closed by the opening and closing member 314.

The first moving part 510 is configured to support the substrate support assembly 420 and transfer it to the transfer path 311. Various configurations are possible. As shown in FIG. 3, the substrate support assembly 420 is provided. It includes a first support member 512 for supporting and a plurality of moving members 513, such as a moving wheel installed on the first support member 512 to move along the fixed first guide member 511 to be moved Can be.

In addition to the combination of the guide member and the wheel, the first moving unit 510 may be configured in various ways such as a rack and pinion combination and a slide member.

Meanwhile, the first moving part 510 needs to be separated from the substrate support assembly 420 by moving upward from the module body 410 and moved downward to separate the substrate support assembly 420. It may further include a lifting unit 530 installed to.

The lifting unit 530 is configured to raise and lower the substrate support assembly 420, and may be configured in various ways such as a hydraulic cylinder and a screw jack.

On the other hand, the substrate support assembly 420 may be composed of a plurality of lifting devices rather than a single lifting device in consideration of the weight, and requires precise movement when coupled with the module body 410, shown in FIG. As shown, the main lifting unit 532 for elevating the substrate support assembly 420, and is installed on the upper or lower portion of the main lifting unit 532 to combine with the module main body 410 after lifting by the main lifting unit 532. It may be configured to include a micro lifting unit 531 for fine lifting the substrate support assembly 420.

The main lifting unit 532 is configured to move up and down by the operation of a control device (not shown), various configurations, such as a hydraulic cylinder, a screw jack is possible.

In addition, the micro lifting unit 531 is configured to couple or separate from the module main body 410 after the lifting by the main lifting unit 532, it can be made by a manual operation of the operator by a lever, screw jack, hydraulic jack, rack jack And the like.

Meanwhile, the micro lifting unit 531 and the main lifting unit 532 may be disposed up and down, and the micro lifting unit 531 may be installed at the upper side and the main lifting unit 532 may be installed at the lower side.

The second moving part 520 is a first moving part 510 supporting the substrate support assembly 420 when the first moving part 510 is moved to the transfer path 311 through the opening 312 of the housing 310. As a configuration for supporting the moving unit 510 and the substrate support assembly 420 supported by the first moving unit 510 to be moved along the transfer passage 311 to the outside of the transfer structure 300. Various configurations are possible.

The second moving part 520 may be configured similarly to the first moving part 510, and supports the first moving part 510 and extends the substrate support assembly as an extension of the first guide member 511. The second guide member 521 for guiding the movement of the 420 and the second guide member 521 may be installed and include one or more moving members 523 moving along the transfer path 311.

Meanwhile, when the guide rail 330 for guiding the movement of the transport robot 320 is installed in the transport passage 311, the second mover 520 may support the substrate support assembly 420. It may be installed to move along the guide rail 330 when moved along the transport passage 311 to support it.

At this time, the moving member 523 may be configured in various ways such as a moving wheel, a slide member moving along the guide rail 330.

As described above, the substrate support assembly 420 supported by the first moving unit 510 is moved along the transfer path 311 by the second moving unit 520 and discharged to the outside.

At this time, the method of discharging to the outside of the substrate support assembly 420 is installed in the transfer structure 300, the door 313 communicating with the outside is discharged through the door 313 as shown in Figs. Can be.

Meanwhile, the door 313 for discharging the substrate support assembly 420 may be installed at an appropriate position to smoothly discharge the substrate support assembly 420 from each process module 400 without disturbing the transfer robot 320. have.

In particular, the door 313 for discharging the substrate support assembly 420 is one side, in particular (between the process modules 400 or on the basis of the transfer passage 311 of the transfer structure 300, as shown in FIG. It may be installed on one side of the substrate exchange module 100, the position adjacent to the substrate exchange module 100, or as shown in Figure 5, may be installed on one end of the transfer passage (311).

Meanwhile, the substrate support assembly 420 discharged to the outside is moved to a predetermined place through the carrier 560, as shown in FIG. 4C.

The carrier 560 is installed or moved adjacent to the door 313 when the substrate support assembly 420 is discharged to the outside, the configuration capable of supporting and transporting the substrate support assembly 420 may be any configuration. As illustrated in FIG. 4C, the substrate support assembly 420 is supported by the plurality of moving members 561 made of wheels or slide members and the moving wheels 561 to freely move from the ground. It may be configured to include a support member 562 for supporting.

The support member 562 may be configured in various ways. The support member 562 supports the first mover 510 so as to move the first mover 510 supporting the substrate support assembly 420, and the second guide member. An extension of 521 may include a third guide member 563 that guides the movement of the substrate support assembly 420.

The transfer structure 300 is required to maintain a clean state except when the substrate support assembly 420 is moved to the transfer passage 311 or discharged to the outside from the transfer passage 311, the transfer structure ( 300 needs to be kept isolated from the outside.

Therefore, the transfer structure 300 is kept open when the substrate support assembly 420 is moved to the transfer passage 311 or is discharged to the outside from the transfer passage 311, otherwise the opening and closing member 314 or It is closed by the door 313.

Meanwhile, the first moving part 510 for supporting the substrate support assembly 420 is moved between the first guide member 511 and the second guide member 521 when being moved to the transfer path 311, and the transfer path 311. When the discharge is to the outside from the second guide member 521 and the third guide member 563 may be a gap between the movement of the first moving unit 510 supporting the substrate support assembly 420 may not be smooth. have.

Therefore, when the first moving part 510 is moved to the conveying path 311, the first moving part 510 and the second moving part 520 are connected to guide the movement of the first moving part 510. One auxiliary moving part 570 may be additionally installed.

Any one of the first moving part 510 and the second moving part 520 so that the first auxiliary moving part 570 is expanded as necessary to connect the first moving part 510 and the second moving part 520. And a variety of configurations, such as hinged, and can be supported, as shown in Figure 3, can be supported by a connecting member 571 connecting the first moving part 510 and the second moving part 520 when necessary. have.

In addition, when the first moving part 510 is discharged to the outside of the transfer structure 300, the carrier 560 and the second movable supporter 510 and the outside of the transfer structure 300 are supported. A second auxiliary moving part 580 connecting the moving part 520 to guide the movement of the first moving part 510 may be additionally installed in the transfer structure 300.

The second auxiliary moving part 580 is expanded when necessary, and hinged to any one of the carrier 560 and the second moving part 520 so as to connect the carrier 560 and the second moving part 520. 4C, it may be supported by the second moving part 510 and the connection member 581 that is connected when necessary.

The separation process of the substrate support assembly in the substrate processing apparatus having the above configuration will be described below with reference to FIGS. 4A to 4C.

Separation process of the substrate support assembly 420 is a separation step of first separating the substrate support assembly 420 from the process module 400, a moving step of transferring the separated substrate support assembly 420 to the transfer path 311, after The substrate support assembly 420 is moved through the transfer passage 311 and discharged through the discharge step.

In the separation step, as shown in Figure 4a, after separating the fastening member by operating the lifting unit 430 to separate from the module main body 410 by lowering the substrate support assembly 420.

In the moving step, as shown in FIGS. 4A and 4B, the separated lifting part 530 is moved to the transfer path 311 by the movement of the first moving part 510 to the second moving part 520. Is moved.

In the discharging step, as illustrated in FIGS. 4B and 4C, the substrate supporting assembly is moved along the transfer passage 311 to the door 313 installed to communicate with the outside of the transfer structure 300, and through the door 313. Discharge 420 to the outside.

In this case, the substrate support assembly 420 is discharged to the outside by the movement of the first movement unit 510 while being supported by the first movement unit 510 or the substrate support assembly 420 and the first movement unit 510. ) May be discharged to the outside by the movement of the second moving unit 520.

Since the above has been described only with respect to some of the preferred embodiments that can be implemented by the present invention, the scope of the present invention, as is well known, should not be construed as limited to the above embodiments, the present invention described above It will be said that both the technical idea and the technical idea which together with the base are included in the scope of the present invention.

1 is a plan view showing a conventional substrate processing apparatus.

2 is a plan view showing the configuration of a substrate processing apparatus according to the present invention.

3 is a side cross-sectional view illustrating a configuration of a process module of the substrate processing apparatus of FIG. 1.

4A to 4C are side cross-sectional views illustrating a process of separating and discharging the substrate support assembly from the process module of the substrate processing apparatus of FIG. 2.

5 is a plan view showing the configuration of a substrate processing apparatus according to another embodiment of the present invention.

***** Explanation of symbols for main parts of drawing *****

100: substrate exchange module 300: transfer structure

400: process module

510: first moving unit 520: second moving unit

Claims (13)

A transfer structure for forming a transfer passage through which a transfer robot for transferring a substrate or a tray on which a plurality of substrates are loaded is moved; It includes a module body and a substrate support assembly that is detachably coupled to the lower side from the module body to perform a substrate treatment in a state isolated from the outside and includes a plurality of process modules, each installed on one side of the transfer structure, And a substrate support assembly of each of the process modules is separated from the module body downward and moved to the transfer passage of the transfer structure. The method according to claim 1, A first moving part installed to support the substrate support assembly and movably installed in the transfer passage from the module main body; And a second moving part installed to support the first moving part when the first moving part supporting the substrate support assembly is moved to the transfer path and movably installed along the transfer path. The method according to claim 2, The transfer path is provided with a guide rail for guiding the movement of the transfer robot, And the second moving part is installed to move along the guide rail. The method according to claim 2, And the first moving part is further provided with an elevating part for elevating the substrate support assembly. The method according to claim 4, The elevating unit is a main elevating unit for elevating the substrate support assembly; Substrate processing apparatus characterized in that it is provided on the upper or lower portion of the main lifting portion to finely lift the substrate support assembly. The method according to claim 2, And a first auxiliary moving part for connecting the first moving part and the second moving part to guide the movement of the first moving part when the first moving part is moved to the transfer passage. . The method according to claim 6, And the first auxiliary moving part is hinged with any one of the first moving part and the second moving part so as to be expanded when necessary to connect the first moving part and the second moving part. The method according to claim 2, When the first moving part is discharged to the outside of the transfer structure, the first moving part and the carrier which is movable from the outside of the transfer structure and the second moving part by connecting the second moving part to guide the movement of the first moving part 2 Auxiliary moving part further substrate processing apparatus characterized in that it is installed. The method according to claim 8, And the second auxiliary moving part is hinged with any one of the carrier and the second moving part so as to be expanded when necessary to connect the carrier and the second moving part. The method according to claim 1, The transfer structure further includes a door communicating with the outside, wherein the substrate support assembly is discharged to the outside through the door. The method according to claim 10, And the door is installed at one end or one side of the transfer passage based on the transfer passage. A transfer structure for forming a transfer passage through which a transfer robot for transferring a substrate or a tray on which a plurality of substrates are loaded is moved; Substrate processing comprising a module body, a substrate support assembly detachably coupled downward from the module body and performing substrate processing in an isolated state from the outside, and including a plurality of process modules respectively installed on one side of the transfer structure. Method for separating the substrate support assembly of the process module of the device, A separation step of separating the substrate support assembly downward from the module body of the process module; A moving step of moving the substrate support assembly separated from the module main body into a transfer passage of the transfer structure; And a discharging step of discharging the substrate support assembly transferred to the transfer passage to the outside through a door of the transfer structure installed to communicate with the outside. The method according to claim 12, The substrate processing apparatus is A first moving part installed to support a substrate support assembly and movably installed from the module main body to the transfer path; And a second moving part installed to support the first moving part when the first moving part supporting the substrate support assembly is moved to the transfer path and movably installed along the transfer path. In the discharging step, the first moving part is discharged to the outside to discharge the substrate support assembly to the outside, or the second moving part is discharged to the outside to discharge the substrate support assembly to the substrate support of the process module of the substrate processing apparatus. Assembly separation method.
KR1020090098059A 2009-10-15 2009-10-15 Substrate processing apparatus, and method for separating substrate supporter assembly from processing module of substrate processing apparatus KR20110041053A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020090098059A KR20110041053A (en) 2009-10-15 2009-10-15 Substrate processing apparatus, and method for separating substrate supporter assembly from processing module of substrate processing apparatus

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020090098059A KR20110041053A (en) 2009-10-15 2009-10-15 Substrate processing apparatus, and method for separating substrate supporter assembly from processing module of substrate processing apparatus

Publications (1)

Publication Number Publication Date
KR20110041053A true KR20110041053A (en) 2011-04-21

Family

ID=44047086

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020090098059A KR20110041053A (en) 2009-10-15 2009-10-15 Substrate processing apparatus, and method for separating substrate supporter assembly from processing module of substrate processing apparatus

Country Status (1)

Country Link
KR (1) KR20110041053A (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107507796A (en) * 2017-07-30 2017-12-22 江苏鲁汶仪器有限公司 A kind of wafer transmission system

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107507796A (en) * 2017-07-30 2017-12-22 江苏鲁汶仪器有限公司 A kind of wafer transmission system
CN107507796B (en) * 2017-07-30 2019-10-25 江苏鲁汶仪器有限公司 A kind of wafer transmission system

Similar Documents

Publication Publication Date Title
US7690881B2 (en) Substrate-processing apparatus with buffer mechanism and substrate-transferring apparatus
KR101443457B1 (en) Transfer robot
KR102163605B1 (en) Substrate processing apparatus
KR101630804B1 (en) Substrate process system, and unloadlock module therefor
KR101765234B1 (en) Tray exchanging module, substrate processing apparatus and method
US8499430B2 (en) Assembly method of transfer mechanism and transfer chamber
JP2003017543A (en) Substrate processing apparatus, substrate processing method, semiconductor device manufacturing method, and conveying apparatus
CN105164310A (en) Apparatus for processing two or more substrates in batch process
CN108122809B (en) Substrate processing system
JP5926694B2 (en) Substrate relay device, substrate relay method, substrate processing apparatus
KR101528137B1 (en) Substrate exchanging module for substrate processing apparatus, and substrate processing apparatus having the same
KR20110041053A (en) Substrate processing apparatus, and method for separating substrate supporter assembly from processing module of substrate processing apparatus
KR100773263B1 (en) Apparatus for vacuum processing
KR100852468B1 (en) A Load Port Direct-Coupled to Loadlock Chamber
KR20070109298A (en) Semiconductor manufacturing device and method for transfering semiconductor substrates
KR100835177B1 (en) A transportation system for processing semiconductor material
KR101651164B1 (en) Substrate process system, and process module therefor
KR100717990B1 (en) A transportation system for processing semiconductor material
KR101856112B1 (en) Substrate process chamber and apparatus
KR100752934B1 (en) Apparatus for vacuum processing
CN113380660A (en) Substrate transport system and load lock module
KR100606566B1 (en) Apparatus for manufacturing FPD
KR101831312B1 (en) Substrate process system and method
KR20080069295A (en) A transportation system for processing semiconductor material
KR100740453B1 (en) Apparatus for vacuum processing

Legal Events

Date Code Title Description
N231 Notification of change of applicant
WITN Withdrawal due to no request for examination