KR20070109298A - Semiconductor manufacturing device and method for transfering semiconductor substrates - Google Patents

Semiconductor manufacturing device and method for transfering semiconductor substrates Download PDF

Info

Publication number
KR20070109298A
KR20070109298A KR1020060042083A KR20060042083A KR20070109298A KR 20070109298 A KR20070109298 A KR 20070109298A KR 1020060042083 A KR1020060042083 A KR 1020060042083A KR 20060042083 A KR20060042083 A KR 20060042083A KR 20070109298 A KR20070109298 A KR 20070109298A
Authority
KR
South Korea
Prior art keywords
substrate
chamber
transfer
load lock
buffer unit
Prior art date
Application number
KR1020060042083A
Other languages
Korean (ko)
Inventor
최동헌
Original Assignee
삼성전자주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 삼성전자주식회사 filed Critical 삼성전자주식회사
Priority to KR1020060042083A priority Critical patent/KR20070109298A/en
Publication of KR20070109298A publication Critical patent/KR20070109298A/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Robotics (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

A semiconductor manufacturing apparatus and a semiconductor substrate transfer method using the same are provided to prevent a substrate from being polluted by particles when transferring the substrate between chambers by installing a buffer unit to store for a moment the transferred substrate. A semiconductor manufacturing apparatus comprises process chambers(400), a load lock chamber(300), a transfer robot(510), a transfer chamber(500) and a buffer unit(600). The load lock chamber takes substrates to be transferred to the process chambers. The transfer chamber is installed between the load lock chamber and the process chambers, and comprises the transfer robot for transferring the substrates between the load lock chamber and the process chambers. The buffer unit stores the substrate unloaded from the process chambers to the transfer chamber.

Description

반도체 제조 장치 및 이를 이용한 반도체 기판의 이송 방법{SEMICONDUCTOR MANUFACTURING DEVICE AND METHOD FOR TRANSFERING SEMICONDUCTOR SUBSTRATES}Semiconductor manufacturing apparatus and transfer method of semiconductor substrate using the same {SEMICONDUCTOR MANUFACTURING DEVICE AND METHOD FOR TRANSFERING SEMICONDUCTOR SUBSTRATES}

도 1은 종래 기술에 의한 클러스터 타입 반도체 제조 장치의 일 예를 도시해 보인 개략적 구성도,1 is a schematic block diagram showing an example of a cluster type semiconductor manufacturing apparatus according to the prior art;

도 2는 본 발명의 일 실시예에 의한 반도체 제조 장치의 개략적 구성도,2 is a schematic structural diagram of a semiconductor manufacturing apparatus according to an embodiment of the present invention;

도 3은 도 2에 도시된 반도체 제조 장치의 개략적 단면도,3 is a schematic cross-sectional view of the semiconductor manufacturing apparatus shown in FIG. 2;

도 4는 도 2 및 도 3에 도시된 반도체 제조 장치의 개략적 동작 상태도,4 is a schematic operation state diagram of the semiconductor manufacturing apparatus illustrated in FIGS. 2 and 3;

도 5는 본 발명의 다른 실시예에 의한 반도체 제조 장치의 개략적 구성도,5 is a schematic structural diagram of a semiconductor manufacturing apparatus according to another embodiment of the present invention;

도 6은 도 5에 도시된 반도체 제조 장치의 개략적 단면도,FIG. 6 is a schematic cross-sectional view of the semiconductor manufacturing apparatus shown in FIG. 5;

도 7은 도 5 및 도 6에 도시된 반도체 제조 장치의 개략적 동작 상태도,FIG. 7 is a schematic operation state diagram of the semiconductor manufacturing apparatus illustrated in FIGS. 5 and 6;

도 8은 본 발명의 또 다른 실시예에 의한 반도체 제조 장치의 개략적 구성도,8 is a schematic structural diagram of a semiconductor manufacturing apparatus according to still another embodiment of the present invention;

도 9는 도 8에 도시된 반도체 제조 장치의 개략적 단면도,9 is a schematic cross-sectional view of the semiconductor manufacturing apparatus shown in FIG. 8;

도 10은 도 8 및 도 9에 도시된 반도체 제조 장치의 개략적 동작 상태도이다.FIG. 10 is a schematic operation state diagram of the semiconductor manufacturing apparatus illustrated in FIGS. 8 and 9.

< 도면의 주요 부분에 대한 부호의 설명 ><Description of Symbols for Main Parts of Drawings>

100 : 로드 포트 200 : 기판 이송 모듈100: load port 200: substrate transfer module

300 : 로드락 챔버 400 : 공정 챔버300: load lock chamber 400: process chamber

410 : 도어 500 : 트랜스퍼 챔버410: door 500: transfer chamber

510 : 이송 로봇 600 : 버퍼 유닛510: transfer robot 600: buffer unit

610 : 버퍼 챔버 620 : 기판 지지 부재610: buffer chamber 620: substrate support member

640 : 구동 부재640: drive member

본 발명은 반도체 제조 장치 및 방법에 관한 것으로서, 보다 상세하게는 클러스터 타입의 반도체 제조 장치 및 이를 이용한 반도체 기판의 이송 방법에 관한 것이다.The present invention relates to a semiconductor manufacturing apparatus and method, and more particularly, to a cluster type semiconductor manufacturing apparatus and a transfer method of a semiconductor substrate using the same.

일반적으로 반도체 디바이스는 기판상에 여러 가지 물질을 박막 형태로 증착하고 이를 패터닝하여 제조된다. 이를 위하여 증착 공정, 식각 공정, 세정 공정 및 건조 공정 등 여러 단계의 서로 다른 공정이 요구된다. 각각의 공정에서 기판은 해당 공정의 진행에 최적의 조건을 제공하는 공정 챔버에 장착되어 처리된다. Generally, semiconductor devices are manufactured by depositing and patterning various materials on a substrate in a thin film form. To this end, different steps of different processes such as a deposition process, an etching process, a cleaning process, and a drying process are required. In each process, the substrate is mounted and processed in a process chamber that provides optimum conditions for the progress of the process.

근래에는 반도체 디바이스의 미세화 및 고집적화에 따라 공정의 고정밀도화, 복잡화, 웨이퍼의 대구경화 등이 요구되고 있으며, 복합 공정의 증가나 매엽식화에 수반되는 스루풋의 향상이라는 관점에서 반도체 디바이스 제조 공정을 일괄 처리할 수 있는 클러스터 타입(Cluster Type)의 반도체 제조 장치가 주목을 받고 있다.In recent years, as the semiconductor devices become more compact and highly integrated, process precisions, complexity, and wafer caliber are required, and the semiconductor device manufacturing process is collectively processed in view of the increase in the throughput associated with the increase in the number of complex processes and the sheeting. A cluster type semiconductor manufacturing apparatus that can be used has attracted attention.

도 1은 종래 기술에 의한 클러스터 타입 반도체 제조 장치의 일 예를 도시해 보인 개략적 구성도이다.1 is a schematic diagram illustrating an example of a cluster type semiconductor manufacturing apparatus according to the prior art.

도 1을 참조하면, 클러스터 타입의 반도체 제조 장치(1)는, 다수의 기판들이 수용된 용기(12)가 놓여지는 로드 포트(10)와, 로드 포트(10)에 인접 설치되는 기판 이송 모듈(20)과, 기판 이송 모듈(20)로부터 기판을 전달받아 초기 저진공 상태로 유지하는 로드락 챔버(30)와, 기판을 매엽 처리하는 다수의 공정 챔버(40)들과, 로드락 챔버(30)와 공정 챔버(40)들의 사이에 배치되는 트랜스퍼 챔버(50)를 가진다.Referring to FIG. 1, the cluster type semiconductor manufacturing apparatus 1 includes a load port 10 in which a container 12 containing a plurality of substrates is placed, and a substrate transfer module 20 installed adjacent to the load port 10. ), A load lock chamber 30 receiving a substrate from the substrate transfer module 20 and maintaining the initial low vacuum state, a plurality of process chambers 40 for sheet-fed substrate processing, and a load lock chamber 30. And a transfer chamber 50 disposed between the process chambers 40.

트랜스퍼 챔버(50)에는 공정 챔버(40)들 간 또는 공정 챔버(40)들과 로드락 챔버(30) 간에 기판을 이송하는 이송 장치(60)가 구비된다. 이송 장치(60)는 트랜스퍼 챔버(50)의 바닥면에 설치되는 구동부(62)를 가진다. 구동부(62)의 상측에는 로봇 아암 어셈블리(64)가 회전 가능하게 결합된다. 로봇 아암 어셈블리(64)에는 기판을 지지하기 위한 듀얼 블레이드(Dual Blade) 타입의 제 1 및 제 2 아암 블레이드들(66a,66b)이 설치된다. 그리고, 제 1 아암 블레이드(66a)에는 처리 공정이 진행될 기판이 놓여지고, 제 2 아암 블레이드(66b)에는 처리 공정이 완료된 기판이 놓여진다.The transfer chamber 50 is provided with a transfer device 60 for transferring a substrate between the process chambers 40 or between the process chambers 40 and the load lock chamber 30. The transfer device 60 has a drive part 62 installed on the bottom surface of the transfer chamber 50. The robot arm assembly 64 is rotatably coupled to the upper side of the driver 62. The robot arm assembly 64 is provided with first and second arm blades 66a and 66b of a dual blade type for supporting a substrate. Subsequently, a substrate to be processed is placed on the first arm blade 66a, and a substrate on which the processing is completed is placed on the second arm blade 66b.

트랜스퍼 챔버(50)와 각각의 공정 챔버(40)들 사이에는 슬릿 밸브(42)가 구비되며, 슬릿 밸브(42)를 이용하여 기체 및 불순물 등의 출입을 단속함으로써 트랜스퍼 챔버(50)와 공정 챔버(40)들 간의 압력이 제어된다.A slit valve 42 is provided between the transfer chamber 50 and each of the process chambers 40, and the transfer chamber 50 and the process chamber are controlled by controlling the entry and exit of gas and impurities using the slit valve 42. The pressure between the 40 is controlled.

처리 공정이 진행될 기판을 공정 챔버(40)에 로딩하기 위해 트랜스퍼 챔버(50)와 공정 챔버(40)들 사이의 슬릿 밸브(42)를 개방하고, 기판이 안착된 제 1 아암 블레이드(66a)를 공정 챔버(40) 내로 진입시킬 경우, 트랜스퍼 챔버(50)와 공정 챔버(40)들 간의 압력 차이로 인하여 저진공 상태의 트랜스퍼 챔버(50) 측에서 고진공 상태의 공정 챔버(40) 측으로 와류 현상이 발생한다. The slit valve 42 between the transfer chamber 50 and the process chambers 40 is opened to load the substrate to be processed into the process chamber 40, and the first arm blade 66a on which the substrate is seated is opened. When entering into the process chamber 40, due to the pressure difference between the transfer chamber 50 and the process chamber 40, the vortex phenomenon occurs from the transfer chamber 50 in the low vacuum state toward the process chamber 40 in the high vacuum state. Occurs.

그런데, 듀얼 블레이드 타입의 아암 블레이드들(66a,66b)은 로봇 아암 어셈블리(64)에 의해 동일 방향으로 함께 구동되기 때문에, 공정이 완료된 기판이 안착되어 있는 제 2 아암 블레이드(66b)도 공정 챔버(40) 측으로 이동하게 된다. 이때 제 2 아암 블레이드(66b)는 와류 현상이 발생하는 슬릿 밸브(42)의 인접 영역까지 이동하고, 제 2 아암 블레이드(66b)에 안착되어 있는 공정 완료된 기판의 표면에는 와류에 의한 파티클이 발생하여 후속 공정에서 공정 불량을 유발시키는 문제점이 있었다.However, since the dual blade type arm blades 66a and 66b are driven together in the same direction by the robot arm assembly 64, the second arm blade 66b on which the substrate on which the process is completed is seated is also processed in the process chamber ( 40) move to the side. At this time, the second arm blade 66b moves to an adjacent region of the slit valve 42 where the vortex phenomenon occurs, and particles due to vortex are generated on the surface of the processed substrate seated on the second arm blade 66b. There was a problem that causes process failure in subsequent processes.

따라서, 본 발명은 상술한 바와 같은 종래의 통상적인 반도체 제조 장치가 가진 문제점을 감안하여 이를 해소하기 위해 창출된 것으로서, 본 발명의 목적은 공정 챔버와 트랜스퍼 챔버 간의 기판 이송 시 와류에 의한 기판의 파티클 오염을 방지할 수 있는 반도체 제조 장치 및 이를 이용한 반도체 기판의 이송 방법을 제공하기 위한 것이다.Therefore, the present invention was created to solve the problem in view of the problems of the conventional semiconductor manufacturing apparatus as described above, the object of the present invention is the particle of the substrate by the vortex during substrate transfer between the process chamber and the transfer chamber Disclosed is a semiconductor manufacturing apparatus capable of preventing contamination and a method of transferring a semiconductor substrate using the same.

상기한 목적을 달성하기 위하여 본 발명에 의한 반도체 제조 장치는, 공정 챔버들과; 상기 공정 챔버들로 이송될 기판을 전달받는 로드락 챔버와; 상기 로드락 챔버와 상기 공정 챔버들의 사이에 배치되며, 그리고 상기 공정 챔버들 간 또는 상기 공정 챔버들과 상기 로드락 챔버 간에 기판을 이송하는 이송 로봇이 구비된 트랜스퍼 챔버와; 상기 공정 챔버들로부터 상기 트랜스퍼 챔버로 언로딩되는 처리 공정이 진행된 기판을 보관하는 버퍼 유닛;을 포함하는 것을 특징으로 한다.In order to achieve the above object, a semiconductor manufacturing apparatus according to the present invention comprises: process chambers; A load lock chamber receiving a substrate to be transferred to the process chambers; A transfer chamber disposed between the load lock chamber and the process chambers and having a transfer robot for transferring a substrate between the process chambers or between the process chambers and the load lock chamber; And a buffer unit for storing the substrate on which the processing process of being unloaded from the process chambers to the transfer chamber is carried out.

본 발명의 일 특징에 따르면, 상기 버퍼 유닛은 상기 트랜스퍼 챔버의 내측에 설치되며, 상기 이송 로봇으로부터 전달되는 상기 처리 공정이 진행된 기판이 놓여지는 기판 지지 부재를 포함하는 것이 바람직하다. According to one feature of the invention, the buffer unit is preferably installed inside the transfer chamber, it is preferable to include a substrate support member on which the substrate on which the processing process transferred from the transfer robot is placed.

본 발명의 다른 특징에 따르면, 상기 버퍼 유닛은 상기 트랜스퍼 챔버의 일 측에 인접하여 배치되는 버퍼 챔버와; 상기 버퍼 챔버 내에 설치되며, 상기 이송 로봇에 의해 상기 버퍼 챔버 내로 전달되는 상기 처리 공정이 진행된 기판을 지지하는 기판 지지 부재;를 포함하는 것이 바람직하다.According to another feature of the invention, the buffer unit comprises a buffer chamber disposed adjacent to one side of the transfer chamber; And a substrate support member installed in the buffer chamber and supporting the substrate on which the processing process transferred to the buffer chamber by the transfer robot is performed.

본 발명의 일 측면에 따르면, 상기 버퍼 유닛은 상기 기판 지지 부재를 상하 방향으로 이동시키는 구동 부재를 더 포함하는 것이 바람직하다.According to one aspect of the invention, the buffer unit preferably further comprises a drive member for moving the substrate support member in the vertical direction.

그리고, 상기 구동 부재는 작동 유체의 압력에 의해 직선 왕복 운동하는 실린더를 포함하는 것이 바람직하다.Preferably, the drive member includes a cylinder that linearly reciprocates by the pressure of the working fluid.

상기한 목적을 달성하기 위하여 본 발명에 의한 반도체 기판의 이송 방법은, 반도체 설비 내의 챔버 간에 기판을 이송하는 반도체 기판의 이송 방법에 있어서, 제 1 기판을 공정 챔버로부터 트랜스퍼 챔버로 이송하는 단계와; 상기 트랜스퍼 챔버로 이송된 상기 제 1 기판을 상기 버퍼 유닛으로 로딩하는 단계와; 로드락 챔버로부터 상기 트랜스퍼 챔버로 이송된 제 2 기판을 상기 공정 챔버에 반입하는 단계와; 상기 버퍼 유닛에 로딩된 상기 제 1 기판을 언로딩하는 단계와; 언로딩된 상기 제 1 기판을 상기 로드락 챔버로 반송하는 단계;를 포함하는 것을 특징으로 한다.According to an aspect of the present invention, there is provided a method of transferring a semiconductor substrate, the method comprising: transferring a first substrate from a process chamber to a transfer chamber; Loading the first substrate transferred to the transfer chamber into the buffer unit; Loading a second substrate transferred from the load lock chamber into the transfer chamber into the process chamber; Unloading the first substrate loaded in the buffer unit; And conveying the unloaded first substrate to the load lock chamber.

이하 첨부된 도면을 참조하여 본 발명의 바람직한 실시예에 따른 반도체 제조 장치 및 이를 이용한 반도체 기판의 이송 방법을 상세히 설명하기로 한다. 우선 각 도면의 구성 요소들에 참조 부호를 부가함에 있어서, 동일한 구성 요소들에 대해서는 비록 다른 도면상에 표시되더라도 가능한 한 동일한 부호를 가지도록 하고 있음에 유의해야 한다. 또한, 본 발명을 설명함에 있어, 관련된 공지 구성 또는 기능에 대한 구체적인 설명이 본 발명의 요지를 흐릴 수 있다고 판단되는 경우에는 그 상세한 설명은 생략한다.Hereinafter, a semiconductor manufacturing apparatus and a method of transferring a semiconductor substrate using the same according to a preferred embodiment of the present invention will be described in detail with reference to the accompanying drawings. First, in adding reference numerals to the components of each drawing, it should be noted that the same reference numerals are assigned to the same components as much as possible, even if shown on different drawings. In addition, in describing the present invention, when it is determined that the detailed description of the related well-known configuration or function may obscure the gist of the present invention, the detailed description thereof will be omitted.

( 실시예 1)(Example 1)

도 2는 본 발명의 일 실시예에 의한 반도체 제조 장치의 개략적 구성도이고, 도 3은 도 2에 도시된 반도체 제조 장치의 개략적 단면도이며, 도 4는 도 2 및 도 3에 도시된 반도체 제조 장치의 개략적 동작 상태도이다.2 is a schematic configuration diagram of a semiconductor manufacturing apparatus according to an embodiment of the present invention, FIG. 3 is a schematic cross-sectional view of the semiconductor manufacturing apparatus illustrated in FIG. 2, and FIG. 4 is a semiconductor manufacturing apparatus illustrated in FIGS. 2 and 3. Is a schematic operation state diagram.

도 2 내지 도 4를 참조하면, 본 실시예에 따른 클러스터 타입의 반도체 제조 장치는, 로드 포트(100), 기판 이송 모듈(200), 로드락 챔버(300), 공정 챔버(400)들 및 트랜스퍼 챔버(500)를 포함한다. 2 to 4, the cluster type semiconductor manufacturing apparatus according to the present embodiment includes a load port 100, a substrate transfer module 200, a load lock chamber 300, process chambers 400, and a transfer. Chamber 500.

로드 포트(100)에는 후술할 공정 챔버(400)들에서 처리될 복수 개의 기판들이 적재된 용기(110)가 자동화 시스템(미도시)에 의해 놓여진다. 용기(110)는 동일한 공정이 수행되는 복수 개의 기판들을 소정 단위 개수로 수용하여 각 공정 설비로 이송하기 위한 수단으로, 전면 개방형 캐리어인 풉(Front Opening Unified Pod, FOUP)이 사용될 수 있다.In the load port 100, a container 110 loaded with a plurality of substrates to be processed in the process chambers 400 to be described later is placed by an automation system (not shown). The container 110 is a means for accommodating a plurality of substrates on which the same process is performed in a predetermined number of units and transferring the same to each process facility. A front opening carrier FOUP may be used.

용기(110)가 놓여진 로드 포트(100)에 인접하게 기판 이송 모듈(200)이 배치된다. 기판 이송 모듈(200)은 로봇(210)을 이용하여 로드 포트(100)에 놓여진 용기(110)로부터 후술할 로드락 챔버(300)로 기판을 반송(搬送)한다.The substrate transfer module 200 is disposed adjacent to the load port 100 on which the container 110 is placed. The substrate transfer module 200 transfers the substrate from the container 110 placed in the load port 100 to the load lock chamber 300 to be described later using the robot 210.

로드락 챔버(300)는 기판 이송 모듈(200)의 후단에 배치되어 기판 이송 모듈(200)에 의해 로드 포트(100)로부터 기판을 이송받는다. 로드락 챔버(300)로 기판의 이송이 완료되면, 콘트롤러(미도시)는 로드락 챔버(300)의 내부로 불순물이 들어가지 않도록 로드락 챔버(300) 내측을 감압하여 초기 저진공 상태로 만든다. The load lock chamber 300 is disposed at the rear end of the substrate transfer module 200 to receive the substrate from the load port 100 by the substrate transfer module 200. When the transfer of the substrate to the load lock chamber 300 is completed, the controller (not shown) reduces the pressure of the inside of the load lock chamber 300 to the initial low vacuum state so that impurities do not enter the load lock chamber 300. .

로드락 챔버(300)의 일 측에는 후술할 트랜스퍼 챔버(500)가 인접하여 배치되고, 트랜스퍼 챔버(500)의 둘레에는 공정 챔버(400)들이 일정 배열로 배치된다. 트랜스퍼 챔버(500)와 공정 챔버(400)들 및 로드락 챔버(300)의 사이에는 기판의 출입이 가능하도록 형성된 출입구(미도시)를 개폐하는 도어(410,420)가 설치된다. 도어(410,420)는 트랜스퍼 챔버(500)와 공정 챔버(400)들 및 로드락 챔버(300)의 사이에서 기체 및 불순물 등의 출입을 단속함으로써 챔버 간 압력 전달을 차단한다.A transfer chamber 500 to be described later is disposed adjacent to one side of the load lock chamber 300, and the process chambers 400 are arranged around the transfer chamber 500 in a predetermined arrangement. Doors 410 and 420 are installed between the transfer chamber 500, the process chambers 400, and the load lock chamber 300 to open and close an entrance and exit (not shown) formed to allow access of the substrate. The doors 410 and 420 block pressure between the chambers by intermittent entry and exit of gas and impurities between the transfer chamber 500, the process chambers 400, and the load lock chamber 300.

공정 챔버(400)들은 다양한 기판 공정을 수행하는 다수의 챔버들로 마련될 수 있다. 예를 들면, 공정 챔버(400)들은 식각 공정을 수행하는 챔버, 혹은 스트립 공정을 수행하는 챔버 등으로 구비될 수 있다. The process chambers 400 may be provided with a plurality of chambers for performing various substrate processes. For example, the process chambers 400 may be provided as a chamber for performing an etching process or a chamber for performing a strip process.

트랜스퍼 챔버(500)는 로드락 챔버(300)와 공정 챔버(400)들 사이에 배치되어 공정 챔버(400)들 간 또는 로드락 챔버(300)와 공정 챔버(400)들 간에 기판을 이송하는 역할을 수행한다. 트랜스퍼 챔버(500)의 내측에는 기판을 이송하기 위한 적어도 하나의 이송 로봇(510)이 구비된다.The transfer chamber 500 is disposed between the load lock chamber 300 and the process chambers 400 to transfer the substrate between the process chambers 400 or between the load lock chamber 300 and the process chambers 400. Do this. At least one transfer robot 510 for transferring a substrate is provided inside the transfer chamber 500.

이송 로봇(510)은 구동부(512), 로봇 아암 어셈블리(514) 및 아암 블레이드들(516,518)을 포함한다. 구동부(512)는 트랜스퍼 챔버(500)의 바닥면에 설치되며, 스테핑 모터 등의 구동 수단을 가진다. 구동부(512)의 상측에는 로봇 아암 어셈블리(514)가 회전 가능하게 결합된다. 로봇 아암 어셈블리(514)는 구동부(512)에 의해 동작이 제어된다. 로봇 아암 어셈블리(514)는 구동부(512)의 동력을 전달받아 기판을 이송하기 위한 동작을 수행할 수 있으며, 또한 상하 방향으로 상승 또는 하강 동작을 수행할 수 있다. 로봇 아암 어셈블리(514)의 양측에는 기판을 지지하기 위한 듀얼 블레이드(Dual Blade) 타입의 제 1 및 제 2 아암 블레이드들(516,518)이 각각 설치된다. 제 1 아암 블레이드(516)에는 처리 공정이 진행된 제 1 기판(W1)이 놓여지고, 제 2 아암 블레이드(518)에는 처리 공정이 진행될 제 2 기판(W2)이 놓여질 수 있다.The transfer robot 510 includes a drive 512, a robot arm assembly 514, and arm blades 516, 518. The driving unit 512 is installed on the bottom surface of the transfer chamber 500 and has driving means such as a stepping motor. The robot arm assembly 514 is rotatably coupled to the upper side of the driver 512. The robot arm assembly 514 is controlled by the drive 512. The robot arm assembly 514 may perform an operation for transferring a substrate by receiving power from the driving unit 512, and may also perform an upward or downward operation in the vertical direction. On both sides of the robot arm assembly 514 are first and second arm blades 516 and 518 of dual blade type for supporting a substrate, respectively. In the first arm blade 516, a first substrate W1 may be disposed, and in the second arm blade 518, a second substrate W2 may be disposed.

그리고, 트랜스퍼 챔버(500) 내의 이송 로봇(510)과 인접한 위치에 버퍼 유닛(600)이 배치된다. 버퍼 유닛(600)은 공정 챔버(400)들로부터 트랜스퍼 챔버(500)로 언로딩된 처리 공정이 진행된 기판(W1)을 임시 보관하는 역할을 수행한다. 버퍼 유닛(600)은 트랜스퍼 챔버(500)의 내측에 설치되는 기판 지지 부재(620)를 가지며, 기판 지지 부재(620)에는 이송 로봇(510)으로부터 전달되는 처리 공정이 진행된 기판(W1)이 놓여진다. 기판 지지 부재(620)는 그 하부에 마련된 구동 부재(640)에 의해 상하 방향으로 상승 또는 하강된다. 구동 부재(640)로는 작동 유체의 압력에 의해 직선 왕복 운동을 하는 공압 실린더 또는 유압 실린더가 사용될 수 있으며, 모터를 이용한 동력 전달 수단 등이 사용될 수도 있다.The buffer unit 600 is disposed at a position adjacent to the transfer robot 510 in the transfer chamber 500. The buffer unit 600 serves to temporarily store the substrate W1 in which the unloading process is performed from the process chambers 400 to the transfer chamber 500. The buffer unit 600 has a substrate supporting member 620 installed inside the transfer chamber 500, and the substrate supporting member 620 has a substrate W1 on which a processing process transferred from the transfer robot 510 is performed. Lose. The substrate supporting member 620 is raised or lowered in the up and down direction by the driving member 640 disposed below the substrate supporting member 620. As the driving member 640, a pneumatic cylinder or a hydraulic cylinder that linearly reciprocates by the pressure of the working fluid may be used, and a power transmission means using a motor may be used.

상술한 바와 같은 구성을 갖는 본 실시예에 따른 반도체 제조 장치를 이용하여 챔버들 간에 반도체 기판을 이송하는 과정을 설명하면 다음과 같다.Referring to the process of transferring the semiconductor substrate between the chambers using the semiconductor manufacturing apparatus according to the present embodiment having the configuration as described above are as follows.

먼저, 기판 처리 공정이 진행될 제 2 기판(W2)이 이송 로봇(510)의 제 2 아암 블레이드(518)에 안착되어 로드락 챔버(300)로부터 트랜스퍼 챔버(500)로 이송된다. 제 2 기판(W2)이 트랜스퍼 챔버(500) 내로 이송된 후, 공정 챔버(400) 내에서 기판 처리 공정이 진행된 제 1 기판(W1)이 이송 로봇(510)의 제 1 아암 블레이드(516)에 안착되어 트랜스퍼 챔버(500)로 이송된다. 그리고 이송 로봇(510)이 회전하여, 도 3에 도시된 바와 같이, 제 2 기판(W2)이 공정 챔버(400)의 도어(410) 방향을 향하도록 정렬된다. First, the second substrate W2 to be subjected to the substrate processing process is seated on the second arm blade 518 of the transfer robot 510 and transferred from the load lock chamber 300 to the transfer chamber 500. After the second substrate W2 is transferred into the transfer chamber 500, the first substrate W1 having undergone the substrate processing in the process chamber 400 is transferred to the first arm blade 516 of the transfer robot 510. It is seated and transferred to the transfer chamber 500. Then, the transfer robot 510 is rotated, and as shown in FIG. 3, the second substrate W2 is aligned to face the door 410 of the process chamber 400.

이후 제 1 기판(W1)은 제 1 아암 블레이드(516)로부터 버퍼 유닛(600)의 기판 지지 부재(620)로 로딩된다. 제 1 기판(W1)이 버퍼 유닛(600)에 로딩된 후, 처리 공정이 진행될 제 2 기판(W2)을 공정 챔버(400)에 반입시키기 위해 트랜스퍼 챔버(500)와 공정 챔버(400) 사이의 도어(410)가 개방되고, 제 2 기판(W2)이 안착된 제 2 아암 블레이드(518)가 공정 챔버(400) 내로 진입하여 제 2 기판(W2)이 공정 챔버(400)에 반입된다. 이때, 트랜스퍼 챔버(500)와 공정 챔버(400) 간의 압력 차이로 인하여 저진공 상태의 트랜스퍼 챔버(500) 측에서 고진공 상태의 공정 챔버(400) 측으로 와류 현상이 발생한다. 그러나 처리 공정이 진행된 제 1 기판(W1)은 와류 현상이 발생하는 영역으로부터 일정 거리 이격된 버퍼 유닛(600)의 기판 지지 부재(620)에 로딩되어 있기 때문에 와류 현상에 의해 발생하는 파티클 오염을 방지할 수 있게 된다. The first substrate W1 is then loaded from the first arm blade 516 into the substrate support member 620 of the buffer unit 600. After the first substrate W1 is loaded into the buffer unit 600, the transfer between the transfer chamber 500 and the process chamber 400 to bring the second substrate W2 to be processed into the process chamber 400. The door 410 is opened, the second arm blade 518 on which the second substrate W2 is seated enters the process chamber 400, and the second substrate W2 is loaded into the process chamber 400. At this time, due to the pressure difference between the transfer chamber 500 and the process chamber 400, a vortex phenomenon occurs from the transfer chamber 500 side in the low vacuum state to the process chamber 400 side in the high vacuum state. However, since the first substrate W1 subjected to the treatment process is loaded on the substrate support member 620 of the buffer unit 600 spaced apart from the region where the vortex phenomenon occurs, particle contamination caused by the vortex phenomenon is prevented. You can do it.

그리고, 버퍼 유닛(600)에 로딩된 제 1 기판(W1)은 다시 제 1 아암 블레이드(516)에 의해 언로딩된 후 로드락 챔버(300)로 반송된다.The first substrate W1 loaded in the buffer unit 600 is again unloaded by the first arm blade 516 and then conveyed to the load lock chamber 300.

( 실시예 2 )(Example 2)

도 5는 본 발명의 다른 실시예에 의한 반도체 제조 장치의 개략적 구성도이고, 도 6은 도 5에 도시된 반도체 제조 장치의 개략적 단면도이며, 도 7은 도 5 및 도 6에 도시된 반도체 제조 장치의 개략적 동작 상태도이다. 5 is a schematic configuration diagram of a semiconductor manufacturing apparatus according to another embodiment of the present invention, FIG. 6 is a schematic cross-sectional view of the semiconductor manufacturing apparatus illustrated in FIG. 5, and FIG. 7 is a semiconductor manufacturing apparatus illustrated in FIGS. 5 and 6. Is a schematic operation state diagram.

여기서, 도 2 내지 도 4에 도시된 구성 요소들과 동일한 구성 요소들은 참조 번호를 동일하게 기재하고, 이들에 대한 구체적인 설명은 생략한다.Here, the same components as those shown in FIGS. 2 to 4 are denoted by the same reference numerals, and detailed description thereof will be omitted.

도 5 내지 도 7을 참조하면, 버퍼 유닛(600)은 트랜스퍼 챔버(500)의 일 측에 인접하여 배치되는 버퍼 챔버(610)를 가진다. 버퍼 챔버(610)의 내측에는 기판 지지 부재(620)가 설치된다. 기판 지지 부재(620)에는 이송 로봇(510)으로부터 전달되는 처리 공정이 진행된 기판(W1)이 놓여진다. 기판 지지 부재(620)는 그 하부에 마련된 구동 부재(640)에 의해 상하 방향으로 상승 또는 하강된다. 구동 부재(640)로는 작동 유체의 압력에 의해 직선 왕복 운동을 하는 공압 실린더 또는 유압 실린더가 사용될 수 있으며, 모터를 이용한 동력 전달 수단 등이 사용될 수도 있다.5 to 7, the buffer unit 600 has a buffer chamber 610 disposed adjacent to one side of the transfer chamber 500. The substrate support member 620 is installed inside the buffer chamber 610. The substrate supporting member 620 is provided with a substrate W1 on which a processing process transferred from the transfer robot 510 is performed. The substrate supporting member 620 is raised or lowered in the up and down direction by the driving member 640 disposed below the substrate supporting member 620. As the driving member 640, a pneumatic cylinder or a hydraulic cylinder that linearly reciprocates by the pressure of the working fluid may be used, and a power transmission means using a motor may be used.

상기와 같은 구성을 가지는 버퍼 유닛이 구비된 본 발명의 다른 실시예에 따른 반도체 제조 장치를 이용하여 챔버들 간에 반도체 기판을 이송하는 과정을 설명하면 다음과 같다.Referring to the process of transferring the semiconductor substrate between the chambers using the semiconductor manufacturing apparatus according to another embodiment of the present invention having a buffer unit having the above configuration as follows.

먼저, 기판 처리 공정이 진행될 제 2 기판(W2)이 이송 로봇(510)의 제 2 아암 블레이드(518)에 안착되어 로드락 챔버(300)로부터 트랜스퍼 챔버(500)로 이송된다. 제 2 기판(W2)이 트랜스퍼 챔버(500) 내로 이송된 후, 공정 챔버(400) 내에서 기판 처리 공정이 진행된 제 1 기판(W1)이 이송 로봇(510)의 제 1 아암 블레이드(516)에 안착되어 트랜스퍼 챔버(500)로 이송된다. 그리고 이송 로봇(510)이 회전하여, 도 6에 도시된 바와 같이, 제 2 기판(W2)이 공정 챔버(400)의 도어(410) 방향을 향하도록 정렬된다. First, the second substrate W2 to be subjected to the substrate processing process is seated on the second arm blade 518 of the transfer robot 510 and transferred from the load lock chamber 300 to the transfer chamber 500. After the second substrate W2 is transferred into the transfer chamber 500, the first substrate W1 having undergone the substrate processing in the process chamber 400 is transferred to the first arm blade 516 of the transfer robot 510. It is seated and transferred to the transfer chamber 500. Then, the transfer robot 510 is rotated so that the second substrate W2 is aligned to face the door 410 of the process chamber 400, as shown in FIG. 6.

이후 제 1 기판(W1)이 안착된 제 1 아암 블레이드(516)가 버퍼 유닛(600)의 버퍼 챔버(610)에 진입되고, 제 1 기판(W1)은 제 1 아암 블레이드(516)로부터 기판 지지 부재(620)로 로딩된다. 제 1 기판(W1)이 버퍼 챔버(610) 내의 기판 지지 부재(620)에 로딩된 후, 처리 공정이 진행될 제 2 기판(W2)을 공정 챔버(400)에 반입시키기 위해 트랜스퍼 챔버(500)와 공정 챔버(400) 사이의 도어(410)가 개방되고, 제 2 기판(W2)이 안착된 제 2 아암 블레이드(518)가 공정 챔버(400) 내로 진입하여 제 2 기판(W2)이 공정 챔버(400)에 반입된다. 이때, 트랜스퍼 챔버(500)와 공정 챔버(400) 간의 압력 차이로 인하여 저진공 상태의 트랜스퍼 챔버(500) 측에서 고진공 상태의 공정 챔버(400) 측으로 와류 현상이 발생한다. 그러나 처리 공정이 진행된 제 1 기판(W1)은 와류 현상이 발생하는 영역으로부터 일정 거리 이격된 버퍼 유닛(600)의 기판 지지 부재(620)에 로딩되어 있기 때문에 와류 현상에 의해 발생하는 파티클 오염을 방지할 수 있게 된다. Thereafter, the first arm blade 516 on which the first substrate W1 is seated enters the buffer chamber 610 of the buffer unit 600, and the first substrate W1 supports the substrate from the first arm blade 516. Loaded into member 620. After the first substrate W1 is loaded into the substrate support member 620 in the buffer chamber 610, the transfer chamber 500 and the transfer chamber 500 may be used to bring the second substrate W2 into the process chamber 400 to be processed. The door 410 between the process chambers 400 is opened, and the second arm blade 518 on which the second substrate W2 is seated enters the process chamber 400 so that the second substrate W2 is formed in the process chamber ( Brought in). At this time, due to the pressure difference between the transfer chamber 500 and the process chamber 400, a vortex phenomenon occurs from the transfer chamber 500 side in the low vacuum state to the process chamber 400 side in the high vacuum state. However, since the first substrate W1 subjected to the treatment process is loaded on the substrate support member 620 of the buffer unit 600 spaced apart from the region where the vortex phenomenon occurs, particle contamination caused by the vortex phenomenon is prevented. You can do it.

그리고, 버퍼 챔버(610) 내의 기판 지지 부재(620)에 로딩된 제 1 기판(W1) 은 다시 제 1 아암 블레이드(516)에 의해 언로딩된 후 로드락 챔버(300)로 반송된다.The first substrate W1 loaded on the substrate support member 620 in the buffer chamber 610 is again unloaded by the first arm blade 516 and then conveyed to the load lock chamber 300.

( 실시예 3 )(Example 3)

도 8은 본 발명의 또 다른 실시예에 의한 반도체 제조 장치의 개략적 구성도이고, 도 9는 도 8에 도시된 반도체 제조 장치의 개략적 단면도이며, 도 10은 도 8 및 도 9 에 도시된 반도체 제조 장치의 개략적 동작 상태도이다. 8 is a schematic configuration diagram of a semiconductor manufacturing apparatus according to still another embodiment of the present invention, FIG. 9 is a schematic cross-sectional view of the semiconductor manufacturing apparatus illustrated in FIG. 8, and FIG. 10 is a semiconductor manufacturing apparatus illustrated in FIGS. 8 and 9. This is a schematic operational state diagram of the device.

여기서, 도 2 내지 도 4 또는 도 5 내지 도 7에 도시된 구성 요소들과 동일한 구성 요소들은 참조 번호를 동일하게 기재하고, 이들에 대한 구체적인 설명은 생략한다.Here, the same components as those shown in FIGS. 2 to 4 or 5 to 7 are denoted by the same reference numerals, and detailed description thereof will be omitted.

도 8 내지 도 10을 참조하면, 트랜스퍼 챔버(500)는 로드락 챔버(300)와 공정 챔버(400)들 사이에 배치되어 공정 챔버(400)들 간 또는 로드락 챔버(300)와 공정 챔버(400)들 간에 기판을 이송하는 역할을 수행한다. 트랜스퍼 챔버(500)의 내부에는 기판을 이송하기 위한 적어도 하나의 기판 이송 장치(700)가 구비된다.8 to 10, the transfer chamber 500 is disposed between the load lock chamber 300 and the process chambers 400 so as to be between the process chambers 400 or between the load lock chamber 300 and the process chambers ( It serves to transfer the substrate between the 400. At least one substrate transfer device 700 for transferring a substrate is provided in the transfer chamber 500.

기판 이송 장치(700)는 구동부(710), 로봇 아암들(720a,720b) 및 아암 블레이드들(730a,730b)을 포함한다. 구동부(710)는 스테핑 모터 등의 구동 수단을 가진다. 구동부(710)의 양측에는 제 1 로봇 아암(720a) 및 제 2 로봇 아암(720b)이 각각 연결되며, 제 1 및 제 2 로봇 아암들(720a,720b)은 구동부(710)에 의해 독립적으로 동작이 제어된다. 그리고 제 1 로봇 아암(720a)의 단부에는 이송 기판이 안착 지지되는 제 1 아암 블레이드(730a)이 구비되고, 제 2 로봇 아암(720b)의 단부에는 제 2 아암 블레이드(730b)가 구비된다.The substrate transfer apparatus 700 includes a driver 710, robot arms 720a and 720b, and arm blades 730a and 730b. The drive unit 710 has drive means such as a stepping motor. The first robot arm 720a and the second robot arm 720b are connected to both sides of the driving unit 710, and the first and second robot arms 720a and 720b operate independently by the driving unit 710. This is controlled. A first arm blade 730a on which the transfer substrate is seated and supported is provided at an end of the first robot arm 720a, and a second arm blade 730b is provided at an end of the second robot arm 720b.

제 1 및 제 2 로봇 아암들(720a,720b) 각각은 구동 링크 부재들(722,722') 및 종동 링크 부재들(724,724')을 가진다. 구동 링크 부재들(722,722')은 기판 이송 장치(700)의 설치 면에 대해 평행한 평면상에서 구동부(710)를 중심으로 서로 반대 방향으로 회전하는 것이 가능하도록 구동부(710)에 연결된다. 구동 링크 부재들(722,722')의 일 측 단부에는 종동 링크 부재들(724,724')의 일단이 각각 회전 가능하게 결합되고, 종동 링크 부재들(724,724')의 타단은 기판의 안착 면을 가지는 제 1 아암 블레이드(730a)의 양측에 회전 가능하게 연결된다. 이러한 구성에 의해 구동 링크 부재들(722,722')이 회전하면, 구동 링크 부재들(722,722')과 종동 링크 부재들(724,724')의 힌지 결합 축을 중심으로 종동 링크 부재들(724,724')이 회전하고, 종동 링크 부재들(724,724')에 연결된 제 1 아암 블레이드(730a)가 그 길이 방향으로 직선 운동을 하게 된다.Each of the first and second robot arms 720a, 720b has drive link members 722, 722 ′ and driven link members 724, 724 ′. The drive link members 722, 722 ′ are connected to the drive 710 so as to be able to rotate in opposite directions with respect to the drive 710 on a plane parallel to the mounting surface of the substrate transfer device 700. One end of the driven link members 724, 724 'is rotatably coupled to one end of the drive link members 722, 722', and the other end of the driven link members 724, 724 'has a first mounting surface of the substrate. It is rotatably connected to both sides of the arm blade 730a. With this configuration, when the drive link members 722, 722 'rotate, the driven link members 724, 724' rotate around the hinge coupling axis of the drive link members 722, 722 'and the driven link members 724, 724'. The first arm blade 730a, which is connected to the driven link members 724, 724 ', makes a linear motion in its longitudinal direction.

상기와 같은 구성을 가지는 기판 이송 장치가 구비된 본 발명의 또 다른 실시예에 따른 반도체 제조 장치를 이용하여 챔버들 간에 반도체 기판을 이송하는 과정을 설명하면 다음과 같다.Referring to the process of transferring the semiconductor substrate between the chambers using the semiconductor manufacturing apparatus according to another embodiment of the present invention provided with a substrate transfer apparatus having the above configuration as follows.

먼저, 기판 처리 공정이 진행될 제 2 기판(W2)이 기판 이송 장치(700)의 제 2 아암 블레이드(730b)에 안착되어 로드락 챔버(300)로부터 트랜스퍼 챔버(500)로 이송된다. 제 2 기판(W2)이 트랜스퍼 챔버(500) 내로 이송된 후, 공정 챔버(400) 내에서 기판 처리 공정이 진행된 제 1 기판(W1)이 기판 이송 장치(700)의 제 1 아암 블레이드(730a)에 안착되어 트랜스퍼 챔버(500)로 이송된다. 그리고 기판 이송 장치(700)가 회전하여, 도 9에 도시된 바와 같이, 제 2 기판(W2)이 공정 챔버(400) 의 도어(410) 방향을 향하도록 정렬된다. First, the second substrate W2 to be subjected to the substrate treatment process is seated on the second arm blade 730b of the substrate transfer apparatus 700 and is transferred from the load lock chamber 300 to the transfer chamber 500. After the second substrate W2 is transferred into the transfer chamber 500, the first substrate W1 having the substrate processing process in the process chamber 400 is the first arm blade 730a of the substrate transfer apparatus 700. It is seated in and transferred to the transfer chamber 500. And the substrate transfer apparatus 700 is rotated, as shown in FIG. 9, the second substrate W2 is aligned to face the door 410 of the process chamber 400.

이후 처리 공정이 진행될 제 2 기판(W2)을 공정 챔버(400)에 반입시키기 위해 트랜스퍼 챔버(500)와 공정 챔버(400) 사이의 도어(410)가 개방되고, 제 2 기판(W2)이 안착된 제 2 아암 블레이드(730b)가 공정 챔버(400) 내로 진입하여 제 2 기판(W2)이 공정 챔버(400)에 반입된다. 이때, 트랜스퍼 챔버(500)와 공정 챔버(400) 간의 압력 차이로 인하여 저진공 상태의 트랜스퍼 챔버(500) 측에서 고진공 상태의 공정 챔버(400) 측으로 와류 현상이 발생한다. 그러나 처리 공정이 진행된 제 1 기판(W1)은 제 2 로봇 아암(720b)과 독립적으로 구동되는 제 1 로봇 아암(720a)의 제 1 아암 블레이드(730a)에 안착 지지되어 와류 현상이 발생하는 영역으로부터 일정 거리 이격되어 있기 때문에 와류 현상에 의해 발생하는 파티클 오염을 방지할 수 있게 된다. Thereafter, the door 410 between the transfer chamber 500 and the process chamber 400 is opened to bring the second substrate W2 to be processed into the process chamber 400, and the second substrate W2 is seated. The second arm blade 730b enters the process chamber 400 and the second substrate W2 is loaded into the process chamber 400. At this time, due to the pressure difference between the transfer chamber 500 and the process chamber 400, a vortex phenomenon occurs from the transfer chamber 500 side in the low vacuum state to the process chamber 400 side in the high vacuum state. However, the first substrate W1 subjected to the processing process is mounted on and supported by the first arm blade 730a of the first robot arm 720a which is driven independently of the second robot arm 720b so that a vortex phenomenon occurs. Since it is spaced a certain distance, it is possible to prevent particle contamination caused by the vortex phenomenon.

그리고, 제 1 로봇 아암(720a)이 제 2 로봇 아암(720b)과 독립적으로 구동되어 제 1 로봇 아암(720a)의 제 1 아암 블레이드(730a)에 안착 지지된 제 1 기판(W1)은 로드락 챔버(300)로 반송된다.Then, the first robot arm 720a is driven independently of the second robot arm 720b so that the first substrate W1 seated and supported by the first arm blade 730a of the first robot arm 720a is loaded lock. It is conveyed to the chamber 300.

이상의 설명은 본 발명의 기술 사상을 예시적으로 설명한 것에 불과한 것으로서, 본 발명이 속하는 기술 분야에서 통상의 지식을 가진 자라면 본 발명의 본질적인 특성에서 벗어나지 않는 범위에서 다양한 수정 및 변형이 가능할 것이다. 따라서, 본 발명에 개시된 실시예들은 본 발명의 기술 사상을 한정하기 위한 것이 아니라 설명하기 위한 것이고, 이러한 실시예에 의하여 본 발명의 기술 사상의 범위가 한정되는 것은 아니다. 본 발명의 보호 범위는 아래의 청구범위에 의하여 해석 되어야 하며, 그와 동등한 범위 내에 있는 모든 기술 사상은 본 발명의 권리범위에 포함되는 것으로 해석되어야 할 것이다.The above description is merely illustrative of the technical idea of the present invention, and those skilled in the art to which the present invention pertains may make various modifications and changes without departing from the essential characteristics of the present invention. Therefore, the embodiments disclosed in the present invention are not intended to limit the technical idea of the present invention but to describe the present invention, and the scope of the technical idea of the present invention is not limited by these embodiments. The protection scope of the present invention should be interpreted by the following claims, and all technical ideas within the equivalent scope should be interpreted as being included in the scope of the present invention.

이상에서 설명한 바와 같이 본 발명에 의하면, 반도체 설비 내의 챔버들 간 기판 이송 시 와류에 의한 기판의 파티클 오염을 방지하여, 공정 불량을 사전에 예방함으로써, 반도체 제조 공정의 생산성을 향상시킬 수 있다.As described above, according to the present invention, it is possible to prevent particle contamination of the substrate due to vortices when the substrate is transferred between chambers in the semiconductor facility, and to prevent process defects in advance, thereby improving productivity of the semiconductor manufacturing process.

Claims (6)

공정 챔버들과;Process chambers; 상기 공정 챔버들로 이송될 기판을 전달받는 로드락 챔버와;A load lock chamber receiving a substrate to be transferred to the process chambers; 상기 로드락 챔버와 상기 공정 챔버들의 사이에 배치되며, 그리고 상기 공정 챔버들 간 또는 상기 공정 챔버들과 상기 로드락 챔버 간에 기판을 이송하는 이송 로봇이 구비된 트랜스퍼 챔버와;A transfer chamber disposed between the load lock chamber and the process chambers and having a transfer robot for transferring a substrate between the process chambers or between the process chambers and the load lock chamber; 상기 공정 챔버들로부터 상기 트랜스퍼 챔버로 언로딩되는 처리 공정이 진행된 기판을 보관하는 버퍼 유닛;을 포함하는 것을 특징으로 하는 반도체 제조 장치.And a buffer unit for storing a substrate on which a processing process of being unloaded from the process chambers into the transfer chamber is carried out. 제 1 항에 있어서,The method of claim 1, 상기 버퍼 유닛은,The buffer unit, 상기 트랜스퍼 챔버의 내측에 설치되며, 상기 이송 로봇으로부터 전달되는 상기 처리 공정이 진행된 기판이 놓여지는 기판 지지 부재를 포함하는 것을 특징으로 하는 반도체 제조 장치.And a substrate support member disposed inside the transfer chamber and on which the substrate on which the processing step transferred from the transfer robot has progressed is placed. 제 1 항에 있어서,The method of claim 1, 상기 버퍼 유닛은,The buffer unit, 상기 트랜스퍼 챔버의 일 측에 인접하여 배치되는 버퍼 챔버와;A buffer chamber disposed adjacent to one side of the transfer chamber; 상기 버퍼 챔버 내에 설치되며, 상기 이송 로봇에 의해 상기 버퍼 챔버 내로 전달되는 상기 처리 공정이 진행된 기판을 지지하는 기판 지지 부재;를 포함하는 것을 특징으로 하는 반도체 제조 장치.And a substrate support member installed in the buffer chamber, the substrate supporting member supporting the substrate on which the processing process transferred by the transfer robot into the buffer chamber has been performed. 제 2 항 또는 제 3 항에 있어서,The method of claim 2 or 3, 상기 버퍼 유닛은,The buffer unit, 상기 기판 지지 부재를 상하 방향으로 이동시키는 구동 부재를 더 포함하는 것을 특징으로 하는 반도체 제조 장치.And a drive member for moving the substrate support member in the vertical direction. 제 4 항에 있어서,The method of claim 4, wherein 상기 구동 부재는 작동 유체의 압력에 의해 직선 왕복 운동하는 실린더를 포함하는 것을 특징으로 하는 반도체 제조 장치.And the drive member comprises a cylinder which linearly reciprocates under the pressure of the working fluid. 반도체 설비 내의 챔버 간에 기판을 이송하는 반도체 기판의 이송 방법에 있어서,In the transfer method of a semiconductor substrate for transferring a substrate between chambers in a semiconductor facility, 제 1 기판을 공정 챔버로부터 트랜스퍼 챔버로 이송하는 단계와;Transferring the first substrate from the process chamber to the transfer chamber; 상기 트랜스퍼 챔버로 이송된 상기 제 1 기판을 버퍼 유닛으로 로딩하는 단계와;Loading the first substrate transferred to the transfer chamber into a buffer unit; 로드락 챔버로부터 상기 트랜스퍼 챔버로 이송된 제 2 기판을 상기 공정 챔버에 반입하는 단계와;Loading a second substrate transferred from the load lock chamber into the transfer chamber into the process chamber; 상기 버퍼 유닛에 로딩된 상기 제 1 기판을 언로딩하는 단계와;Unloading the first substrate loaded in the buffer unit; 언로딩된 상기 제 1 기판을 상기 로드락 챔버로 반송하는 단계;를 포함하는 것을 특징으로 하는 반도체 기판의 이송 방법.Transferring the unloaded first substrate to the load lock chamber.
KR1020060042083A 2006-05-10 2006-05-10 Semiconductor manufacturing device and method for transfering semiconductor substrates KR20070109298A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020060042083A KR20070109298A (en) 2006-05-10 2006-05-10 Semiconductor manufacturing device and method for transfering semiconductor substrates

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020060042083A KR20070109298A (en) 2006-05-10 2006-05-10 Semiconductor manufacturing device and method for transfering semiconductor substrates

Publications (1)

Publication Number Publication Date
KR20070109298A true KR20070109298A (en) 2007-11-15

Family

ID=39063828

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020060042083A KR20070109298A (en) 2006-05-10 2006-05-10 Semiconductor manufacturing device and method for transfering semiconductor substrates

Country Status (1)

Country Link
KR (1) KR20070109298A (en)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101430835B1 (en) * 2012-09-20 2014-08-18 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 Semiconductor apparatus with inner wafer carrier buffer and method
CN112331547A (en) * 2020-10-26 2021-02-05 北京北方华创微电子装备有限公司 Semiconductor processing equipment
CN112331548A (en) * 2020-10-26 2021-02-05 北京北方华创微电子装备有限公司 Semiconductor processing equipment
CN114256050A (en) * 2020-09-23 2022-03-29 细美事有限公司 Apparatus for processing substrate
CN115910886A (en) * 2022-12-28 2023-04-04 深圳市纳设智能装备有限公司 Transmission cavity, semiconductor equipment and wafer transmission method

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101430835B1 (en) * 2012-09-20 2014-08-18 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 Semiconductor apparatus with inner wafer carrier buffer and method
CN114256050A (en) * 2020-09-23 2022-03-29 细美事有限公司 Apparatus for processing substrate
CN112331547A (en) * 2020-10-26 2021-02-05 北京北方华创微电子装备有限公司 Semiconductor processing equipment
CN112331548A (en) * 2020-10-26 2021-02-05 北京北方华创微电子装备有限公司 Semiconductor processing equipment
CN112331547B (en) * 2020-10-26 2024-06-21 北京北方华创微电子装备有限公司 Semiconductor processing equipment
CN112331548B (en) * 2020-10-26 2024-06-21 北京北方华创微电子装备有限公司 Semiconductor processing equipment
CN115910886A (en) * 2022-12-28 2023-04-04 深圳市纳设智能装备有限公司 Transmission cavity, semiconductor equipment and wafer transmission method
CN115910886B (en) * 2022-12-28 2024-04-16 深圳市纳设智能装备股份有限公司 Transmission cavity, semiconductor device and wafer transmission method

Similar Documents

Publication Publication Date Title
JP4912253B2 (en) Substrate transport apparatus, substrate processing apparatus, and substrate transport method
KR100803559B1 (en) A unit and method for transferring substrates, and an apparatus and method for treating substrates with the unit
KR100583727B1 (en) Apparatus for manufacturing substrates and module for transferring substrates used in the apparatus
US6331095B1 (en) Transportation system and processing apparatus employing the transportation system
JP2008016815A (en) Substrate conveyance device, and substrate processing equipment using the same
JP4916140B2 (en) Vacuum processing system
US20080175694A1 (en) Unit and method for transferring substrates and apparatus and method for treating substrates with the unit
KR101015228B1 (en) Multi-chamber system for manufacturing semiconductor device and method for substrate processing in the system
KR102163605B1 (en) Substrate processing apparatus
US10971382B2 (en) Loadlock module and semiconductor manufacturing apparatus including the same
US10811289B2 (en) Substrate transfer apparatus and substrate processing system comprising plural connection units arranged side by side in a vertical and lateral directions along one side surface of a transfer chamber
KR20070109298A (en) Semiconductor manufacturing device and method for transfering semiconductor substrates
CN104733351A (en) Substrate Processing Module, Substrate Processing Apparatus Including the same, and Substrate Transferring Method
KR100921519B1 (en) Substrate transfering apparatus and facility for treating with the same, and method for trasfering substrate with the apparatus
KR100553685B1 (en) Transfer apparatus and method for unloading semiconductor devices from a container
KR20070056416A (en) Apparatus and method for transferring substrates
KR20080011903A (en) Apparatus for transfering substrates, apparatus for treating substrates, and method for cooling substrates
KR20060131209A (en) Substrate processing apparatus
US6425477B1 (en) Substrate conveyance system
KR100806250B1 (en) A foup stocker for loadlock chamber direct-coupled to load port
KR100717990B1 (en) A transportation system for processing semiconductor material
KR100902614B1 (en) Semiconductor manufacturing apparatus
KR100854410B1 (en) A Transportation System For Processing Semiconductor Material
US11139185B2 (en) Substrate processing device and substrate transfer method
JP2000144430A (en) Vacuum treating device and multichamber type vacuum treating device

Legal Events

Date Code Title Description
WITN Withdrawal due to no request for examination