KR20110031491A - Dielectric cap above floating gate - Google Patents

Dielectric cap above floating gate Download PDF

Info

Publication number
KR20110031491A
KR20110031491A KR1020117003097A KR20117003097A KR20110031491A KR 20110031491 A KR20110031491 A KR 20110031491A KR 1020117003097 A KR1020117003097 A KR 1020117003097A KR 20117003097 A KR20117003097 A KR 20117003097A KR 20110031491 A KR20110031491 A KR 20110031491A
Authority
KR
South Korea
Prior art keywords
floating gate
dielectric cap
gate
dielectric
forming
Prior art date
Application number
KR1020117003097A
Other languages
Korean (ko)
Other versions
KR101587198B1 (en
Inventor
다나 리
헨리 친
제임스 카이
다카하시 휘트니 오리모토
비노드 알. 퍼라야스
조지 마타미스
Original Assignee
샌디스크 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US12/170,327 external-priority patent/US7919809B2/en
Priority claimed from US12/170,321 external-priority patent/US7915124B2/en
Application filed by 샌디스크 코포레이션 filed Critical 샌디스크 코포레이션
Publication of KR20110031491A publication Critical patent/KR20110031491A/en
Application granted granted Critical
Publication of KR101587198B1 publication Critical patent/KR101587198B1/en

Links

Images

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/30Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the memory core region
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • H01L29/4011Multistep manufacturing processes for data storage electrodes
    • H01L29/40114Multistep manufacturing processes for data storage electrodes the electrodes comprising a conductor-insulator-conductor-insulator-semiconductor structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42324Gate electrodes for transistors with a floating gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66825Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a floating gate
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B69/00Erasable-and-programmable ROM [EPROM] devices not provided for in groups H10B41/00 - H10B63/00, e.g. ultraviolet erasable-and-programmable ROM [UVEPROM] devices

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Ceramic Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Non-Volatile Memory (AREA)
  • Semiconductor Memories (AREA)

Abstract

비휘발성 저장 요소들의 세트를 포함하는 메모리 시스템이 개시된다. 소정의 메모리 셀은 플로팅 게이트 위에 유전체 캡을 갖는다. 일 실시예에서, 이러한 유전체 캡은 플로팅 게이트와 컨포멀한(conformal) IPD 층 사이에 존재한다. 이러한 유전체 캡은 플로팅 게이트와 컨트롤 게이트 간의 누설 전류를 감소시킨다. 유전체 캡은 플로팅 게이트의 상부에서의 전기장의 세기를 감소시킴으로써 누설 전류의 감소를 달성하는데, 이러한 플로팅 게이트의 상부는, 좁은 스템부(stem)를 갖는 플로팅 게이트에 대한 유전체 캡이 없는 경우, 전기장이 가장 커지는 곳이다. A memory system is disclosed that includes a set of nonvolatile storage elements. Certain memory cells have a dielectric cap over the floating gate. In one embodiment, this dielectric cap is between the floating gate and the conformal IPD layer. This dielectric cap reduces the leakage current between the floating gate and the control gate. The dielectric cap achieves a reduction in leakage current by reducing the strength of the electric field at the top of the floating gate, which, in the absence of a dielectric cap for the floating gate having a narrow stem, causes the electric field to It is the largest place.

Description

플로팅 게이트 위의 유전체 캡{DIELECTRIC CAP ABOVE FLOATING GATE}Dielectric cap on floating gate {DIELECTRIC CAP ABOVE FLOATING GATE}

본 발명은 비휘발성 메모리 장치에 관한 것이다.The present invention relates to a nonvolatile memory device.

반도체 메모리 장치는 다양한 전자 장치에서 수요가 늘어나고 있다. 예를 들어, 비휘발성 반도체 메모리는 휴대폰, 디지탈 카메라, PDA, 휴대용 컴퓨터, 비휴대용 컴퓨터와 같은 장치들에서 사용되어 지고 있다. EEPROM(Electrical Erasable Programmable Read Only Memory)과 플래쉬 메모리는 가장 널리 사용되는 비휘발성 메모리 장치들 중에 속한다. Semiconductor memory devices are increasing in demand in various electronic devices. For example, nonvolatile semiconductor memory is used in devices such as mobile phones, digital cameras, PDAs, portable computers, and non-portable computers. Electrical Erasable Programmable Read Only Memory (EEPROM) and flash memory are among the most widely used nonvolatile memory devices.

전형적인 EEPROM과 플래쉬 메모리는 반도체 기판의 채널 영역 위에 제공되는 플로팅 게이트를 가진 메모리 셀을 이용한다. 플로팅 게이트는 유전체(dielectric) 영역에 의해서 채널 영역과 분리된다. 예를 들어, 채널 영역은 소오스 영역과 드레인 영역 사이의 P웰에 위치한다. 컨트롤 게이트는 게이트간 유전체(inter-gate dielectric)나 인터폴리 유전체(inter poly dielectric)와 같은 또 다른 유전체에 의해서 플로팅 게이트와 분리된다. 메모리 셀의 문턱 전압(threshod voltage)은 플로팅 게이트에서 보유한 전하량에 의해서 컨트롤된다. 다시 말하면, 게이트상의 전하의 레벨은 소오스와 드레인 간에 도통이 되도록 메모리 셀이 턴온 되기에 앞서 컨트롤 게이트에 인가되어야 할 최소량의 전압을 결정한다.Typical EEPROMs and flash memories utilize memory cells with floating gates provided over a channel region of a semiconductor substrate. The floating gate is separated from the channel region by a dielectric region. For example, the channel region is located in the P well between the source region and the drain region. The control gate is separated from the floating gate by another dielectric such as an inter-gate dielectric or an inter poly dielectric. The threshold voltage of the memory cell is controlled by the amount of charge retained at the floating gate. In other words, the level of charge on the gate determines the minimum amount of voltage that must be applied to the control gate before the memory cell is turned on so that the source and drain are conductive.

일부 EEPROM과 플래쉬 메모리 장치에서는 플로팅 게이트가 두 범위의 전하를 저장하도록 되어 있어서 메모리 셀은 (예컨대, 이진 메모리 셀과 같이) 두 가지 상태를 기록하거나 소거할 수 있도록 되어 있다. 다중 비트나 다중 상태의 플래쉬 메모리 셀은 하나의 장치내에서의 다중의 구별되는 문턱 전압의 범위들을 식별함으로써 구현된다. 각각의 구별되는 문턱 전압의 범위는 데이터 비트값들의 세트에 대한 소정 값들에 상응한다. 다중 상태 메모리 셀의 옳바른 데이터 저장을 달성하기 위해서 문턱 전압 레벨들의 다중 범위들은 메모리 셀의 문턱 전압의 레벨이 모호하지않게 읽거나, 프로그래밍하거나 또는 소거할 수 있도록 충분한 여유도(margin)로 서로로부터 분리되어야만 한다. In some EEPROM and flash memory devices, the floating gate stores two ranges of charge so that the memory cell can write or erase two states (such as a binary memory cell). Multi-bit or multi-state flash memory cells are implemented by identifying multiple distinct threshold voltage ranges within one device. Each distinct threshold voltage range corresponds to predetermined values for the set of data bit values. In order to achieve correct data storage of multi-state memory cells, multiple ranges of threshold voltage levels are separated from each other with sufficient margin so that the level of the threshold voltage of the memory cell can be unambiguously read, programmed or erased. Should be.

전형적인 플래쉬 메모리 장치를 프로그래밍할 때, 프로그램 전압을 컨트롤 게이트에 인가하고 비트 라인은 접지시킨다. 컨트롤 게이트와 플로팅 게이트 간의 용량결합(capacitive coupling)에 의해서 컨트롤 게이트에서의 프로그램 전압이 플로팅 게이트에 결합되어 플로팅 게이트의 전압을 유발한다. 플로팅 게이트 전압은 전자들이 채널로부터 플로팅 게이트로 주입되도록 한다. 플로팅 게이트에 전자들이 축적되면 플로팅 게이트는 음전하를 띠게 되고 컨트롤 게이트에서 볼때 메모리 셀의 문턱 전압이 상승한다. 메모리 셀의 프로그램된 상태를 유지하기 위해서는 플로팅 게이트상의 전하량이 시간에 걸쳐 그대로 유지되어야할 필요가 있다. 하지만, 전하가 인터폴리 유전체를 통하여 플로팅 게이트로부터 컨트롤 게이트로 누설될 수 있는바, 이러한 전류를 누설전류(leakage current)라고 한다. When programming a typical flash memory device, a program voltage is applied to the control gate and the bit line is grounded. By capacitive coupling between the control gate and the floating gate, the program voltage at the control gate is coupled to the floating gate to cause the voltage of the floating gate. The floating gate voltage causes electrons to be injected from the channel into the floating gate. When electrons accumulate in the floating gate, the floating gate becomes negatively charged and the threshold voltage of the memory cell increases when viewed from the control gate. In order to maintain the programmed state of the memory cell, the amount of charge on the floating gate needs to be maintained over time. However, charge can leak from the floating gate to the control gate through the interpoly dielectric, and this current is called leakage current.

최근의 플래쉬 메모리 기술에 있어서 고속, 고밀도, 저전력 동작을 실현하기 위해서는 짧은 프로그램 및 소거 시간과 낮은 동작 전압이 극복해야할 주된 난제가 되고 있다. 따라서 메모리 셀의 플로팅 게이트와 컨트롤 게이트 간의 용량 결합을 증가시켜야할 필요성이 점점 증가하는 동시에 전자들이 플로팅 게이트로부터 컨트롤 게이트로 빠져나가는 차단할 필요성도 높아지고 있다. 결합률(coupling ratio)에 영향을 주는 플로팅 게이트와 컨트롤 게이트 간의 커패시턴스는 두 게이트간의 인터폴리 유전체(이하 'IPD'라고 함)의 두께와 IPD의 상대 유전율(relative permittivity) 또는 유전상수(dielectric constant) K에 의해 결정된다. 높은 결합률을 만들 수 있는 한 방법은 얇은 IPD를 이용하는 방법이지만, 너무 얇은 IPD를 사용할 경우 누설전류가 바람직하지 않게 커지는 문제점이 있다.In recent flash memory technologies, short program and erase times and low operating voltages present major challenges to achieve high speed, high density, and low power operation. Thus, there is an increasing need to increase the capacitive coupling between the floating gate and the control gate of a memory cell, while at the same time increasing the need to block electrons from escaping from the floating gate to the control gate. The capacitance between the floating gate and the control gate, which affects the coupling ratio, is the thickness of the interpoly dielectric (hereinafter referred to as 'IPD') between the two gates and the relative permittivity or dielectric constant K of the IPD. Determined by One way to create a high coupling rate is to use a thin IPD, but when using too thin IPD, the leakage current becomes undesirably large.

비휘발성 메모리 구조가 작아질수록 누설전류는 더 어려운 문제가 된다. 누설전류가 생기는 한가지 원인은 전압이 컨트롤 게이트에 인가될 때 IPD의 여러 부분에서 생기는 전기장의 세기이다. 특히 IPD의 특정 영역에서는 전기장의 세기가 증대되는데 이러한 경우 더 큰 누설전류가 발생하게 된다. 도 1a를 보면 플로팅 게이트(102)와 컨트롤 게이트(104)의 뾰족한 모서리 부근의 IPD(106)에서 전기장이 가장 강하다. A가 플로팅 게이트(102)의 곡률반경이라고 할 때, IPD(106)의 모서리 부근의 영역을 둥글게 만들면 전기장의 세기는 1/A에 비례한다. 주목할 사항으로서, 모서리를 뾰족하게 하면 아주 작은 곡률반경을 가지게 되므로 전기장의 세기가 강해진다.The smaller the nonvolatile memory structure is, the more difficult the leakage current becomes. One source of leakage current is the strength of the electric field in various parts of the IPD when voltage is applied to the control gate. In particular, in certain areas of the IPD, the strength of the electric field increases, in which case a greater leakage current occurs. 1A, the electric field is strongest at IPD 106 near the sharp edges of floating gate 102 and control gate 104. When A is the radius of curvature of the floating gate 102, if the area near the edge of the IPD 106 is rounded, the intensity of the electric field is proportional to 1 / A. Note that sharp edges have a very small radius of curvature, which results in a stronger electric field.

플로팅 게이트(102)의 모서리에 있는 IPD(106)에서의 전기장의 세기를 감소시키기 위해 도 1b에 도시된 것처럼 플로팅 게이트(102) 상부의 곡률반경을 증가시킬 수 있다. 주목할 사항으로서, 이 경우 컨트롤 게이트(104)의 곡률도 변화된다. 전기장의 세기를 감소시킴으로써 누설전류가 감소된다. 다만, 장치 구조의 싸이즈를 축소시키기 위해서는 도 1c에 도시된 것처럼 플로팅 게이트(102)의 폭도 줄이는 것이 바람직하다. 주목할 사항으로서, 도 1c에서 폴리실리콘 플로팅 게이트(102)의 상부를 지나 그 윗부분도 둥글게 만들어져야 한다. 플로팅 게이트(102)를 둥글게 만들 때 가능한 범위는 플로팅 게이트(102)의 폭에 의해서 제한된다. 다시 말하면, 가능한 곡률반경 A의 최대값은 플로팅 게이트(102)의 폭의 절반으로 제한된다. 주목할 사항으로서, 플로팅 게이트(102)의 폭 2A가 더 줄어들게 되면 가능한 곡률반경의 최대값 역시 줄어들게 된다. 그러므로 메모리 셀의 피쳐 싸이즈(feature size)가 계속 줄어들게 되면 IPD(106)에서의 전기장과 그에 따른 누설전류는 다루기가 더욱 어려워진다. In order to reduce the intensity of the electric field at the IPD 106 at the corner of the floating gate 102, the radius of curvature on top of the floating gate 102 can be increased as shown in FIG. 1B. Note that the curvature of the control gate 104 also changes in this case. By reducing the strength of the electric field, the leakage current is reduced. However, in order to reduce the size of the device structure, it is preferable to reduce the width of the floating gate 102 as shown in FIG. 1C. Note that, in FIG. 1C, the top portion of the polysilicon floating gate 102 must also be rounded. The possible range when rounding the floating gate 102 is limited by the width of the floating gate 102. In other words, the maximum possible radius of curvature A is limited to half the width of the floating gate 102. Note that as the width 2A of the floating gate 102 is further reduced, the maximum possible radius of curvature is also reduced. Therefore, as the feature size of the memory cell continues to decrease, the electric field and therefore leakage current in the IPD 106 becomes more difficult to handle.

전기장의 세기를 줄이는 한가지 방법은 IPD(106)를 높은 유전상수를 가지는 얇은 필름으로 형성하는 것이다. 다만, 이러한 필름은 작업하는데 어려움이 있기 때문에 바람직하지 못하다. 예를 들면, 상유전체(paraelectric) 물질은 실리콘 다이옥사이드에 비해 최소 두배 이상의 유전 상수를 가지지만 몇 가지 문제점이 있어서 게이트 유전체로서의 사용이 제한된다. 한가지 문제점은 산소 확산(oxygen diffusion)이다. 반도체 제조공정 중 고온공정을 거치는 중에 산소가 IPD(106)로부터 IPD(106)와 플로팅 게이트(102)의 인터페이스와 IPD(106)와 컨트롤 게이트(104)의 인터페이스로 확산되어 바람직하지 않은 산화물층을 형성하여 유전체의 전반적인 커패시턴스를 떨어뜨리게 된다. 따라서 높은 유전상수를 가지는 상유전체 물질의 영향이 줄어들게 된다.One way to reduce the strength of the electric field is to form the IPD 106 into a thin film having a high dielectric constant. However, such a film is not preferable because it is difficult to work. For example, paraelectric materials have dielectric constants at least twice that of silicon dioxide, but there are some problems that limit their use as gate dielectrics. One problem is oxygen diffusion. Oxygen diffuses from the IPD 106 to the interface of the IPD 106 and the floating gate 102 and the interface of the IPD 106 and the control gate 104 during the high temperature process of the semiconductor manufacturing process. To lower the overall capacitance of the dielectric. Therefore, the influence of high dielectric constant dielectric material is reduced.

플래쉬 메모리 장치에 사용되는 것으로 금속산화물(metal oxide)도 역시 높은 K값을 가지는 물질로서 제안되어져 왔다. 금속산화물, 특히 Al2O3 와 같은 물질을 사용하면 누설전류를 작게 만든다. 게다가 금속산화물은 공정 통합시 고온에 잘 견디는 특성을 가진다. 하지만, 증착된 고유전상수의 금속산화물은 비화학량적인(non-stoichiometric) 구성을 가지기 때문에 유전체의 벌크(bulk)에서 그리고 유전체와 반도체의 인터페이스에서 전기적인 결함이나 트랩을 유발하기 쉽다. 이러한 결합이나 트랩은 유전체를 통한 전도를 증대시켜 절연파괴강도(dielectric breakdown strength)를 감소시킨다.Metal oxides, which are used in flash memory devices, have also been proposed as materials having high K values. The use of metal oxides, especially Al 2 O 3 , makes the leakage current small. In addition, metal oxides have high temperature resistance in process integration. However, the deposited metal oxides of the high dielectric constant have a non-stoichiometric composition, which is likely to cause electrical defects or traps in the bulk of the dielectric and at the interface between the dielectric and the semiconductor. These bonds or traps increase the conduction through the dielectric, reducing the dielectric breakdown strength.

IPD에서의 전기장을 감소시키는 또 다른 방법은 IPD(106)의 두께를 증가시키는 것이다. 하지만, IPD(106)의 두께가 증가하면 플로팅 게이트(102)와 컨트롤 게이트(106) 간의 용량 결합을 감소시키는 경향이 있어 앞서 논의한 바와 같이 바람직하지 못하다. 일반적으로 곡률반경이 IPD(106)의 두께보다 작거나 IPD(106)의 두께가 메모리 셀의 피쳐 싸이즈에 달하는 때에는 IPD(106)의 두께를 증가시키지 못하는 경향이 있다. Another way to reduce the electric field in the IPD is to increase the thickness of the IPD 106. However, increasing the thickness of the IPD 106 tends to reduce the capacitive coupling between the floating gate 102 and the control gate 106, which is undesirable as discussed above. Generally, when the radius of curvature is smaller than the thickness of the IPD 106 or when the thickness of the IPD 106 reaches the feature size of the memory cell, the thickness of the IPD 106 tends not to increase.

본 명세서에서 개시된 바에 따른 실시예들은 약술하면 비휘발성 메모리 셀과 비휘발성 메모리 셀의 제조 기술에 관련된 것이다. 본 메모리 셀은 플로팅 게이트 위에 유전체 캡을 가진다. 한 실시예에서는 플로팅 게이트와 컨포멀한 IPD 층사이에 유전체 캡을 위치시킨다. 본 유전체 캡은 플로팅 게이트와 컨트롤 게이트 사이에서 발생하는 누설전류의 양을 감소시킨다. 플로팅 게이트의 스템부가 좁은 경우에 플로팅 게이트의 상부에 전기장이 가장 강하게 발생하는데 플로팅 게이트의 상부에 유전체 캡을 위치시킴으로써 전기장을 감소시켜 누설전류의 양을 감소시킨다.Embodiments as disclosed herein are briefly related to the fabrication techniques of nonvolatile memory cells and nonvolatile memory cells. The memory cell has a dielectric cap over the floating gate. In one embodiment, a dielectric cap is positioned between the floating gate and the conformal IPD layer. The dielectric cap reduces the amount of leakage current generated between the floating gate and the control gate. When the stem portion of the floating gate is narrow, the electric field is generated most strongly on the top of the floating gate. By placing the dielectric cap on the top of the floating gate, the electric field is reduced to reduce the amount of leakage current.

또 다른 실시예는 비휘발성 기억 소자의 제조방법이다. 본 제조 방법은 상부와 적어도 두 개의 측면을 가지는 플로팅 게이트를 형성하는 단계를 포함한다. 유전체 캡은 플로팅 게이트의 상부에 형성된다. 게이트간 유전체 층은 유전체 캡의 상부와 플로팅 게이트의 적어도 두 개의 측면 주위에 형성된다. 컨트롤 게이트는 플로팅 게이트 위에 형성되며 게이트간 유전체 층에 의해 플로팅 게이트와 분리된다.Yet another embodiment is a method of manufacturing a nonvolatile memory device. The manufacturing method includes forming a floating gate having a top and at least two sides. The dielectric cap is formed on top of the floating gate. An inter-gate dielectric layer is formed around the top of the dielectric cap and at least two sides of the floating gate. The control gate is formed over the floating gate and is separated from the floating gate by an inter-gate dielectric layer.

한 양상에서, 유전체 캡을 형성하는 단계는 플로팅 게이트의 상부에서 산소를 임플란트하고 플로팅 게이트를 가열하여 임플란트된 산소와 플로팅 게이트가 형성된 실리콘으로부터 유전체 캡을 형성하는 것을 포함한다.In one aspect, forming the dielectric cap includes implanting oxygen at the top of the floating gate and heating the floating gate to form a dielectric cap from the implanted oxygen and the silicon on which the floating gate is formed.

상기 및 기타 목적들 및 장점들이 도면들을 참조로 하여 다양한 실시예들을 제시하는 다음의 상세한 설명으로부터 더욱 명확히 나타날 것이다. These and other objects and advantages will appear more clearly from the following detailed description, which sets forth various embodiments with reference to the drawings.

도 1a, 도 1b, 도 1c는 서로 다른 플로팅 게이트/컨트롤 게이트 인터페이스들의 구조를 도시한 것이다.
도 2는 세 개의 낸드 스트링(NAND string)의 회로선도이다.
도 3은 비휘발성 메모리 장치의 구조를 도시한 것이다.
도 4a 및 4b는 메모리 셀 어레이의 일부의 평면도이다.
도 5는 비휘발성 메모리 셀 어레이를 제조하는 공정의 일 실시예를 기술하는 순서도(flow chart)이다.
도 6a 내지 도 6j는 도 5에 기술된 여러 공정 단계들에서의 비휘발성 메모리 셀 어레이의 일부를 도시한 것이다.
도 7은 비휘발성 기억 소자의 여러 구성에 따른 전기장의 세기를 보여주는 그래프이다.
도 8a는 비휘발성 메모리 셀 어레이를 제조하는 공정의 일 실시예를 기술하는 순서도이다.
도 8b는 비휘발성 메모리 셀 어레이를 제조하는 공정의 일 실시예를 보여주는 순서도이다.
도 8c는 비휘발성 메모리 셀 어레이를 제조하는 공정의 일 실시예를 보여주는 순서도이다.
도 9a, 9b, 9c, 9d 및 9e는 도 8a의 제조 공정의 여러 단계들에서의 비휘발성 기억 소자를 나타낸 것이다.
도 9f 및 도 9g는 도 8b의 제조 공정의 한 단계에서의 비휘발성 기억 소자를 나타낸 것이다.
도 9h 및 도 9i는 도 8c의 제조 공정의 여러 단계에서의 비휘발성 기억 소자를 나타낸 것이다.
도 10은 비휘발성 메모리 시스템의 블록선도이다.
도 11은 메모리 어레이의 일 실시예를 보여주는 블록선도이다.
도 12는 센스 블록의 일 실시예를 보여주는 블록선도이다.
1A, 1B, and 1C show the structure of different floating gate / control gate interfaces.
2 is a circuit diagram of three NAND strings.
3 illustrates a structure of a nonvolatile memory device.
4A and 4B are top views of a portion of a memory cell array.
5 is a flow chart describing one embodiment of a process for manufacturing a nonvolatile memory cell array.
6A-6J illustrate a portion of a nonvolatile memory cell array in the various process steps described in FIG. 5.
7 is a graph showing the intensity of an electric field according to various configurations of a nonvolatile memory device.
8A is a flow chart describing one embodiment of a process for manufacturing a nonvolatile memory cell array.
8B is a flow chart illustrating one embodiment of a process for fabricating a nonvolatile memory cell array.
8C is a flow diagram illustrating one embodiment of a process for fabricating a nonvolatile memory cell array.
9A, 9B, 9C, 9D and 9E illustrate nonvolatile memory devices at various stages of the fabrication process of FIG. 8A.
9F and 9G illustrate a nonvolatile memory device at one stage of the fabrication process of FIG. 8B.
9H and 9I illustrate nonvolatile memory devices at various stages of the fabrication process of FIG. 8C.
10 is a block diagram of a nonvolatile memory system.
11 is a block diagram illustrating one embodiment of a memory array.
12 is a block diagram illustrating an embodiment of a sense block.

플래쉬 메모리 시스템의 한 예는 낸드(NAND) 구조를 이용하는데, 이 구조는 두 개의 선택 게이트(select gate)사이에 직렬로 다중의 게이트 트랜지스터들이 배열된 것을 포함한다. 직렬 트랜지스터들과 선택 게이트를 합쳐 낸드 스트링이라고 부른다. 낸드 구조를 이용하는 플래쉬 메모리 시스템의 전형적인 구조는 여러 개의 낸드 스트링을 포함한다. 예를 들어, 도 2는 많은 낸드 스트링을 갖는 메모리 어레이 중에서 세 개의 낸드 스트링 202, 204, 206을 나타낸 것이다. 도 2의 각 낸드 스트링은 두 개의 선택 트랜지스터와 네 개의 메모리 셀을 포함한다. 예를 들어, 낸드 스트링(202)은 선택 트랜지스터(220 및 230)와 메모리 셀(222, 224, 226 및 228)을 포함한다. 낸드 스트링(204)은 선택 트랜지스터(240 및 250)와 메모리 셀(242, 244, 246 및 248)을 포함한다. 각 낸드 스트링은 선택 트랜지스터에 의해서 소오스(source) 라인에 연결되는데, 도 2에서는 선택 트랜지스터(230 및 250)가 그 역할을 한다. 선택 라인 SGS는 소오스 측에서 선택 게이트를 컨트롤하는데 사용된다. 여러 낸드 스트링들은 선택 라인 SGD에 의해 컨트롤되는 선택 트랜지스터(220 및 240)에 의해 각 비트 라인에 연결된다. 다른 실시예에서는 선택 라인들을 반드시 공유할 필요는 없다. 워드 라인 WL3는 메모리 셀(222 및 242)의 컨트롤 게이트에 연결된다. 워드 라인 WL2는 메모리 셀(224, 244 및 252)의 컨트롤 게이트에 연결된다. 워드 라인 WL1은 메모리 셀(226 및 246)의 컨트롤 게이트에 연결된다. 워드 라인 WL0는 메모리 셀(228 및 248)의 컨트롤 게이트에 연결된다. 도면에서 알 수 있듯이 각 비트 라인과 각 낸드 스트링은 메모리 셀 어레이의 열을 구성하고 워드 라인(WL3, WL2, WL1, WL0)은 어레이의 행을 구성한다.One example of a flash memory system uses a NAND structure, which includes multiple gate transistors arranged in series between two select gates. The series transistors and the select gate are called a NAND string. A typical structure of a flash memory system using a NAND structure includes several NAND strings. For example, FIG. 2 shows three NAND strings 202, 204, and 206 of a memory array having many NAND strings. Each NAND string of FIG. 2 includes two select transistors and four memory cells. For example, NAND string 202 includes select transistors 220 and 230 and memory cells 222, 224, 226 and 228. NAND string 204 includes select transistors 240 and 250 and memory cells 242, 244, 246 and 248. Each NAND string is connected to a source line by a select transistor, in which the select transistors 230 and 250 play a role. The select line SGS is used to control the select gate on the source side. Several NAND strings are connected to each bit line by select transistors 220 and 240 controlled by select line SGD. In other embodiments, the select lines do not necessarily need to be shared. The word line WL3 is connected to the control gates of the memory cells 222 and 242. The word line WL2 is connected to the control gates of the memory cells 224, 244 and 252. The word line WL1 is connected to the control gates of the memory cells 226 and 246. Word line WL0 is connected to the control gates of memory cells 228 and 248. As shown in the figure, each bit line and each NAND string constitute a column of a memory cell array, and word lines WL3, WL2, WL1, and WL0 constitute a row of the array.

도 3은 낸드 플래쉬 메모리 셀 어레이의 일부분의 평면도이다. 이 어레이에서는 비트 라인(350)과 워드 라인(352)인 포함된다. 도 3은 플래쉬 메모리 셀의 다른 모든 부분을 자세하게 보여주는 것이 아니라는 점에 유의해야 한다.3 is a plan view of a portion of a NAND flash memory cell array. In this array, the bit lines 350 and word lines 352 are included. It should be noted that Figure 3 does not show every detail of the flash memory cell.

하나의 낸드 스트링은 도 2와 도 3에서 나타낸 것보다 적거나 더 많은 메모리 셀을 가질 수 있다는 점을 유의해야 한다. 예를 들어, 낸드 스트링은 8개, 16개, 32개, 64개 또는 128개와 같은 개수의 메모리 셀을 가질 수 있다. 여기서 논의되는 바는 하나의 낸드 스트링에서 가질 수 있는 특정 개수의 메모리 셀에 국한되지 않는다. 게다가, 하나의 워드 라인 내에는 도 2와 도 3에 나타낸 것보다 적거나 더 많은 메모리 셀이 포함될 수 있다. 마찬가지로 여기서 논의되는 바는 하나의 워드 라인 내에서 가질 수 있는 특정 개수의 메모리 셀에 국한되지 않는다.Note that one NAND string may have fewer or more memory cells than shown in FIGS. 2 and 3. For example, a NAND string may have as many memory cells as 8, 16, 32, 64, or 128. What is discussed here is not limited to the specific number of memory cells that can be in one NAND string. In addition, one word line may include fewer or more memory cells than those shown in FIGS. 2 and 3. Likewise, what is discussed herein is not limited to the specific number of memory cells that can have in one word line.

각 메모리 셀은 아날로그나 디지털의 형태로 데이터 값을 기억할 수 있다. 한 비트의 디지털 데이터를 기억할 때에는 메모리 셀의 가능한 문턱 전압의 범위는 두 개로 나뉘어 각각이 논리 데이터 "1"과 "0"이 할당된다. 낸드형의 플래쉬 메모리를 예로 들면 메모리 셀을 소거(erase)해서 논리값 "1"에 해당할 때에는 문턱 전압이 음수이고 프로그램해서 논리값 "0"에 해당할 때에는 문턱 전압이 양수가 된다. 문턱 전압이 음수이고 컨트롤 게이트에 0 볼트를 가해서 메모리 셀을 읽을 때에는 논리값 1이 기억되어 있음을 나타내기 위해 메모리 셀이 켜진다. 문턱 전압이 양수이고 컨트롤 게이트에 0 볼트를 가해서 메모리 셀을 읽을 때에는 논리값 0이 기억되어 있음을 나타내기 위해 메모리 셀이 켜지지 않는다. Each memory cell can store data values in the form of analog or digital. When storing one bit of digital data, the range of possible threshold voltages of the memory cell is divided into two, each of which is assigned logical data "1" and "0". For example, for a NAND flash memory, the threshold voltage is negative when the memory cell is erased to correspond to the logic value "1", and the threshold voltage is positive when the logic value is "0" programmed. When the threshold voltage is negative and the memory cell is read by applying 0 volts to the control gate, the memory cell is turned on to indicate that logic 1 is stored. When the threshold voltage is positive and the memory cell is read by applying 0 volts to the control gate, the memory cell is not turned on to indicate that the logic value 0 is stored.

다중의 데이터 레벨들을 기억시키고자 할 경우에는 가능한 문턱 전압들의 범위가 데이터 레벨들의 개수로 나뉜다. 예를 들면, 네 개 레벨의 정보, 즉 2비트의 데이터를 기억시키고자 한다면 데이터 값 "11", "10", "01", "00"에 해당하는 4가지의 문턱 전압의 범위가 필요할 것이다. 낸드형 플래쉬 메모리를 예로 들면, 메모리 셀을 소거한 후에는 음의 문턱 전압을 가지고 이것은 논리값 "11"에 해당한다. 양의 문턱 전압값들은 "10", "01", "00"의 데이터 값을 기억하는 데 이용된다. 8개 레벨의 정보, 즉 3비트의 데이터를 기억시키고자 한다면 데이터 값 "000", "001", "010", "011", "100", "101", "110", "111"에 해당하는 8개의 문턱 전압의 범위가 필요할 것이다.In the case of storing multiple data levels, the range of possible threshold voltages is divided by the number of data levels. For example, if you want to store four levels of information, that is, two bits of data, you will need four ranges of threshold voltages corresponding to data values "11", "10", "01", and "00." . Taking a NAND flash memory as an example, after erasing a memory cell, it has a negative threshold voltage, which corresponds to a logic value "11". Positive threshold voltage values are used to store data values of "10", "01", and "00". To store eight levels of information, that is, three bits of data, the data values "000", "001", "010", "011", "100", "101", "110", and "111" A range of eight threshold voltages will be required.

메모리 셀에 프로그램되는 데이터 값과 메모리 셀의 문턱 전압값의 구체적인 관계는 그 메모리 셀에서 채용되는 데이터 인코딩 방법에 따라 결정된다. 예를 들면 본 명세서에서 전부 참조하여 원용하는 미국특허 제6,222,762호와 미국특허출원공개 제2004/0255090호에서 다중 상태의 플래쉬 메모리 셀에서 사용되는 여러가지 데이터 인코딩 방법들이 기술되어 있다. 일 실시예에서는 플로팅 게이트의 문턱 전압이 잘못하여 이웃 상태값으로 쉬프트(shift) 되더라도 그 영향을 1비트에 제한시킬 수 있도록 그레이 코드(Gray code)에 따라 데이터 값들을 문턱 전압의 범위값들에 할당할 수 있다. 다른 실시예에서는 데이터 인코딩 방법을 워드 라인마다 다르게 할 수도 있고 시간이 지남에 따라 데이터 인코딩 방법이 변화하도록 할 수도 있으며 임의의 워드 라인에 대한 데이터 비트값들을 반전시키거나 데이터 패턴에 대한 민감도와 메모리 셀의 마모를 줄이기 위해 임의로 설정할 수도 있다.The specific relationship between the data value programmed in the memory cell and the threshold voltage value of the memory cell is determined according to the data encoding method employed in the memory cell. For example, US Pat. No. 6,222,762 and US Patent Application Publication No. 2004/0255090, both incorporated herein by reference, describe various data encoding methods used in multi-state flash memory cells. In one embodiment, even if the threshold voltage of the floating gate is accidentally shifted to a neighbor state value, the data values are assigned to the range values of the threshold voltage according to the gray code so that the influence can be limited to 1 bit. can do. In another embodiment, the data encoding method may be different for each word line, the data encoding method may change over time, invert the data bit values for any word line, or the sensitivity and the memory cell for the data pattern. It can also be set arbitrarily to reduce wear.

낸드형 플래쉬 메모리와 그 동작에 관한 예들은 본 명세서에서 전부 참조하여 원용하는 미국특허 제5,570,315호, 미국특허 제5,774,397호, 미국특허 제6,046,935호, 미국특허 제6,456,528호, 미국특허공개 제US2003/0002348호에서 설명되어져 있다. 여기서 논의되는 바는 낸드형외에도 다른 형태의 플래쉬 메모리뿐만 아니라 다른 형태의 비휘발성 메모리에도 적용될 수 있다. 예를 들면, 본 명세서에서 전부 참조하여 원용하는 미국특허 제5,095,344호, 제5,172,338호, 제5,890,192호, 제6,151,248호에서는 노어(NOR)형의 플래쉬 메모리가 설명되어져 있다.Examples of NAND-type flash memory and its operation are described in U.S. Pat. It is described in the heading. As discussed herein, the present invention can be applied to other types of nonvolatile memories as well as other types of flash memories. For example, NOR type flash memories are described in US Pat. Nos. 5,095,344, 5,172,338, 5,890,192, and 6,151,248, all of which are incorporated herein by reference.

도 4a와 도 4b는 비휘발성 기억 소자들의 어레이의 일부분의 실시예를 이차원 블록선도로 나타낸 것이다. 도 4a는 도 3을 선 A-A를 따라 절취한 메모리 어레이의 단면(즉, 워드 라인을 따라 절취한 단면)을 나타낸 것이다. 도 4b는 도 3을 선 B-B를 따라 절취한 메모리 어레이의 단면(즉 비트 라인을 따라 절취한 단면)을 나타낸 것이다. 도 4a와 도 4b에는 도시되어 있지 않지만 P기판, N웰(N-well), P웰(P-well)로 구성되는 삼중웰(triple well)이 포함되어 있다. 소오스와 드레인의 역할을 하는 N+ 확산 영역(444)은 P웰내에 있다. N+ 확산 영역이 소오스 영역으로 될지 드레인 영역으로 될지는 다소 임의적이므로 소오스/드레인 영역(444)은 소오스 영역이나 드레인 영역 또는 양자 모두로 생각될 수 있다. 하나의 낸드 스트링내에서 소오스/드레인 영역(444)은 어느 메모리 셀의 소오스가 되지만 이웃한 메모리 셀에 대해서는 드레인의 역할을 한다.4A and 4B show two-dimensional block diagrams of an embodiment of a portion of an array of nonvolatile memory elements. FIG. 4A shows a cross section of a memory array taken along line A-A (ie, taken along a word line). FIG. 4B illustrates a cross section of the memory array taken along line B-B of FIG. 3 (ie, taken along the bit line). Although not shown in FIGS. 4A and 4B, triple wells including a P substrate, an N well, and a P well are included. N + diffusion region 444 serving as source and drain is in the P well. The source / drain region 444 may be considered as a source region, a drain region, or both, since the N + diffusion region is somewhat arbitrary whether to be a source region or a drain region. The source / drain region 444 is a source of a memory cell in one NAND string, but serves as a drain to neighboring memory cells.

소오스/드레인 영역(444)의 사이에 채널(446)이 위치한다. 채널(446)위에 게이트 산화물(gate oxide)이라고 표시된 제1유전체 층(410)이 위치한다. 일 실시예에서는 이 유전체 층(410)은 SiO2로 만들어지는데 다른 유전체 물질들을 사용할 수도 있다. 유전체 층(410) 위에 플로팅 게이트(412)가 위치한다. 플로팅 게이트는 읽기나 바이패스(bypass) 동작과 같이 저전압 동작조건하에서는 유전체 층(410)에 의해서 채널(446)과 전기적으로 절연된다. 플로팅 게이트(412)는 대개 n형 도펀트(dopant)로 도핑된 폴리실리콘(poly-silicon)으로 만들어지지만 금속과 같은 전도성 물질이 사용될 수도 있다. 플로팅 게이트(412)의 위에 유전체 캡(408)이 위치한다. 플로팅 게이트(412)의 상부와 측면들의 둘레로 IPD(inter-poly dielectric)라고 표시된 제2유전체 층(406)이 위치한다. IPD(406) 위에 폴리실리콘 컨트롤 게이트(404)가 위치한다. 컨트롤 게이트(404)는 부가적으로 텅스텐 실리사이드(WSi) 층과 실리콘 나이트라이드(SiN) 층을 포함할 수 있다. WSi 층은 전기저항이 낮은 층인 반면에 SiN 층은 절연체 역할을 한다.The channel 446 is located between the source / drain regions 444. Above the channel 446 is a first dielectric layer 410, labeled gate oxide. In one embodiment, the dielectric layer 410 is made of SiO 2 and other dielectric materials may be used. Floating gate 412 is positioned over dielectric layer 410. The floating gate is electrically isolated from the channel 446 by the dielectric layer 410 under low voltage operating conditions, such as read or bypass operation. Floating gate 412 is usually made of poly-silicon doped with an n-type dopant, but a conductive material such as metal may be used. Dielectric cap 408 is positioned over floating gate 412. A second dielectric layer 406 is positioned around the top and sides of the floating gate 412, labeled inter-poly dielectric (IPD). A polysilicon control gate 404 is positioned over the IPD 406. The control gate 404 may additionally include a tungsten silicide (WSi) layer and a silicon nitride (SiN) layer. The WSi layer is a low electrical resistance layer, while the SiN layer acts as an insulator.

하나의 플로팅 게이트 스택은 유전체 층(410), 플로팅 게이트(412), 유전체 캡(408), IPD(406) 및 컨트롤 게이트(404)로 구성된다. 메모리 셀 어레이는 이러한 플로팅 게이트 스택들을 많이 가지게 된다. 일 실시예에서는 하나의 플로팅 게이트 스택이 도 4a와 도 4b에 나타낸 것보다 적거나 더 많은 구성요소들을 가질 수 있는데 플로팅 게이트 스택이라고 이름을 붙인 것은 플로팅 게이트 뿐만 아니라 다른 구성요소들을 포함하고 있기 때문이다.One floating gate stack consists of dielectric layer 410, floating gate 412, dielectric cap 408, IPD 406, and control gate 404. The memory cell array will have many of these floating gate stacks. In one embodiment, a floating gate stack may have fewer or more components than shown in FIGS. 4A and 4B because a floating gate stack is named because it includes other components as well as floating gates. .

도 4a에서 STI(shallow trench isolation) 구조(407)는 메모리 셀의 스트링들을 전기적으로 절연시켜준다. 특히, STI(407)는 도 4a에서는 나타나 있지 않지만 하나의 낸드 스트링의 소오스/드레인 영역과 이웃 스트링의 소오스/드레인 영역을 분리시켜 준다. 일 실시예에서는 STI(407)가 SiO2로 채워진다.In FIG. 4A, shallow trench isolation (STI) structure 407 electrically isolates strings of memory cells. In particular, the STI 407 separates the source / drain regions of one NAND string from the source / drain regions of a neighboring string, although not shown in FIG. 4A. In one embodiment, the STI 407 is filled with SiO 2 .

도 4a와 도 4b에서 플로팅 게이트는 역T자 모양을 가지고 있다. 즉, 플로팅 게이트는 베이스(base)부(412b)와 스템(stem)부(412a)를 가지고 있다. 역T자 모양은 플로팅 게이트(412)가 서로 가까이 위치하게 하면서도 컨트롤 게이트(404)와 일치하는 플로팅 게이트(412) 부분의 면적을 증가시키는데 도움이 된다. 본 예에서는 워드 라인을 따라서 본 플로팅 게이트의 단면이 역T자 모양을 하고 있다. 또 다른 실시예에서는 비트 라인을 따라서 본 플로팅 게이트의 단면도 역T자 모양을 할 수 있다. 예를 들어, 도 4b의 플로팅 게이트가 역T자 모양을 가질 수 있다. 일반적으로 상부와 측면들을 가지고 IPD에 의해서 컨트롤 게이트와 분리되는 플로팅 게이트가 플로팅 게이트의 상부에 유전체 캡을 가지는 경우 이득이 될 수 있는데 특히 플로팅 게이트가 적어도 한 방향에서 상대적으로 얇은 폭을 가지는 경우에는 IPD에서의 강한 전기장으로 인한 문제에 민감할 수 있어서 이러한 경우에 유전체 캡을 사용하면 더 큰 이득이 될 수 있다.4A and 4B, the floating gate has an inverted T shape. That is, the floating gate has a base portion 412b and a stem portion 412a. The inverted T shape helps to increase the area of the portion of the floating gate 412 that coincides with the control gate 404 while keeping the floating gates 412 close to each other. In this example, the cross section of the floating gate along the word line has an inverted T shape. In another embodiment, the cross-sectional inverted T-shape of the floating gate may be formed along the bit line. For example, the floating gate of FIG. 4B may have an inverted T shape. In general, a floating gate, having a top and sides and separated from the control gate by the IPD, may be advantageous if the floating gate has a dielectric cap on top of the floating gate, particularly if the floating gate has a relatively thin width in at least one direction. It may be susceptible to problems due to the strong electric field in the field, so using dielectric caps in these cases can be a great benefit.

플로팅 게이트 스템부(412a)가 도 4a에 나타낸 것처럼 비교적 균일한 폭을 가질 필요는 없다. 대체가능한 실시예로 플로팅 게이트 스템부(412a)가 유전체 캡 부근에서 플로팅 게이트 베이스부(412b) 부근보다 더 좁은 폭을 가지는 모양을 할 수 있다.The floating gate stem portion 412a need not have a relatively uniform width as shown in FIG. 4A. In an alternative embodiment, the floating gate stem portion 412a may be shaped to have a narrower width in the vicinity of the dielectric cap than in the vicinity of the floating gate base portion 412b.

본 명세서에서 개시하는 기술은 IPD(406)의 특정 영역에서 전기장의 세기를 감소시키는 것에 대한 것이다. "상부 전기장"이라고 표시된 화살표는 플로팅 게이트(412)의 상부의 IPD(406) 내에서 생기는 전기장을 가리키고 "모서리부 전기장"이라고 표시된 화살표는 플로팅 게이트(412)의 상부의 모서리부분 근처의 IPD(406) 내에서 생기는 전기장을 가리킨다. 일 실시예에서는 플로팅 게이트(412)의 상부에서의 전기장의 세기가 유전체 캡(408)으로 인하여 감소되어 플로팅 게이트(412)의 모서리부에서의 전기장의 세기보다 적거나 최소한 크지 않게끔 할 수 있다. 다만, 플로팅 게이트(412)의 상부에서의 전기장의 세기가 모서리부에서의 전기장의 세기보다 더 약해야 하는 것은 필수조건이 아니다. 예를 들어 유전체 캡(408)이 플로팅 게이트(412)의 상부에서의 전기장의 세기를 줄이는 역할을 할 수 있지만 이로 인해서 플로팅 게이트(412)의 모서리부에서의 전기장의 세기보다 더 약해질 필요는 없는 것이다. 플로팅 게이트의 상부에서의 전기장의 세기를 감소시키면 전체 성능에 큰 영향을 주지 않고도 전반적인 누설전류의 양을 줄일 수 있다.The technique disclosed herein is directed to reducing the intensity of an electric field in a particular region of the IPD 406. An arrow labeled "upper field" indicates an electric field generated within IPD 406 on top of floating gate 412 and an arrow labeled "edge electric field" indicates IPD 406 near the top edge of floating gate 412. ) Refers to the electric field generated within In one embodiment, the strength of the electric field at the top of the floating gate 412 may be reduced due to the dielectric cap 408 such that it is less than or at least not greater than the strength of the electric field at the corners of the floating gate 412. However, it is not a requirement that the strength of the electric field at the top of the floating gate 412 be weaker than the strength of the electric field at the corner portion. For example, the dielectric cap 408 may serve to reduce the strength of the electric field at the top of the floating gate 412 but need not be weaker than the strength of the electric field at the corners of the floating gate 412. will be. Reducing the strength of the electric field at the top of the floating gate can reduce the overall amount of leakage current without significantly affecting overall performance.

도 5는 도 4a와 도 4b에 나타낸 메모리 셀을 제조하는 공정의 일부분으로서 일 실시예를 기술하는 흐름도이다. 도 6a 내지 도 6j는 제조 공정의 여러 단계에서의 메모리 셀을 도시한 것이다. 도 5의 공정은 도 4a 및 도 4b와 도 6a 내지 도6j의 도면부호와 관련하여 기술된다. 도 6a 내지 도 6j는 도 3을 선 A-A를 따라 절취한 단면도를 도시한 것이다. 이 예에서는 워드 라인을 따라서 본 단면에서 플로팅 게이트의 폭이 비교적 좁게 나타나 있지만 본 명세서에서 논의되는 원리는 비트 라인이나 비트 라인과 워드 라인 모두를 따라 봤을 때의 단면에서 플로팅 게이트의 폭이 좁은 경우에도 적용됨에 유의해야 한다. FIG. 5 is a flow chart describing one embodiment as part of the process of manufacturing the memory cells shown in FIGS. 4A and 4B. 6A-6J illustrate memory cells at various stages of the fabrication process. The process of FIG. 5 is described with reference to FIGS. 4A and 4B and with reference to FIGS. 6A-6J. 6A-6J illustrate cross-sectional views taken along line A-A of FIG. 3. In this example, the width of the floating gate is relatively narrow in the cross section along the word line, but the principle discussed here is that even when the width of the floating gate is narrow in the cross section when viewed along both the bit line and both the bit line and the word line. Note that it applies.

이 순서도에서는 모든 임플란트 단계들, 플로팅 게이트 스택사이의 에칭된 공간을 갭필(gap fill)하는 단계, 컨택트(contact)를 형성하는 단계, 금속화(metallization) 단계, 비아(via)를 형성하는 단계, 패시베이션(passivation) 단계 뿐만 아니라 제조 공정에서 잘 알려져 있는 다른 단계들은 기술되어 있지 않다. 이 발명에 따라 메모리를 제조하는 방법은 많이 있고 따라서 도 5에서 기술된 방법 외에도 다양한 방법이 사용될 수 있음을 고려하여 다른 발명을 할 수 있다. 플래쉬 메모리 칩은 코어(core) 메모리와 주변 회로를 포함하고 있겠지만 도 5에 나타낸 공정은 단지 코어 메모리를 제조하는 하나의 가능한 공정 레시피(process recipe)를 일반적인 용어들을 이용하여 기술하고자 하는 것에 불과하다.In this flowchart, all implant steps, a gap fill of the etched space between the floating gate stack, a contact formation, a metallization step, a via formation, The passivation step as well as other well known steps in the manufacturing process are not described. There are many methods for manufacturing a memory according to the present invention, and thus other inventions can be made in consideration of various methods besides the method described in FIG. The flash memory chip may include a core memory and a peripheral circuit, but the process shown in FIG. 5 is merely intended to describe, in general terms, one possible process recipe for manufacturing the core memory.

도 5의 502 단계는 실리콘 기판(602) 위에 터널 산화물(tunnel oxide) 층(604)을 형성하는 것을 포함한다. 터널 산화물 층(604)은 게이트 유전체 층(410)을 형성하는 데에 이용될 것이다. 504 단계에서는 플로팅 게이트(412)를 형성하는데 이용되는 폴리실리콘 층(606)을 CVD, PVD, ALD나 다른 적합한 방법을 이용하여 산화물 층(604) 위에 증착시킨다. 505 단계에서는 폴리실리콘(606) 위에 제2산화물 층(608)을 형성한다. 제2산화물 층(608)은 유전체 캡(408)을 형성하는 데 이용될 것이다. 506 단계에서는 SiN 층이 제2산화물 층(608) 위에 증착된다. SiN 층은 CVD와 같은 방법에 의해 증착될 수 있다. 508 단계에서는 포토레지스트(photeresist)를 덧붙인다. 예를 들어, 비정질 실리콘 패턴(612)을 스페이서 공정을 이용하여 정의한다. 실리콘 패턴(612)은 508 단계에서 질화물 하드 마스크(nitride hard mask, 610)로 전사된다. 510 단계에서는 반응성 이온 에칭(reactive ion etching)과 같은 이방성 플라즈마 에칭(anisotropic plasma etching)을 이용하여 질화물 하드 마스크를 에칭하는 단계가 포함된다. 502 단계에서 510 단계를 거치고 나면 도 6a와 같이 되는데 에칭 후에도 남게 되는 실리콘 기판(402), 제1산화물 층(604), 폴리실리콘 층(606), 제2산화물 층(608), 질화물 하드 마스크(610) 및 비정질 실리콘 패턴(612)이 나타나 있다. Step 502 of FIG. 5 includes forming a tunnel oxide layer 604 over the silicon substrate 602. Tunnel oxide layer 604 will be used to form gate dielectric layer 410. In step 504, the polysilicon layer 606 used to form the floating gate 412 is deposited over the oxide layer 604 using CVD, PVD, ALD or other suitable method. In operation 505, a second oxide layer 608 is formed on the polysilicon 606. The second oxide layer 608 will be used to form the dielectric cap 408. In step 506 a SiN layer is deposited over the second oxide layer 608. The SiN layer can be deposited by a method such as CVD. In step 508, a photoresist is added. For example, the amorphous silicon pattern 612 is defined using a spacer process. The silicon pattern 612 is transferred to a nitride hard mask 610 in step 508. Step 510 includes etching the nitride hard mask using anisotropic plasma etching, such as reactive ion etching. After step 502 to step 510, the silicon substrate 402, the first oxide layer 604, the polysilicon layer 606, the second oxide layer 608, and the nitride hard mask may remain as shown in FIG. 6A. 610 and amorphous silicon pattern 612 are shown.

하드 마스크 층(610)을 에칭한 후 512 단계에서 포토레지스트(612)를 벗겨내면 하드 마스크 층(610)은 그 밑의 층들을 에칭하기 위한 마스크로 이용될 수 있다. 514 단계는 플로팅 게이트(412)의 스템부(412a)를 형성하기 위해 제2산화물 층(608)과 폴리실리콘(606)의 일부분을 에칭하는 것을 포함한다. 이 단계의 에칭은 각 평면층에 대하여 물리적 에칭과 화학적 에칭 사이에서 적절히 균형을 맞추어 이방성 플라즈마 에칭을 이용하여 수행할 수 있다. 에칭후 남게되는 제2산화물 층 부분이 유전체 캡(408)을 형성하게 된다. 폴리실리콘(606)이 적절한 깊이가 되도록 에칭을 멈추는 기술은 잘 알려져 있다. 에칭을 멈추기 위한 기술들은 본 명세서에서 전부 참조하여 원용하는 "Enhanced Endpoint Detection in Non-Volatile Memory Array Fabrication"이라는 제목으로 2007년 12월 19일에 출원된 미국특허출원 제11/960,485호와 "Composite Charge Storage Structure Formation In Non-Volatile Memory Using Etch Stop Technologies"라는 제목으로 2007년 12월 19일에 출원된 미국특허출원 제11/960,498호에서 찾을 수 있다. 512 단계에서 514 단계를 거친 후의 결과가 도 6b에 나타나 있는데 플로팅 게이트 스템부(412a)의 상부에 유전체 캡(408)을 가진 모양으로 형성되어 있다. After etching the hard mask layer 610 and removing the photoresist 612 in step 512, the hard mask layer 610 may be used as a mask for etching the layers below it. Step 514 includes etching the second oxide layer 608 and a portion of the polysilicon 606 to form the stem portion 412a of the floating gate 412. The etching of this step can be performed using anisotropic plasma etching with a suitable balance between physical and chemical etching for each planar layer. The portion of the second oxide layer remaining after etching forms the dielectric cap 408. Techniques for stopping the etching so that the polysilicon 606 is at an appropriate depth are well known. Techniques for stopping etching are described in U.S. Patent Application No. 11 / 960,485, filed Dec. 19, 2007, and "Composite Charge," entitled "Enhanced Endpoint Detection in Non-Volatile Memory Array Fabrication," which is incorporated herein by reference in its entirety. Storage Structure Formation In Non-Volatile Memory Using Etch Stop Technologies, "US patent application Ser. No. 11 / 960,498, filed Dec. 19, 2007. The result after the step 512 to the step 514 is shown in Figure 6b is formed in the shape having a dielectric cap 408 on top of the floating gate stem portion (412a).

516 단계에서는 TEOS(tetraethyl orthosilicate)와 같은 산화물계 스페이서(708)를 형성한다. 일 실시예에서는 등방성 증착 공정(isotropic deposition process)이 이용된다. 518 단계에서는 수직면에서는 남겨두고 수평면에서만 제거되도록 산화물 스페이서(708)를 에칭한다. 일 실시예에서는 이방성 에칭 공정이 산화물 스페이서 측벽(708)을 형성하는데 이용된다. 그 결과가 도 6c에 나타나 있는데 플로팅 게이트 스템부(412a)와 유전체 캡(408)의 측면의 둘레로 산화물 스페이서(708)가 형성되어 있다. In step 516, an oxide spacer 708 such as tetraethyl orthosilicate (TEOS) is formed. In one embodiment, an isotropic deposition process is used. In step 518, the oxide spacer 708 is etched so that it is removed only in the horizontal plane but in the vertical plane. In one embodiment, an anisotropic etching process is used to form the oxide spacer sidewalls 708. The result is shown in FIG. 6C where an oxide spacer 708 is formed around the side of the floating gate stem portion 412a and the dielectric cap 408.

516 단계에서 518 단계를 거치는 중에 또는 거친 후에 플로팅 게이트 스템부(412a)의 끝부분이 산화되어 플로팅 게이트 폴리의 상부에서 버즈 비크(bird's beak) 현상이 생길 수 있다. 플로팅 게이트 폴리실리콘이 산화되면 플로팅 게이트 스템부(412a)의 상부의 모서리부분을 둥글게 다듬는 역할을 하게 된다. 산화되는 시간과 반응을 잘 변화시키면 플로팅 게이트 스템부(412a)의 상부의 굴곡의 정도를 더 크거나 작게 만들 수 있다. 도 6j을 보면 플로팅 게이트(412)의 상부에서 버즈 비크(712)가 생겨 그 끝이 둥글게 된 것을 알 수 있다. 버즈 비크(712)는 실리콘 다이옥사이드로 이루어지므로 유전체로서 작용할 경향이 있을 수 있다. 버즈 비크(712)가 플로팅 게이트의 전체적인 높이와 스템부의 폭에 영향을 줄 수 있다는 것에 유의해야 한다. 그러므로 공정의 이전 단계에서 이러한 영향을 전보상해주어야 한다.The end portion of the floating gate stem portion 412a may be oxidized during or after the step 516 to 518 to cause a bird's beak on the floating gate poly. When the floating gate polysilicon is oxidized, the edge of the upper portion of the floating gate stem 412a is rounded. Changing the oxidation time and reaction well can make the degree of curvature of the upper portion of the floating gate stem portion 412a larger or smaller. Referring to FIG. 6J, it can be seen that a buzz beak 712 is formed at the upper portion of the floating gate 412 so that its end is rounded. The buzz beak 712 is made of silicon dioxide and may therefore tend to act as a dielectric. It should be noted that the buzz beak 712 may affect the overall height of the floating gate and the width of the stem portion. Therefore, these effects must be compensated for earlier in the process.

다음으로 산화물 스페이서(708)는 그대로 둔 채로 쉘로우 트렌치 분리(shallow trench isolation, 이하 'STI'라 함)를 위한 트렌치들을 형성한다. 520 단계에서는 산화물 스페이서(708)를 그대로 두고 폴리실리콘(606)의 하부, 제1산화물 층(604) 및 실리콘 기판(602)의 상부를 에칭한다. 에칭된 결과는 도 6d와 같다. 일 실시예에서는 낸드 스트링 사이에 STI를 형성하기 위해 기판(602) 안쪽으로 대략 0.2미크론 정도의 깊이까지 에칭하는데 이 때 트렌치의 바닥이 P웰의 상부 내에 위치한다.The oxide spacer 708 is then left to form trenches for shallow trench isolation (hereinafter referred to as STI). In operation 520, the lower portion of the polysilicon 606, the first oxide layer 604, and the upper portion of the silicon substrate 602 are etched while leaving the oxide spacer 708 intact. The etched result is shown in FIG. 6D. In one embodiment, the trench is etched to a depth of approximately 0.2 microns inside the substrate 602 to form an STI between the NAND strings, with the bottom of the trench located within the top of the P well.

522 단계에서는 PSZ(partially stabilized zirconia)나 SiO2와 같은 절연물(407)로 STI 트렌치들을 채우는데 CVD나 급속 ALD 또는 다른 방법을 이용하여 하드 마스크(610)의 맨 윗부분까지 채운다. 524 단계에서는 화학기계적 연마(chemical mechanical polishing, 이하 'CMP'라 함)나 다른 적합한 공정을 이용하여 SiN(610)까지 절연물(407)을 연마하여 편평하게 만든다. 도 6e는 522 단계에서 524 단계를 거친 후의 결과를 나타낸 것이다.In step 522, the STI trenches are filled with an insulator 407, such as partially stabilized zirconia (PSZ) or SiO 2 , to the top of the hard mask 610 using CVD, rapid ALD, or other methods. In step 524, the insulator 407 is polished and flattened to the SiN 610 using chemical mechanical polishing (CMP) or other suitable process. Figure 6e shows the result after the step 524 to step 524.

526 단계에서는 STI 절연물(407)과 산화물 스페이서(708)를 에치백(etch back)한다. 527 단계에서는 질화물 하드 마스크(610)을 제거한다. 526 단계와 527 단계는 옵션 A와 옵션 B라고 표시된 것 중 어떤 순서로도 수행할 수 있다. 옵션 A를 먼저 설명한다. 526 단계에서는 IPD(inter-poly dielectric)를 증착하기 위한 준비단계로 STI 절연물(407)과 산화물 스페이서(708)를 에치백한다. 도 6f는 526 단계를 거친 후의 결과를 나타낸 것이다.In step 526, the STI insulator 407 and the oxide spacer 708 are etched back. In step 527, the nitride hard mask 610 is removed. Steps 526 and 527 can be performed in any order, labeled as option A and option B. Option A will be described first. In step 526, the STI insulator 407 and the oxide spacer 708 are etched back in preparation for depositing an inter-poly dielectric (IPD). 6F shows the result after the step 526.

527 단계에서는 SiN 층(610)을 벗겨낸다. 도 6g는 옵션 A를 거친 후의 결과를 나타낸 것이다. 에치백을 한 후에 질화물 하드 마스크(610)를 제거하면 유전체 캡(408)의 상부는 비교적 편평하게 된다. In step 527, the SiN layer 610 is peeled off. 6G shows the result after going through option A. Removing the nitride hard mask 610 after etch back causes the top of the dielectric cap 408 to be relatively flat.

옵션 B에서는 STI 절연물(407)과 산화물 스페이서(708)를 에치백하는 단계(526)에 앞서 질화물 마스크(610)를 제거하는 단계(527)를 거친다. 도 6h는 옵션 B를 수행한 후의 결과를 나타낸 것이다. 에치백하기 전에 질화물 하드 마스크(610)를 제거하면 유전체 캡(408)의 상부는 비교적 둥글게 된다. 옵션 B를 사용할 때에는 에칭이 약간의 수평성분을 가질 수 있고 플로팅 게이트 스템부(412a)를 형성하는 폴리실리콘과 산화물 캡(408) 모두 조금씩 에칭될 수 있기 때문에 공정의 초기단계에서부터 플로팅 게이트 스템부(412a)의 폭을 최종 목표치보다 조금 더 넓게 잡아야 한다.In option B, the nitride mask 610 is removed 527 prior to the step 526 of etching back the STI insulator 407 and the oxide spacer 708. 6H shows the result after performing option B. Removing the nitride hard mask 610 before etching back makes the top of the dielectric cap 408 relatively rounded. When using option B, the etching may have some horizontal component and both the polysilicon and the oxide cap 408 forming the floating gate stem portion 412a may be etched in small portions so that the floating gate stem portion (from the beginning of the process) 412a) should be slightly wider than the final target.

528 단계에서는 유전체 406과 같은 인터폴리 유전체를 형성하거나 증착한다. 이 유전체는 산화물과 질화물이 교대로 오는 컨포멀 층(conformal layer)을 포함할 수 있다. 예를 들면, ONO(oxide nitride oxide) 인터폴리 유전체가 사용된다. 일 실시예에서는 IPD가 질화물-산화물-질화물-산화물-질화물로 이루어진다. 528 단계를 거친 후의 결과가 도 6i에 나타나 있다. 도 6i에서는 유전체 캡(408)이 곡률을 가지는 것으로 그려져 있지만 곡률이 반드시 필요한 것은 아니라는 것에 유의해야 한다.Step 528 forms or deposits an interpoly dielectric, such as dielectric 406. This dielectric may include a conformal layer, in which oxides and nitrides alternate. For example, an oxide nitride oxide (ONO) interpoly dielectric is used. In one embodiment, the IPD consists of nitride-oxide-nitride-oxide-nitride. The result after step 528 is shown in FIG. 6I. Note that although dielectric cap 408 is depicted in FIG. 6I as having curvature, curvature is not necessarily required.

530 단계에서는 컨트롤 게이트(워드 라인)을 증착한다. 530 단계는 폴리실리콘 층, 텅스텐 실리사이드(WSi) 층 및 실리콘 나이트라이드(SiN) 층을 증착하는 것을 포함할 수 있다. 컨트롤 게이트를 형성할 때에는 워드 라인들이 서로 분리되도록 포토리소그래피(photolithography)를 이용하여 낸드 체인에 수직한 스트립들의 패턴을 만든다. 530 단계에서는 여러 층들을 에칭하여 개개의 워드 라인을 형성하도록 순수하게 물리적인 에칭방법인 플라즈마 에칭, 이온 밀링(ion milling), 이온 에칭 또는 또 다른 적합한 공정을 이용하여 수행한다. In step 530, a control gate (word line) is deposited. Step 530 may include depositing a polysilicon layer, a tungsten silicide (WSi) layer, and a silicon nitride (SiN) layer. When forming the control gate, photolithography is used to pattern the strips perpendicular to the NAND chain so that the word lines are separated from each other. Step 530 is performed using a purely physical etching method such as plasma etching, ion milling, ion etching or another suitable process to etch the various layers to form individual word lines.

532 단계에서는 N+ 소오스/드레인 영역(444)을 만들기 위해 임플란트 공정을 수행한다. 비소(arsenic)나 인(phosphorous) 임플란트가 이용될 수 있다. 일 실시예에서는 헤일로(halo) 임플란트도 이용된다. 다른 실시예에서는 급속열처리(rapid thermal anneal, 이하 'RTA'라 함)와 같은 열처리 공정이 수행된다. RTA 파라미터들의 예로 10초동안 섭씨 1000도로 가열하는 방법이 있다.In operation 532, an implant process is performed to make the N + source / drain region 444. Arsenic or phosphorous implants may be used. In one embodiment, a halo implant is also used. In another embodiment, a heat treatment process such as rapid thermal anneal (hereinafter, referred to as 'RTA') is performed. An example of an RTA parameter is a method of heating to 1000 degrees Celsius for 10 seconds.

도 4a는 옵션 B를 이용하여 유전체 캡(408)의 상부를 둥글게 만들 때 532 단계를 거친 후 도 3을 선 A-A를 따라 절취한 단면을 나타낸 것이다. 도 4b는 옵션 B를 이용한 경우에 있어서 532 단계를 거친 후 도 3을 선 B-B를 따라 절취한 단면을 나타낸 것이다.4A shows a cross-section taken along line A-A of FIG. 3 after step 532 when rounding the top of dielectric cap 408 using option B. FIG. 4B is a cross-sectional view taken along line B-B of FIG. 3 after step 532 in the case of using option B.

앞서 기술된 구조와 공정들 외에도 본 발명의 기술적 사상에 속하는 대체적인 구조와 공정들이 많이 있다. 기존의 낸드형의 실시예에 있어서 한 가지 대체방법은 기존의 NMOS로 구현된 것과 비교하여 반대 극성의 바이어스(bias) 조건을 가지는 PMOS로 메모리 셀을 제조하는 것이다. 앞선 예에서는 기판은 실리콘으로 이루어져 있지만 갈륨 아르세나이드(gallium arsenide) 등과 같이 당해 기술 분야에서 잘 알려진 다른 물질들 역시 이용될 수 있다.In addition to the structures and processes described above, there are many alternative structures and processes that fall within the spirit of the present invention. One alternative method in the conventional NAND type is to manufacture a memory cell with a PMOS with a bias condition of opposite polarity compared to that implemented with conventional NMOS. In the previous example, the substrate is made of silicon, but other materials well known in the art, such as gallium arsenide, may also be used.

도 7은 비휘발성 기억 소자들의 다양한 구성들에 있어서 전기장의 세기를 플로팅 게이트 스템부의 폭에 대한 함수로 나타낸 그래프이다. 곡선 702는 도 3과 유사한 플로팅 게이트에 있어서 유전체 캡을 사용하지 않고 플로팅 게이트 바로 위의 IPD(406) 내에서 생기는 전기장의 세기를 나타낸 것이다. 전기장의 세기는 시뮬레이션에 근거하여 산출되었고 도 1c에서 "A"라고 표시된 화살표 끝의 위에 있는 IPD 내의 점에서의 전기장의 세기를 나타낸다. 유의할 것은 플로팅 게이트 스템부의 폭이 좁아질수록 전기장의 세기는 점점 커진다는 점이다. 게다가, 스템부의 폭이 200 옹스트롬(Å) 미만인 경우 전기장의 세기가 급격히 증가한다.7 is a graph showing the intensity of an electric field as a function of the width of the floating gate stem in various configurations of non-volatile memory elements. Curve 702 shows the intensity of the electric field occurring within IPD 406 directly above the floating gate without using a dielectric cap for a floating gate similar to FIG. 3. The intensity of the electric field was calculated based on the simulation and represents the intensity of the electric field at the point in the IPD above the end of the arrow labeled “A” in FIG. 1C. Note that the narrower the floating gate stem portion, the greater the intensity of the electric field. In addition, the intensity of the electric field increases rapidly when the stem portion width is less than 200 angstroms.

곡선 704는 도 1c와 유사한 플로팅 게이트에 있어서 유전체 캡(408)을 사용하지 않고 플로팅 게이트 상부의 모서리부분의 IPD 내에서 생기는 전기장의 세기를 나타낸 것이다. 전기장의 세기는 시뮬레이션에 근거하여 산출되었고 도 1c에서 "2A"라고 표시된 양방향 화살표의 오른쪽 또는 왼쪽의 IPD 내의 점에서의 전기장의 세기를 나타낸다. 주어진 플로팅 게이트 스템부의 폭에 대하여 전기장의 세기가 모서리부(곡선 704)보다 스템부(곡선 702)에서 더 크다는 점에 주목하라.Curve 704 shows the intensity of the electric field in the IPD of the corner of the top of the floating gate without using the dielectric cap 408 for a floating gate similar to FIG. 1C. The intensity of the electric field was calculated based on the simulation and represents the intensity of the electric field at the point in the IPD to the right or left of the double arrow labeled "2A" in FIG. 1C. Note that for a given floating gate stem width, the field strength is greater at the stem portion (curve 702) than at the corner portion (curve 704).

포인트 706은 도 4a에 도시된 비휘발성 기억 소자와 유사한 반구형의 유전체 캡(408)을 사용한 경우에 있어서 도 4a의 "모서리부 전기장"이라고 표시된 플로팅 게이트 스템부(412a)의 상부의 모서리 부분의 IPD(406)에서 생기는 전기장의 세기를 나타낸 것이다. 플로팅 게이트(412)의 폭은 100 옹스트롬이다.Point 706 is the IPD of the upper corner portion of the floating gate stem portion 412a, which is labeled " edge edge electric field " of FIG. 4A when using a hemispherical dielectric cap 408 similar to the nonvolatile memory element shown in FIG. 4A. The intensity of the electric field at 406 is shown. Floating gate 412 is 100 angstroms wide.

포인트 708은 도 4a에 도시된 비휘발성 기억 소자와 유사한 유전체 캡(408)을 사용한 경우에 있어서 도 4a의 "상부 전기장"이라고 표시된 플로팅 게이트 스템부(412a)의 맨위의 IPD(406)에서 생기는 전기장의 세기를 나타낸 것이다. 주목할 것은 플로팅 게이트의 끝에서의 전기장의 세기(포인트 708)가 플로팅 게이트 상부의 모서리부분에서의 전기장의 세기(포인트 706)보다 적다는 것이다. 게다가, 스템부(412a) 상부에서의 전기장의 세기가 감소되기 때문에 그 영역에서의 누설전류의 양도 감소된다.Point 708 represents the electric field generated at the top of the IPD 406 at the top of the floating gate stem portion 412a, which is labeled " top electric field " in FIG. 4A when using a dielectric cap 408 similar to the nonvolatile memory device shown in FIG. 4A. It represents the strength of. Note that the strength of the electric field at the end of the floating gate (point 708) is less than the strength of the electric field at the edge of the floating gate (point 706). In addition, since the intensity of the electric field at the top of the stem portion 412a is reduced, the amount of leakage current in that area is also reduced.

플로팅 게이트 상부에서의 전기장의 세기를 감소시키면 전체 성능에 큰 영향을 주지 않고도 전체 누설전류의 양을 상당히 줄일 수 있다. 주목할 것은 일부 유전체 물질이 IPD에 부가되었지만 유전체의 전체적인 양은 많이 증가하지 않았다는 점이다. 따라서 플로팅 게이트와 컨트롤 게이트 간의 결합은 심한 영향을 받지 않는다. 그렇지만 누설전류가 가장 문제가 되는 부분에서의 누설전류의 양은 감소되었다.Reducing the field strength above the floating gate can significantly reduce the amount of total leakage current without significantly affecting overall performance. Note that some dielectric material was added to the IPD but the overall amount of dielectric did not increase much. Therefore, the coupling between the floating gate and the control gate is not severely affected. However, the amount of leakage current where the leakage current is most problematic is reduced.

도 8a는 도 4a와 도 4b에 도시된 메모리 셀 제조 공정의 일부분에 대한 일 실시예를 보여주는 순서도이다. 도 9a 내지 도 9e는 도 8a의 여러 단계에서의 메모리 셀의 형태를 보여준다. 도 9a 내지 도 9e는 도 3을 선 A-A를 따라 절취한 단면을 나타낸 것이다. 이 예에서 플로팅 게이트는 워드 라인을 따라 자른 단면에서 보았을 때 비교적 폭이 좁은 모양을 하고 있지만 본 명세서에서 논의되는 원리는 비트 라인을 따라 자르거나 비트 라인과 워드 라인 모두를 따라 자른 단면에서 보았을 때 폭이 좁은 경우에도 적용될 수 있다는 점에 유의해야한다.FIG. 8A is a flow diagram illustrating one embodiment of a portion of the memory cell fabrication process illustrated in FIGS. 4A and 4B. 9A-9E show the shape of a memory cell at various stages of FIG. 8A. 9A to 9E illustrate a cross section taken along line A-A of FIG. 3. In this example, the floating gate has a relatively narrow shape when viewed from a cross section along the word line, but the principle discussed herein is a width when viewed from a cross section along the bit line or along both the bit line and the word line. It should be noted that this can be applied even in narrow cases.

도 8a에 나타낸 공정에서는 플로팅 게이트(412)의 상부에서 산소와 같은 물질을 임플란트하고 임플란트된 산소와 플로팅 게이트(412)의 폴리실리콘으로부터 유전체 캡(408)이 형성되도록 열처리(annealing)와 같은 공정에 의해서 플로팅 게이트(412)를 처리함으로써 유전체 캡(408)을 형성한다. 임플란트되는 물질이 반드시 산소일 필요는 없다. 일 실시예에서는 질소가 임플란트된다.In the process shown in FIG. 8A, a process such as annealing is performed to implant a material such as oxygen on top of the floating gate 412 and to form a dielectric cap 408 from the implanted oxygen and polysilicon of the floating gate 412. Treating the floating gate 412 to form the dielectric cap 408. The material to be implanted does not necessarily have to be oxygen. In one embodiment, nitrogen is implanted.

도 8a의 공정에서는 플로팅 게이트(412)의 형성에 이용되는 초기 단계들은 나타나 있지 않다. 또한, 이 순서도에는 대부분의 초기 단계들, 스택들사이의 에칭된 부분의 갭필, 컨택트의 형성, 금속화, 비아 형성, 패시베이션 단계들뿐만 아니라 제조 공정에서 잘 알려진 다른 부분들도 나타나 있지 않다. 본 발명에 따라 메모리를 제조하는 방법이 많이 있으며 도 8a에서 기술된 방법 외에도 여러가지 방법이 사용될 수 있음을 고려하여 발명할 수 있다. 플래쉬 메모리 칩은 코어 메모리와 주변 회로들을 가지고 있지만 도 8a의 공정은 코어 메모리 어레이의 제조를 위한 하나의 가능한 공정 레시피를 일반적인 용어들로 기술한 것이다.In the process of FIG. 8A, the initial steps used to form the floating gate 412 are not shown. In addition, most of the initial steps, gap fill of the etched portions between the stacks, formation of contacts, metallization, via formation, passivation steps, as well as other well-known parts of the manufacturing process are not shown in this flowchart. There are many methods for manufacturing a memory in accordance with the present invention and can be invented in consideration of various methods besides the method described in FIG. 8A. The flash memory chip has a core memory and peripheral circuits, but the process of Figure 8A describes one possible process recipe for the manufacture of a core memory array in general terms.

902 단계는 플로팅 게이트를 형성하고 STI 구조를 위한 물질을 증착하는 단계이다. 도 9a는 STI 물질(407)이 플로팅 게이트(412) 주위에 증착된 후의 단계에서 두개의 메모리 셀을 보인 것이다. 구체적으로 도 9a는 기판(402) 위에 2개의 플로팅 게이트(412)가 형성되어 있는 것을 보여준다. 게이트 산화물(410)은 플로팅 게이트(412)와 기판(402) 사이에 형성되었다. 질화물 마스크(910)은 플로팅 게이트 스템부(412a) 위에서 제거되지 않고 아직 남아있다. 기판(402) 안까지 에칭하여 STI 트렌치를 만든 후 STI 물질(407)로 질화물 마스크(610)의 맨 위까지 트렌치를 채웠다. 도 9a에 나타낸 단계까지 메모리 셀을 형성하는 기술은 잘 알려져 있으므로 여기서는 자세히 논의하지 않는다.Step 902 is to form a floating gate and deposit a material for the STI structure. 9A shows two memory cells in a step after STI material 407 is deposited around floating gate 412. Specifically, FIG. 9A shows two floating gates 412 formed on the substrate 402. Gate oxide 410 was formed between floating gate 412 and substrate 402. The nitride mask 910 has not yet been removed over the floating gate stem portion 412a. After etching into the substrate 402 to make an STI trench, the trench is filled with the STI material 407 to the top of the nitride mask 610. Techniques for forming memory cells up to the steps shown in FIG. 9A are well known and will not be discussed in detail here.

904 단계는 나중에 유전체 캡(408)을 형성하는데 씨드 물질(seed material)의 역할을 하도록 플로팅 게이트(412)의 윗면으로부터 물질을 임플란트하는 단계이다. 이 실시예에서는 질화물 마스크(910)을 통하여 물질을 임플란트한다. 도 9b는 질화물 마스크(910)을 제자리에 둔 채로 플로팅 게이트 스템부(412a)의 상부로부터 씨드 물질(908)을 임플란트한 후의 메모리 셀을 나타낸 것이다. 뒤의 공정에서 씨드 물질(908)은 열처리와 같은 처리를 거쳐 유전체 캡(408)을 형성한다. 일 실시예에서는 씨드 물질(908)은 산소이다. 산소를 임플란트할 때에 SIMOX(separation by implanted oxygen)와 유사한 기술을 이용할 수 있다. SIMOX는 다량의 산소를 임플란트하고 고온의 열처리를 함으로써 SOI(silicon-on-insulator) 구조와 기판을 제조하기 위한 기술이다. 예를 들면, SIMOX 공정은 이온주입에너지를 선택하여 실리콘 기판의 원하는 깊이까지 산소 이온을 임플란트한다. 이온을 임플란트한 후에는 기판 내의 실리콘과 함께 산소 이온을 실리콘 다이옥사이드로 변환시키기 위해 열처리를 한다. SIMOX를 이용하여 실리콘 기판에 파묻힌 상태로 실리콘 다이옥사이드 층이 조심스럽게 컨트롤되어 형성되었다. 다만, SIMOX는 대개 기판의 특정 깊이에 파묻힌 실리콘 다이옥사이드 층을 만드는 데 사용되는 반면 본 기술은 플로팅 게이트(412) 상부에 유전체 캡(408)을 형성한다.Step 904 is implanting material from the top of the floating gate 412 to serve as a seed material to form the dielectric cap 408 later. In this embodiment, the material is implanted through nitride mask 910. 9B shows the memory cell after implanting the seed material 908 from the top of the floating gate stem portion 412a with the nitride mask 910 in place. In a later process, the seed material 908 is subjected to a treatment such as heat treatment to form the dielectric cap 408. In one embodiment, the seed material 908 is oxygen. When implanting oxygen, a technique similar to separation by implanted oxygen (SIMOX) can be used. SIMOX is a technology for fabricating silicon-on-insulator (SOI) structures and substrates by implanting a large amount of oxygen and performing a high temperature heat treatment. For example, the SIMOX process selects ion implantation energy to implant oxygen ions to the desired depth of the silicon substrate. After implanting the ions, heat treatment is performed to convert oxygen ions into silicon dioxide together with the silicon in the substrate. The silicon dioxide layer was carefully controlled and formed embedded in the silicon substrate using SIMOX. However, SIMOX is typically used to make a layer of silicon dioxide embedded at a certain depth of the substrate, while the present technology forms a dielectric cap 408 over the floating gate 412.

씨드 물질(908)은 임플란트 공정을 적절히 컨트롤하여 SiN(910)을 통하여 임플란트될 수도 있다는 점을 유의해야 한다. 깊이와 농도는 에너지와 산소 도즈(dose)에 의해서 컨트롤될 수 있다. 깊이는 이온이 주입될 때의 에너지에 의해서 컨트롤된다. 씨드 물질(908)의 농도는 수직방향으로 볼 때 균일하지 않을 수 있다. 예를 들어 농도의 분포가 가우시안(Gaussian)에 근접할 수 있는데 임플란트할 때 사용되는 에너지를 적절히 선택함으로써 가우시안 분포의 피크가 플로팅 게이트 스템부(412a)의 표면 아주 가까이에서 형성되도록 할 수 있다. It should be noted that the seed material 908 may be implanted through the SiN 910 with appropriate control of the implant process. Depth and concentration can be controlled by energy and oxygen dose. Depth is controlled by the energy at which ions are implanted. The concentration of seed material 908 may not be uniform when viewed in the vertical direction. For example, the distribution of concentrations may be close to a Gaussian, whereby the peaks of the Gaussian distribution can be formed very close to the surface of the floating gate stem 412a by appropriately selecting the energy used when implanting.

소오스/드레인 영역을 형성하기 위해 기판(402)에 이온을 임플란트한 후에 열처리와 같은 나중의 단계를 거치면서 산소가 실리콘 다이옥사이드로 변환되는 부작용이 발생한다. 원하면 추가의 단계를 수행하여도 무방하지만 씨드 물질(908)을 변환하는 단계를 반드시 추가해야하는 것은 아니라는 점에 유의해야 한다.After implanting ions into the substrate 402 to form source / drain regions, side effects such as oxygen conversion to silicon dioxide occur during later steps such as heat treatment. Note that additional steps may be performed if desired, but it is not necessary to add the step of converting the seed material 908.

씨드 물질(908)은 반드시 산소일 필요는 없다. 다른 실시예에서는 씨드 물질(908)은 질소이다. 이 경우에 유전체 캡(408)은 SiN이 될 것이다. 일 실시예에서는 씨드 물질(908)은 산소와 질소를 모두 포함한다. 또한 다른 씨드 물질이 이용될 수도 있다.Seed material 908 need not necessarily be oxygen. In other embodiments, the seed material 908 is nitrogen. In this case the dielectric cap 408 will be SiN. In one embodiment, the seed material 908 includes both oxygen and nitrogen. Other seed materials may also be used.

한 구현예에서는 씨드 물질(908)에 부가하여 컨트롤 물질(control material)을 임플란트하여 유전체 캡(408)의 형성과정을 컨트롤한다. 컨트롤 물질로써 열처리 과정에서 유전체 캡(408)이 형성되는 속도를 컨트롤할 수도 있다. 예를 들면, 씨드 물질(908)로부터 실리콘 다이옥사이드가 형성되는 속도를 컨트롤하기 위해 산소와 함께 아르곤(argon)을 임플란트할 수 있다. 여기서 아르곤은 실리콘 다이옥사이드가 형성되는 속도를 증가시킬 수 있다. 한 구현예에서는 아르곤이 거의 남지 않거나 아예 남지 않도록 열처리와 같은 단계을 거치면서 아르곤을 날려버린다. 다른 구현예에서는 메모리 셀이 형성된 후에도 일부 아르곤이 남아있다.In one embodiment, a control material is implanted in addition to the seed material 908 to control the formation of the dielectric cap 408. As a control material, the rate at which the dielectric cap 408 is formed during the heat treatment may be controlled. For example, argon may be implanted with oxygen to control the rate at which silicon dioxide is formed from the seed material 908. Argon here can increase the rate at which silicon dioxide is formed. In one embodiment, argon is blown off during a step such as heat treatment so that little or no argon remains. In other embodiments, some argon remains after the memory cells are formed.

906 단계에서는 SiN 마스크(910)을 벗겨낸다. 그 결과가 도 9c에 나타나 있다. 908 단계에서는 STI 물질(407)을 에치백한다. 그 결과가 도 9d에 나타나 있는데 STI 물질(407)이 게이트 유전체(410)의 높이까지 에치백되었음을 보여준다.In operation 906, the SiN mask 910 is peeled off. The results are shown in Figure 9c. In step 908, the STI material 407 is etched back. The result is shown in FIG. 9D, showing that the STI material 407 is etched back to the height of the gate dielectric 410.

910 단계에서는 유전체 406과 같은 인터폴리 유전체를 형성하거나 증착한다. 일례로 ONO(oxide nitride oxide) 인터폴리 유전체가 이용된다. IPD를 증착하는 단계는 적어도 부분적으로 유전체 캡(408)을 형성하도록 충분히 높은 온도까지 플로팅 게이트(412)에 있는 물질에 열을 가하는 역할을 할 수도 있다. 예를 들면, 플로팅 게이트(412)가 형성된 실리콘과 임플란트된 산소로부터 실리콘 다이옥사이드가 형성되기 시작할 수도 있다. 유의할 것은 임플란트된 산소의 일부는 IPD(406)이 형성된 후에도 플로팅 게이트(412)에 남아있을 수 있다는 것이다. 후의 고온의 공정들에서 이 산소는 실리콘 다이옥사이드로 변환될 수도 있다. 도 9e는 910 단계를 거친 후의 결과를 보여준다. 910 단계을 거친 후 컨트롤 게이트, 소오스/드레인 영역 및 메모리 셀의 다른 부분을 형성하기 위해 잘 알려진 단계들을 이용할 수 있다. In step 910, an interpoly dielectric such as dielectric 406 is formed or deposited. In one example, an oxide nitride oxide (ONO) interpoly dielectric is used. Depositing the IPD may serve to heat the material in the floating gate 412 to a temperature high enough to at least partially form the dielectric cap 408. For example, silicon dioxide may begin to form from the silicon implanted with the silicon on which the floating gate 412 is formed. Note that some of the implanted oxygen may remain in the floating gate 412 even after the IPD 406 is formed. In later high temperature processes this oxygen may be converted to silicon dioxide. 9E shows the result after step 910. After step 910, well-known steps may be used to form the control gate, source / drain regions, and other portions of the memory cell.

912 단계에서는 씨드 물질(908)을 처리하여 씨드 물질(908)과 플로팅 게이트 스템부(412a) 상부에 있는 폴리실리콘으로부터 유전체 캡(408)을 형성한다. 산소를 씨드 물질로 하는 일 실시예에서는 임플란트된 산소와 플로팅 게이트(412)의 폴리실리콘으로부터 SiO2를 형성하기 위해 씨드 물질(908)을 충분히 고온까지 열을 가하는 공정 단계(process step)에 의해서 씨드 물질(908)이 처리된다. 이러한 결과를 얻기 위해 하나 또는 둘 이상의 공정 단계들을 거칠 수 있다는 것을 유의해야 한다. 앞서 논의한 바와 같이 IPD(406)을 형성하는 단계에서 적어도 부분적으로 씨드 물질(908)이 처리될 수도 있다.In step 912, the seed material 908 is processed to form a dielectric cap 408 from polysilicon over the seed material 908 and the floating gate stem portion 412a. In one embodiment where oxygen is the seed material, the seed material is processed by a process step of heating the seed material 908 to a sufficiently high temperature to form SiO2 from the implanted oxygen and polysilicon of the floating gate 412. 908 is processed. It should be noted that one or more process steps may be passed to achieve this result. As discussed above, the seed material 908 may be processed at least in part in forming the IPD 406.

소오스/드레인 영역을 형성할 때 수행하는 열처리는 씨드 물질(908)을 처리하는 공정 단계의 한 예이다. 이와 같이 다른 목적으로 수행될 공정 단계가 유전체 캡(408)을 형성하기 위해 씨드 물질을 처리하는 역할을 한다. 보통 소오스/드레인 영역은 비소나 인과 같은 물질을 기판에 임플란트함으로써 형성된다. 임플란트한 후에 RTA와 같은 열처리 공정이 수행된다. RTA 파라미터들의 예로 10초동안 섭씨 1000도까지 가열하는 방법이 있다. 이러한 RTA를 거치면 산소와 같은 씨드 물질의 대부분을 SiO2로 변환하는 역할을 할 수도 있다. 다만, 씨드 물질(908)의 일부는 남을 수도 있다. 이렇게 남겨진 씨드 물질(908)은 다른 공정 단계에 의해서 처리될 수도 있다. 예를 들면 측벽 산화(sidewall oxidation) 공정 단계에서 씨드 물질(908)이 처리되어 적어도 부분적으로 유전체 캡(408)을 형성할 수 있다. 측벽 산화을 거치는 동안 고온의 화로에 넣어져 주변 산소 기체의 일부와 반응함으로써 노출된 표면은 산화하게 되는데 이렇게 산화된 표면은 보호층의 역할을 한다. 측벽 산화는 플로팅 게이트와 컨트롤 게이트의 가장자리를 둥글게 하는데에도 이용될 수 있다. 측벽 산화는 소오스/드레인 영역을 형성하기 전에 수행될 수 있음을 유의하라.The heat treatment performed when forming the source / drain regions is an example of a process step of treating the seed material 908. As such, processing steps to be performed for other purposes serve to treat the seed material to form the dielectric cap 408. Source / drain regions are usually formed by implanting a material such as arsenic or phosphorus onto a substrate. After implantation, a heat treatment process such as RTA is performed. An example of an RTA parameter is a method of heating to 1000 degrees Celsius for 10 seconds. The RTA may convert most of the seed material such as oxygen into SiO 2 . However, some of the seed material 908 may remain. The seed material 908 thus left may be processed by other process steps. For example, seed material 908 may be processed to form dielectric cap 408 at least partially in a sidewall oxidation process step. During sidewall oxidation, they are placed in a hot furnace and react with some of the surrounding oxygen gas to oxidize the exposed surface, which acts as a protective layer. Sidewall oxidation can also be used to round the edges of the floating gate and the control gate. Note that sidewall oxidation can be performed prior to forming the source / drain regions.

도 8b는 도 4a와 도 4b에 나타낸 메모리 셀을 제조하는 공정의 일부분에 대한 일 실시예를 기술한 순서도이다. 도 8b의 공정은 도 8a의 공정을 대체할 수 있는 공정이다. 도 9f 내지 도 9g는 도 3을 선 A-A를 따라 절취한 단면으로서 도 8b에서 기술된 공정의 초기 단계에 따라 형성된 메모리 셀의 상태를 나타낸 것이다. 도 9d 내지도 9e는 도 8a의 공정에서 이미 논의한 바와 같이 공정의 뒷부분에서 형성된 메모리 셀의 상태를 나타낸 것이다. 이 예에서 플로팅 게이트는 워드 라인을 따라 자른 단면에서 보았을 때 비교적 폭이 좁은 모양을 하고 있지만 본 명세서에서 논의되는 원리는 비트 라인을 따라 자르거나 비트 라인과 워드 라인 모두를 따라 자른 단면에서 보았을 때 폭이 좁은 경우에도 적용될 수 있다는 점에 유의해야 한다. FIG. 8B is a flow chart describing one embodiment of a portion of the process of manufacturing the memory cells shown in FIGS. 4A and 4B. The process of FIG. 8B is an alternative to the process of FIG. 8A. 9F through 9G are cross-sectional views taken along the line A-A of FIG. 3, showing the state of the memory cells formed following the initial steps of the process described in FIG. 8B. 9D-9E illustrate the state of the memory cell formed later in the process, as discussed previously in the process of FIG. 8A. In this example, the floating gate has a relatively narrow shape when viewed from a cross section along the word line, but the principle discussed herein is a width when viewed from a cross section along the bit line or along both the bit line and the word line. It should be noted that this can also be applied in narrow cases.

도 8b의 공정은 도 8a와 관련하여 이미 논의된 바 있는데 플로팅 게이트와 STI 물질을 902 단계에서 형성하는 것으로 시작한다. 그리고나서 904 단계에서는 SiN 마스크(910)을 벗겨낸다. 도 9f는 도 8b의 공정 중에서 904 단계를 거친 후 메모리 셀이 형성된 모습을 보여준다. The process of FIG. 8B has already been discussed in connection with FIG. 8A and begins with forming the floating gate and STI material at step 902. Then, in step 904, the SiN mask 910 is peeled off. FIG. 9F illustrates the formation of a memory cell after step 904 in the process of FIG. 8B.

926 단계에서는 유전체 캡(408)을 형성하기 위한 씨드 물질(908)을 플로팅 게이트 스템부(412a)의 상부로 임플란트한다. 도 9g는 926 단계가 끝난 후의 결과를 보여준다. 926 단계는 도 8a의 904 단계와 유사할 수 있다. 다만, 씨드 물질(908)이 SiN 마스크(910)을 통하는 대신에 직접 플로팅 게이트(412)의 폴리실리콘으로 임플란트되기 때문에 926 단계에서는 더 적은 임플란트 에너지가 사용될 수 있다. 일 실시예에서는 씨드 물질은 산소이고 다른 실시예에서는 씨드 물질은 질소이다. 일 실시예에서는 아르곤과 같은 컨트롤 물질도 임플란트된다. In step 926, the seed material 908 for forming the dielectric cap 408 is implanted over the floating gate stem portion 412a. 9G shows the result after step 926 is complete. Step 926 may be similar to step 904 of FIG. 8A. However, less implant energy may be used in step 926 because the seed material 908 is implanted directly into the polysilicon of the floating gate 412 instead of through the SiN mask 910. In one embodiment the seed material is oxygen and in another embodiment the seed material is nitrogen. In one embodiment, a control material such as argon is also implanted.

908 단계에서는 STI 물질(407)을 에치백하는데 그 결과는 도 9d에 나타나 있다. 910 단계에서는 IPD 물질(406)을 증착하는데 그 결과는 도 9e에 나타나 있다. 912 단계에서는 씨드 물질(908)을 처리하여 씨드 물질(908)과 플로팅 게이트 스템부(412a) 상부의 폴리실리콘으로부터 유전체 캡(408)을 형성한다. 912 단계는 도 8a와 관련하여 논의된 바 있다. In step 908, the STI material 407 is etched back and the results are shown in FIG. 9D. In step 910, IPD material 406 is deposited, the result of which is shown in FIG. 9E. In step 912, the seed material 908 is processed to form a dielectric cap 408 from polysilicon over the seed material 908 and the floating gate stem portion 412a. Step 912 has been discussed with respect to FIG. 8A.

도 8c는 도 4a와 도 4b에 나타낸 메모리 셀을 제조하는 공정의 일부분에 대한 일 실시예를 기술한 순서도이다. 도 8c의 공정은 도 8a와 도 8b의 공정을 대체할 수 있는 공정이다. 도 9h 내지 도 9i는 도 3을 선 A-A를 따라 절취한 단면으로서 도 8c에서 기술된 공정의 초기 단계들에 따라 형성된 메모리 셀을 나타낸 것이다. 도 8a의 공정에서 이미 논의한 바 있는 도 9d 내지 도 9e는 공정의 뒷부분에서 형성된 메모리 셀을 나타낸 것이다. 이 예에서 플로팅 게이트는 워드 라인을 따라 자른 단면에서 보았을 때 비교적 폭이 좁은 모양을 하고 있지만 본 명세서에서 논의되는 원리는 비트 라인을 따라 자르거나 비트 라인과 워드 라인 모두를 따라 자른 단면에서 보았을 때 폭이 좁은 경우에도 적용될 수 있다는 점에 유의해야 한다. FIG. 8C is a flow chart describing one embodiment of a portion of the process for fabricating the memory cell shown in FIGS. 4A and 4B. The process of FIG. 8C is an alternative to the process of FIGS. 8A and 8B. 9H-9I illustrate a memory cell formed in accordance with the initial steps of the process described in FIG. 8C, taken along line A-A of FIG. 3. 9D-9E, which have already been discussed in the process of FIG. 8A, show memory cells formed later in the process. In this example, the floating gate has a relatively narrow shape when viewed from a cross section along the word line, but the principle discussed herein is a width when viewed from a cross section along the bit line or along both the bit line and the word line. It should be noted that this can also be applied in narrow cases.

도 8c의 공정은 도 8a와 관련하여 이미 논의된 바 있는데 902 단계에서 플로팅 게이트(412)와 STI 물질(407)을 형성하는 것으로 시작된다. 904 단계에서는 SiN 마스크(910)을 벗겨낸다. The process of FIG. 8C has already been discussed with respect to FIG. 8A and begins with forming the floating gate 412 and STI material 407 at step 902. In step 904, the SiN mask 910 is peeled off.

다음으로, 944 단계에서 STI 물질(407)을 부분적으로 에치백한다. 944 단계를 거친 결과는 도 9h에 나타나 있는데 STI 물질(407)이 플로팅 게이트 스템부(412a)의 일부만을 노출시키도록 아래로 에칭되어 있다. 하지만, 플로팅 게이트 스템부(412a)의 아래부분과 플로팅 게이트 베이스부(412b)는 여전히 STI 물질(407)에 의해 덮여 있다. STI 물질(407)을 에치백하는 정확한 깊이는 중요하지 않다. 한 구현예에서는 씨드 물질을 부가시킬 때 플로팅 게이트 베이스부(412b)에 닿지 않도록 플로팅 게이트 베이스부(412b)까지 내려오기 전의 어느 한 지점에서 에칭을 멈춘다. 본 실시예에서는 플로팅 게이트 스템부(412a)의 상부가 노출되어 있고 산소를 매우 얕은 깊이까지만 임플란트하면 되기 때문에 산소를 임플란트할 때의 에너지는 비교적 낮게 유지될 수 있다는 점을 유의해야 한다. Next, in step 944, the STI material 407 is partially etched back. The results after step 944 are shown in FIG. 9H where the STI material 407 is etched down to expose only a portion of the floating gate stem portion 412a. However, the lower portion of floating gate stem portion 412a and floating gate base portion 412b are still covered by STI material 407. The exact depth to etch back the STI material 407 is not critical. In one embodiment, the etch stops at any point prior to descending to the floating gate base 412b so as not to touch the floating gate base 412b when the seed material is added. Note that in this embodiment, since the upper portion of the floating gate stem portion 412a is exposed and oxygen only needs to be implanted to a very shallow depth, the energy when implanting oxygen can be kept relatively low.

946 단계에서는 STI 물질(407)을 에치백하여 플로팅 게이트 스템부(412a) 상부의 측면들이 노출되어 있는 상태에서 씨드 물질(908)을 플로팅 게이트 스템부(412a) 상부로 임플란트한다. 일 실시예에서는 씨드 물질은 산소이다. 다른 실시예에서는 씨드 물질은 질소이다. 일 실시예에서는 아르곤과 같은 컨트롤 물질도 임플란트된다. 도 9i는 946 단계를 거친 후의 결과를 보여준다. 이 구현예에서 유의할 것은 STI 에치백의 대부분이 임플란트 단계이전에 수행된다는 것이다. In operation 946, the seed material 908 is implanted onto the floating gate stem 412a while the STI material 407 is etched back and the side surfaces of the floating gate stem 412a are exposed. In one embodiment, the seed material is oxygen. In another embodiment, the seed material is nitrogen. In one embodiment, a control material such as argon is also implanted. 9I shows the result after step 946. Note that in this embodiment, most of the STI etch back is performed prior to the implant step.

948 단계에서는 STI 물질(407)을 더 에치백한다. 이 단계에서 STI 물질(407)을 더 에치백할 때 STI 물질(407)의 윗부분에 임플란트되었을 수 있는 어떤 씨드 물질도 제거될 것이라는 점을 유의해야 한다. 도 9d는 948 단계를 거친 후의 결과를 나타낸 것이다. 910 단계에서는 IPD 층(406)을 증착시킨다. 도 9e는 IPD 층(406)을 증착시킨 후의 결과를 나타낸 것이다.In step 948, the STI material 407 is further etched back. Note that further seeding of the STI material 407 at this stage will remove any seed material that may have been implanted on top of the STI material 407. 9D shows the result after the step 948. In step 910, an IPD layer 406 is deposited. 9E shows the results after depositing the IPD layer 406.

912 단계에서는 씨드 물질(908)을 처리하여 씨드 물질(908)과 플로팅 게이트 스템부(412a) 상부에 있는 폴리실리콘으로부터 유전체 캡(408)을 형성한다. 912 단계는 도 8a와 관련하여 이미 논의한 바 있다. In step 912, the seed material 908 is processed to form a dielectric cap 408 from polysilicon over the seed material 908 and the floating gate stem portion 412a. Step 912 has already been discussed with respect to FIG. 8A.

도 10은 하나 이상의 메모리 다이 또는 칩(1012)을 포함할 수 있는 비휘발성 기억 장치(1010)을 나타낸 것이다. 메모리 다이(1012)는 2차원 또는 3차원의 메모리 셀 어레이(1000), 컨트롤 회로(1020) 및 읽기/쓰기 회로(1030A, 1030B)를 포함한다. 일 실시예에서는 여러 주변 회로들에 의한 메모리 어레이(1000)로의 액세스는 어레이의 마주보는 반대측에서 각각 대칭적으로 구현되어 각각의 측면에서의 액세스 라인과 회로들의 밀도를 절반으로 감소시킬 수 있다. 읽기/쓰기 회로(1030A, 1030B)는 메모리 셀의 한 페이지를 병렬적으로 읽거나 프로그램할 수 있도록 다중의 센스 블록(300)을 포함한다. 메모리 어레이(1000)는 행 디코더(1040A, 1040B)를 거쳐 워드 라인과 열 디코더(1042A, 1042B)를 거쳐 비트 라인에 의해 어드레싱할 수 있다. 전형적인 실시예에서는 컨트롤러(1044)는 하나 이상의 메모리 다이(1012)가 포함된 동일한 메모리 장치(1010)(예를 들어 탈부착가능한 저장 카드나 패키지)에 포함된다. 호스트와 컨트롤러 간의 명령들과 데이터들은 라인 1032를 거쳐 전달되고 컨트롤러와 하나 이상의 메모리 다이(1012) 사이에서는 라인 1034를 거쳐 전달된다. 한 구현예로 여러개의 칩(1012)들을 포함하도록 구현할 수 있다.10 illustrates a nonvolatile memory device 1010 that may include one or more memory dies or chips 1012. The memory die 1012 includes a two-dimensional or three-dimensional memory cell array 1000, a control circuit 1020, and read / write circuits 1030A and 1030B. In one embodiment, access to the memory array 1000 by several peripheral circuits may be implemented symmetrically on opposite sides of the array, reducing the density of access lines and circuits on each side by half. The read / write circuits 1030A and 1030B include multiple sense blocks 300 to read or program one page of memory cells in parallel. The memory array 1000 may be addressed by the bit lines via the row decoders 1040A and 1040B and the word lines and the column decoders 1042A and 1042B. In a typical embodiment, the controller 1044 is included in the same memory device 1010 (eg, a removable storage card or package) that includes one or more memory dies 1012. Commands and data between the host and the controller are passed over line 1032 and between the controller and one or more memory dies 1012 over line 1034. In one embodiment, it may be implemented to include several chips 1012.

컨트롤 회로(1020)는 읽기/쓰기 회로(1030A, 1030B)와 협력하여 메모리 어레이(1000) 상에서 메모리 작업들을 수행한다. 컨트롤 회로(1020)는 상태 머신(state machine, 1022), 온칩 어드레스 디코더(1024) 및 전력 컨트롤 모듈(1026)을 포함한다. 상태 머신(1022)은 칩레벨에서 메모리 작업들을 컨트롤한다. 온칩 어드레스 디코더(1024)는 호스트나 메모리 컨트롤러에 의해 사용되는 주소를 디코더들(1040A, 1040B, 1042A, 1042B)에 의해 사용되는 하드웨어 주소로 변환시키는 어드레스 인터페이스를 제공한다. 전력 컨트롤 모듈(1026)은 메모리 동작시 워드 라인과 비트 라인에 제공되는 전력과 전압을 컨트롤한다. 일 실시예에서는 전력 컨트롤 모듈(1026)은 공급 전압보다 더 큰 전압을 만들 수 있는 하나 이상의 차지 펌프(charge pump)를 포함한다.The control circuit 1020 performs memory operations on the memory array 1000 in cooperation with the read / write circuits 1030A and 1030B. The control circuit 1020 includes a state machine 1022, an on-chip address decoder 1024, and a power control module 1026. State machine 1022 controls memory operations at the chip level. On-chip address decoder 1024 provides an address interface that translates an address used by a host or memory controller into a hardware address used by decoders 1040A, 1040B, 1042A, 1042B. The power control module 1026 controls power and voltage provided to word lines and bit lines during memory operations. In one embodiment, the power control module 1026 includes one or more charge pumps capable of producing a voltage greater than the supply voltage.

일 실시예에서는 컨트롤 회로(1020), 전력 컨트롤 회로(1026), 디코더 회로(1024), 상태 머신 회로(1022), 디코더 회로(1042A, 1042B, 1040A, 1040B), 읽기/쓰기 회로(1030A, 1030B), 컨트롤러(1044)의 어떤 조합도 하나 이상의 관리 회로(managing circuits)로 표시되어 질 수 있다.In one embodiment, the control circuit 1020, power control circuit 1026, decoder circuit 1024, state machine circuit 1022, decoder circuits 1042A, 1042B, 1040A, 1040B, read / write circuits 1030A, 1030B. Any combination of controller 1044 may be represented by one or more managing circuits.

도 11은 메모리 셀 어레이(1000)의 전형적인 구조를 나타낸 것이다. 일 실시예에서는 메모리 셀 어레이는 M개의 메모리 셀 블록들로 나뉜다. 플래쉬 EEPROM 시스템에서는 일반적인 것처럼 블록은 소거하는 단위이다. 즉, 각 블록은 함께 소거되는 메모리 셀의 최소 개수를 가진다. 각 블록은 대개 몇 개의 페이지들로 나뉜다. 하나의 페이지는 프로그램하는 단위이다. 일반적으로 데이터의 하나 이상의 페이지들이 메모리 셀의 한 행에 저장된다. 한 페이지는 하나 이상의 섹터를 가질 수 있다. 하나의 섹터는 유저 데이터와 오버헤드 데이터를 포함한다. 오버헤드 데이터는 일반적으로 섹터의 유저 데이터로부터 계산되는 에러 정정 코드(error correction code, 이하 'ECC'라 함)를 포함한다. 컨트롤러의 한 부분이 데이터가 메모리 어레이로 프로그램될 때 ECC를 계산하고 메모리 어레이에서 읽혀질 때 ECC를 검사한다. ECC, 다른 오버헤드 데이터 및 이들 모두는 관련된 유저 데이터와 다른 페이지, 심지어 다른 블록에 저장된다. 유저 데이터 섹터는 자기 디스크 드라이브의 섹터 싸이즈에 따라 일반적으로 512 바이트를 가진다. 한 블록은 많은 수의 페이지들로 만들어지는데 예를 들어 8 페이지부터 32, 64, 128 페이지 또는 그 이상의 페이지들로 만들어진다. 다른 싸이즈의 블록들과 배치들 역시 사용될 수 있다.11 illustrates a typical structure of the memory cell array 1000. In one embodiment, the memory cell array is divided into M memory cell blocks. As is common with flash EEPROM systems, blocks are units of erase. That is, each block has the minimum number of memory cells that are erased together. Each block is usually divided into several pages. One page is a unit of programming. Typically one or more pages of data are stored in one row of memory cells. One page may have more than one sector. One sector includes user data and overhead data. The overhead data generally includes an error correction code (hereinafter referred to as 'ECC') calculated from the user data of the sector. One part of the controller calculates the ECC when data is programmed into the memory array and checks the ECC when read from the memory array. ECC, other overhead data, and all of these are stored on different pages, even different blocks, than the associated user data. The user data sector generally has 512 bytes depending on the sector size of the magnetic disk drive. One block is made up of a large number of pages, for example from 8 pages to 32, 64, 128 pages or more. Other sizes of blocks and arrangements may also be used.

또 다른 실시예에서는 비트 라인들이 홀수 비트 라인과 짝수 비트 라인으로 나뉜다. 홀수/짝수 비트 라인 구조에서는 공통의 워드 라인과 홀수 비트 라인에 연결된 메모리 셀들이 한번에 프로그램되고 공통의 워드 라인과 짝수 비트 라인에 연결된 메모리 셀들이 한번에 프로그램된다.In another embodiment, the bit lines are divided into odd bit lines and even bit lines. In an odd / even bit line structure, memory cells connected to a common word line and an odd bit line are programmed at a time, and memory cells connected to a common word line and an even bit line are programmed at a time.

도 11은 메모리 어레이(1000)의 i번째 블록을 자세하게 나타낸 것이다. 블록 i는 64개의 워드 라인(WL0-WL63), 2개의 더미(dummy) 워드 라인(WL_d0, WL_d1), 드레인측 선택 라인(SGD) 및 소오스측 선택 라인(SGS)을 포함한다. 각 낸드 스트링의 한쪽 끝은 드레인 선택 게이트를 거쳐 해당 비트 라인에 연결되고 다른쪽 끝은 소오스 선택 게이트를 거쳐 소오스 라인에 연결된다. 64개의 데이터 워드 라인과 2개의 더미 워드 라인이 있기 때문에 각 낸드 스트링은 64개의 메모리 셀과 2개의 더미 메모리 셀을 포함한다. 다른 실시예에서는 낸드 스트링이 64개의 데이터 메모리 셀과 2개의 더미 메모리 셀보다 더 많거나 적은 메모리 셀을 가질 수 있다. 데이터 메모리 셀은 유저 데이터나 시스템 데이터를 저장할 수 있다. 더미 메모리 셀들은 일반적으로 유저 데이터나 시스템 데이터를 저장하는 데 이용되지 않는다. 어떤 실시예에서는 더미 메모리 셀은 포함되지 않는다.11 illustrates the i-th block of the memory array 1000 in detail. Block i includes 64 word lines WL0-WL63, two dummy word lines WL_d0 and WL_d1, a drain side select line SGD and a source side select line SGS. One end of each NAND string is connected to the corresponding bit line via a drain select gate and the other end is connected to a source line via a source select gate. Since there are 64 data word lines and two dummy word lines, each NAND string includes 64 memory cells and two dummy memory cells. In another embodiment, the NAND string may have more or fewer memory cells than 64 data memory cells and two dummy memory cells. The data memory cell can store user data or system data. Dummy memory cells are generally not used to store user data or system data. In some embodiments, dummy memory cells are not included.

도 12는 센스 모듈(1280)이라고 하는 코어부(core portion)와 공유부(common portion, 1290)로 구분되어 지는 개개의 센스 블록(300)의 블록선도를 나타낸 것이다. 일 실시예에서는 각 비트 라인마다 분리된 센스 모듈(1280)과 여러 개의 센스 모듈 집합에 대해 하나의 공유부(1290)를 가질 것이다. 일례로 하나의 센스 블록은 하나의 공유부(1290)와 8개의 센스 모듈(1280)을 포함한다. 각 센스 모듈은 한 그룹으로서 데이터 버스(1272)를 통해 관련된 공유부와 통신할 것이다. 더 자세한 사항에 대해서는 본 명세서에서 전부 참조하여 원용하는 미국특허출원공개 제2006/0140007호를 참조하길 바란다.FIG. 12 shows a block diagram of an individual sense block 300 divided into a core portion and a common portion 1290, referred to as a sense module 1280. In one embodiment, each bit line may have a separate sense module 1280 and one share unit 1290 for a plurality of sense module sets. For example, one sense block includes one share unit 1290 and eight sense modules 1280. Each sense module will communicate with the associated share via data bus 1272 as a group. For further details, see US Patent Application Publication No. 2006/0140007, which is incorporated herein by reference in its entirety.

센스 모듈(1280)은 연결된 비트 라인에서 전도되는 전류값이 미리 정해진 문턱값의 위인지 아래인지를 결정해주는 센스 회로(1270)로 이루어진다. 어떤 실시예에서는 센스 모듈(1280)은 흔히 센스 앰프(sense amplifier)라고 하는 회로를 포함한다. 센스 모듈(1280)은 연결된 비트 라인의 전압 조건을 설정하는데 이용되는 비트 라인 래치(bit line latch, 1282)를 포함한다. 예를 들면, 비트 라인 래치(1282)에 래치된 미리 정해진 상태로 인해 래치에 연결된 비트 라인은 Vdd와 같은 프로그램 금지(program inhibit) 상태로 고정되는 결과가 된다. The sense module 1280 is comprised of a sense circuit 1270 that determines whether the current value being conducted in the connected bit line is above or below a predetermined threshold. In some embodiments, sense module 1280 includes circuitry, commonly referred to as a sense amplifier. Sense module 1280 includes a bit line latch 1282 used to set the voltage condition of the connected bit line. For example, the predetermined state latched in bit line latch 1282 results in the bit line connected to the latch being locked to a program inhibit state, such as Vdd.

공유부(1290)는 프로세서(1292), 일련의 데이터 래치들(1294) 및 데이터 래치들과 데이터 버스(1220)를 결합시켜주는 입출력 인터페이스(1296)로 구성된다. 프로세서(1292)는 계산을 수행한다. 예를 들어, 그 기능중의 하나는 메모리 셀에 기억된 데이터를 센스(sense)하여 결정하고 일련의 데이터 래치들에 그 데이터를 저장하는 것이다. 일련의 데이터 래치들(1294)은 읽기 작업시 프로세서(1292)에 의해 결정된 데이터 비트들을 저장하는데에 이용된다. 또한 프로그램 동작시 데이터 버스(1220)로부터 받아온 데이터 비트들을 저장하는데에도 이용된다. 여기서 데이터 버스에서 받아온 데이터란 메모리에 프로그램될 데이터를 말한다. 입출력 인터페이스(1296)는 데이터 래치(1294)와 데이터 버스(1220) 간에 인터페이스를 제공한다.The sharer 1290 includes a processor 1292, a series of data latches 1294, and an input / output interface 1296 that couples the data latches and the data bus 1220. Processor 1292 performs the calculation. For example, one of its functions is to sense and determine data stored in a memory cell and store the data in a series of data latches. The series of data latches 1294 is used to store the data bits determined by the processor 1292 during a read operation. It is also used to store data bits received from the data bus 1220 during program operation. The data received from the data bus is data to be programmed in the memory. Input / output interface 1296 provides an interface between data latch 1294 and data bus 1220.

읽기 또는 센스 작업시 어드레싱된 셀에 각각 다른 컨트롤 게이트 전압을 공급하도록 컨트롤해주는 상태 머신(1022)에 의해서 시스템의 작업이 컨트롤된다. 메모리에서 지원하는 여러가지 메모리 상태들에 상응하는 미리 정해진 여러가지 컨트롤 게이트 전압들을 단계적으로 가해주면 센스 모듈(1280)이 이들 전압 중에서 하나를 트립(trip)하여 데이터 버스(1272)를 통해 프로세서(1292)로 출력을 내보낸다. 이때 프로세서(1292)는 센스 모듈이 트리핑(tripping)되었음을 알려오면 입력 라인(1293)을 통해 상태 머신으로부터 가해는 컨트롤 게이트 전압에 대한 정보를 고려하여 결과적인 메모리 상태를 결정한다. 그 다음에 메모리 상태에 대한 이진(binary) 코드를 인코딩하여 생긴 데이터 비트값들을 데이터 래치들(1294)에 저장한다. 또 다른 실시예에서는 코어부는 센스 모듈(1280)의 출력을 래치하기 위한 래치로서 뿐만 아니라 앞서 설명한 비트 라인 래치로서 이중적인 역할을 한다.The operation of the system is controlled by state machine 1022, which controls to supply different control gate voltages to the addressed cells during read or sense operations. Stepwise application of various predetermined control gate voltages corresponding to the various memory states supported by the memory causes the sense module 1280 to trip one of these voltages to the processor 1292 via the data bus 1272. Export the output. The processor 1292 then determines that the sense module has tripped and determines the resulting memory state in consideration of information about the control gate voltage applied from the state machine via input line 1293. The data bit values resulting from encoding the binary code for the memory state are then stored in the data latches 1294. In another embodiment, the core portion serves a dual role as a bit line latch as well as a latch for latching the output of the sense module 1280.

어떤 구현예에서는 다중의 프로세서(1292)들이 포함될 것으로 예상된다. 일 실시예에서는 각 프로세서(1292)는 도 12에는 나타나 있지 않지만 하나의 출력 라인을 포함하고 각 출력 라인들은 함께 연결 논리함(wired-OR)에 연결될 것이다. 다른 실시예에서는 출력 라인을 연결 논리합에 연결시키기 전에 반전(inverted)시킨다. 이렇게 구성하면 연결 논리합 라인을 받는 상태 머신이 프로그램되는 모든 비트들이 원하는 레벨에 도달했는지를 결정할 수 있기 때문에 프로그램 검증 프로세스(program verification process) 도중에 프로그램 프로세스(programming process)가 완료된 때를 빨리 결정할 수 있게 해준다. 예를 들면, 각각의 비트가 원하는 레벨값에 도달하면 논리값 '0'(또는 반전되어 논리값 '1')이 연결 논리합에 내보내진다. 모든 비트들이 데이터 0(또는 반전되어 데이터 1)을 내보내면 상태 머신은 프로그램 프로세스를 끝내야된다는 것을 알게된다. 각 프로세서가 8개의 센스 모듈과 통신하는 형태의 실시예에서는 상태 머신이 연결 논리합 라인을 8번 읽어야될 필요가 있을 수 있고 또는 상태 머신이 연결 논리합 라인을 단 한번만 읽을 필요가 있도록 관계된 비트 라인들의 결과값들을 누적시키는 논리 회로를 프로세서(1292)에 추가할 수 있다. In some implementations, multiple processors 1292 are expected to be included. In one embodiment each processor 1292 includes one output line although not shown in FIG. 12 and each output line will be coupled together to a wired-OR. In another embodiment, the output lines are inverted before coupling to the connection OR. This configuration allows the state machine receiving the concatenation line to determine whether all the bits being programmed have reached the desired level, allowing for a quick determination of when the programming process is completed during the program verification process. . For example, when each bit reaches the desired level value, a logic value '0' (or inverted logic value '1') is sent out to the concatenation logical sum. When all the bits send out data 0 (or inverted data 1), the state machine knows that it should end the program process. In embodiments where each processor communicates with eight sense modules, the result of the associated bit lines may be that the state machine may need to read the concatenation line eight times or the state machine needs to read the concatenation line only once. Logic circuitry that accumulates values may be added to the processor 1292.

프로그램 프로세스나 검증 프로세스 중에는 프로그램할 데이터가 데이터 버스(1220)로부터 일련의 데이터 래치들(1294)에 저장된다. 프로그램 작업은 상태 머신에 의해 컨트롤되어 어드레싱된 메모리 셀의 컨트롤 게이트에 가해지는 일련의 프로그래밍 전압 펄스들로 이루어진다. 각 프로그래밍 펄스들에 이어 프로그램된 메모리 셀이 원하는 상태로 프로그램되었는지를 검증하는 프로세스를 거친다. 프로세서(1292)가 원하는 메모리 상태에 대하여 검증된 메모리 상태를 관찰한다. 두 상태가 일치하면 프로세서(1292)는 그 비트 라인이 프로그램 금지를 위한 상태로 고정되도록 비트 라인 래치(1282)를 설정한다. 이렇게 함으로써 그 메모리 셀의 컨트롤 게이트에 프로그래밍 펄스들이 가해지더라도 비트 라인에 연결된 메모리 셀이 더 이상 프로그램되는 것을 방지한다. 다른 실시예에서는 초기에 프로세서가 비트 라인 래치(1282)에 초기값을 로드(load)하고 센스 회로가 검증 프로세스 중에 프로그램 금지값으로 비트 라인 래치를 설정한다.During the program or verify process, data to be programmed is stored in the series of data latches 1294 from the data bus 1220. The programming task consists of a series of programming voltage pulses controlled by the state machine and applied to the control gate of the addressed memory cell. Each programming pulse is followed by a process of verifying that the programmed memory cell has been programmed to the desired state. The processor 1292 observes the verified memory state with respect to the desired memory state. If the two states match, the processor 1292 sets the bit line latch 1282 so that the bit line is held in a state for program prohibition. This prevents the memory cell connected to the bit line from being programmed anymore even if programming pulses are applied to the control gate of the memory cell. In another embodiment, the processor initially loads an initial value into bit line latch 1282 and the sense circuit sets the bit line latch to a program inhibit value during the verify process.

데이터 래치 스택(1294)은 각 센스 모듈에 상응하는 데이터 래치들의 스택을 가진다. 일 실시예에서는 센스 모듈(1280) 당 3개에서 5개(또는 또다른 개수)의 데이터 래치들이 존재한다. 일 실시예에서는 래치들은 각각 1비트이다. 다른 구현예에서는 반드시 그렇진 않지만 쉬프트 레지스터(shift register)로 구현되어 데이터 버스(1220)를 위해 기억된 병렬 데이터(parallel data)가 직렬 데이터(serial data)로 변환되고 또한 직렬 데이터가 병렬 데이터로 변환되도록 한다. 선호되는 일 실시예에서는 데이터의 한 블록이 직렬 전송에 의해 입출력될 수 있도록 메모리 셀의 읽기/쓰기 블록에 상응하는 모든 데이터 래치들이 함께 연결되어 블록 쉬프트 레지스터를 형성할 수도 있다. 특히 일련의 데이터 래치들이 전체 읽기/쓰기 블록을 위한 하나의 쉬프트 레지스터의 일부인 것처럼 각 데이터 래치들이 직렬로 데이터 버스를 통하여 데이터를 쉬프트시킬 수 있도록 읽기/쓰기 모듈들의 뱅크를 맞춘다.Data latch stack 1294 has a stack of data latches corresponding to each sense module. In one embodiment, there are three to five (or another number) of data latches per sense module 1280. In one embodiment, the latches are each one bit. In other embodiments, this is not necessarily the case, but may be implemented as a shift register such that parallel data stored for data bus 1220 is converted to serial data and serial data is converted to parallel data. do. In a preferred embodiment, all data latches corresponding to read / write blocks of a memory cell may be connected together to form a block shift register so that one block of data can be inputted and outputted by serial transmission. Specifically, the banks of read / write modules are aligned so that each data latch can serially shift data through the data bus, just as a series of data latches are part of one shift register for the entire read / write block.

읽기 작업과 센스 앰프에 대한 추가적인 정보는 (1) 미국특허 제7,196,931호 "Non-Volatile Memory And Method With Reduced Source Line Bias Errors", (2) 미국특허 제7,023,736호 "Non-Volatile Memory And Method with Imporved Sensing", (3) 미국특허출원공개 제2005/0169082호, (4) 미국특허 제7,196,928호 "Compensating for Coupling During Read Operations of Non-Volatile Memory", (5) 2006년 7월 20일에 간행된 미국특허출원공개 제2006/0158947호 "Reference Sense Amplifier For Non-Volatile Memory"에서 찾을 수 있다. 앞에서 열거된 5개의 특허문헌들은 본 명세서에서 전부 참조하여 원용한다.Additional information on read operations and sense amplifiers can be found in (1) US Patent No. 7,196,931 "Non-Volatile Memory And Method With Reduced Source Line Bias Errors", and (2) US Patent No. 7,023,736 "Non-Volatile Memory And Method with Imporved Sensing ", (3) US Patent Application Publication No. 2005/0169082, (4) US Patent No. 7,196,928" Compensating for Coupling During Read Operations of Non-Volatile Memory ", (5) published July 20, 2006 See US Patent Application Publication No. 2006/0158947, "Reference Sense Amplifier For Non-Volatile Memory." The five patent documents listed above are incorporated herein by reference in their entirety.

앞서의 본 발명의 실시예들에 관한 상세한 설명은 도해와 기술을 위한 목적으로 제공되었다. 완전한 형태를 개시하려고 한다거나 본 발명을 개시되어 있는 자세한 형태로 한정하려고 하는 것은 아니다. 앞에서 교시된 바에 비추어 많은 수정과 변형이 가능하다. 앞서 기술된 실시예들은 본 발명과 발명의 실제 응용에 대한 실시예들의 원리들을 가장 잘 설명하여 본 기술분야의 숙련된 기술자들이 현재 고려하고 있는 특정한 용도로 다양한 실시예와 다양한 변형으로서 본 발명을 가장 잘 이용하게 하고자 선택된 것이다. 본 발명의 범위는 본 명세서에 첨부된 청구항들에 의해서 정의되도록 하였다. The foregoing detailed description of the embodiments of the invention has been presented for the purposes of illustration and description. It is not intended to be exhaustive or to limit the invention to the precise form disclosed. Many modifications and variations are possible in light of the above teaching. The above described embodiments best explain the principles of the present invention and its practical application, and thus best illustrate the present invention in various embodiments and with various modifications for the particular use now contemplated by those skilled in the art. It is chosen to make good use of it. It is intended that the scope of the invention be defined by the claims appended hereto.

Claims (15)

상부와 적어도 두 개의 측면을 가지는 플로팅 게이트를 형성하는 단계(504, 514, 520, 902)와;
상기 플로팅 게이트 상부에 유전체 캡(dielectric cap)을 형성하는 단계(505, 514, 904, 912, 926, 946)와;
상기 유전체 캡의 위와 상기 플로팅 게이트의 적어도 두 개의 측면 주위에 게이트간 유전체 층(inter-gate dielectric layer)을 형성하는 단계(528)와; 그리고
상기 플로팅 게이트 위에 컨트롤 게이트를 형성하는 단계를 포함하며,
상기 게이트간 유전체 층은 상기 플로팅 게이트와 상기 컨트롤 게이트를 분리하는 것을 특징으로 하는 비휘발성 기억 소자의 형성 방법.
Forming (504, 514, 520, 902) a floating gate having a top and at least two sides;
Forming a dielectric cap on the floating gate (505, 514, 904, 912, 926, 946);
Forming (528) an inter-gate dielectric layer over the dielectric cap and around at least two sides of the floating gate; And
Forming a control gate over the floating gate,
And wherein the inter-gate dielectric layer separates the floating gate and the control gate.
제 1 항에 있어서,
상기 플로팅 게이트를 형성하는 단계는 실리콘으로부터 플로팅 게이트를 형성하는 단계를 포함하고;
상기 유전체 캡을 형성하는 단계는,
상기 플로팅 게이트의 상부에 산소를 임플란트하는 단계와; 그리고
상기 임플란트된 산소 및 상기 플로팅 게이트가 형성된 실리콘으로부터 유전체 캡을 형성하기 위해, 상기 플로팅 게이트를 가열하는 단계를 포함하는 것을 특징으로 하는 비휘발성 기억 소자의 형성 방법.
The method of claim 1,
Forming the floating gate comprises forming a floating gate from silicon;
Forming the dielectric cap,
Implanting oxygen on top of the floating gate; And
Heating the floating gate to form a dielectric cap from the implanted oxygen and the silicon on which the floating gate is formed.
제 2 항에 있어서,
상기 플로팅 게이트를 형성하는 단계는 하드 마스크를 이용하는 단계를 포함하며; 그리고
상기 플로팅 게이트의 상부에 산소를 임플란트하는 단계는 상기 하드 마스크를 통하여 산소를 임플란트하는 단계를 포함하는 것을 특징으로 하는 비휘발성 기억 소자의 형성 방법.
The method of claim 2,
Forming the floating gate comprises using a hard mask; And
Implanting oxygen over the floating gate comprises implanting oxygen through the hard mask.
제 2 항에 있어서,
STI(Shallow Trench Isolation) 구조를 위한 절연 물질을 증착하는 단계와, 여기서 상기 절연 물질은 상기 플로팅 게이트의 적어도 두 개의 측면을 둘러싸며;
상기 플로팅 게이트 위에 있는 하드 마스크의 높이(level)까지 상기 절연 물질을 평탄화하는 단계와; 그리고
상기 플로팅 게이트 위로부터 상기 하드 마스크를 제거하는 단계를 더 포함하며,
상기 플로팅 게이트의 상부에 산소를 임플란트하는 단계는, 상기 하드 마스크를 제거한 후, 그리고 상기 플로팅 게이트의 적어도 두 개의 측면으로부터 상기 절연 물질을 제거하기 전에 수행되는 것을 특징으로 하는 비휘발성 기억 소자의 형성 방법.
The method of claim 2,
Depositing an insulating material for a shallow trench isolation (STI) structure, wherein the insulating material surrounds at least two sides of the floating gate;
Planarizing the insulating material to a level of a hard mask over the floating gate; And
Removing the hard mask from above the floating gate,
Implanting oxygen on top of the floating gate is performed after removing the hard mask and before removing the insulating material from at least two sides of the floating gate. .
제 2 항에 있어서,
STI(Shallow Trench Isolation) 구조를 위한 절연 물질을 증착하는 단계와, 여기서 상기 절연 물질은 상기 플로팅 게이트의 적어도 두 개의 측면을 둘러싸며;
상기 플로팅 게이트 위에 있는 하드 마스크의 높이(level)까지 상기 절연 물질을 평탄화하는 단계와;
상기 플로팅 게이트 위로부터 상기 하드 마스크를 제거하는 단계와; 그리고
상기 플로팅 게이트의 적어도 두 개의 측면의 적어도 일부를 노출시키도록, 상기 절연 물질의 일부를 에치백(etch back)하는 단계를 더 포함하며,
상기 플로팅 게이트의 상부에 산소를 임플란트하는 단계는, 상기 절연 물질의 일부를 에치백한 이후에 수행되는 것을 특징으로 하는 비휘발성 기억 소자의 형성 방법.
The method of claim 2,
Depositing an insulating material for a shallow trench isolation (STI) structure, wherein the insulating material surrounds at least two sides of the floating gate;
Planarizing the insulating material to a level of a hard mask over the floating gate;
Removing the hard mask from above the floating gate; And
Etching back a portion of the insulating material to expose at least a portion of at least two sides of the floating gate,
Implanting oxygen on top of the floating gate is performed after etching back a portion of the insulating material.
제 1 항에 있어서,
상기 플로팅 게이트를 형성하는 단계 및 상기 유전체 캡을 형성하는 단계는,
상기 플로팅 게이트를 형성하는 데에 이용되는 폴리실리콘 층을 형성하는 단계와;
상기 폴리실리콘 층 위에, 상기 유전체 캡에 대해 이용될 산화물 층을 형성하는 단계와;
상기 산화물 층 위에 패턴을 형성하는 단계와; 그리고
상기 유전체 캡 및 상기 플로팅 게이트를 형성하기 위해, 상기 패턴에 기초하여 상기 산화물 층 및 상기 폴리실리콘 층을 에칭하는 단계를 포함하는 것을 특징으로 하는 비휘발성 기억 소자의 형성 방법.
The method of claim 1,
Forming the floating gate and forming the dielectric cap,
Forming a polysilicon layer used to form the floating gate;
Forming an oxide layer over the polysilicon layer to be used for the dielectric cap;
Forming a pattern on the oxide layer; And
Etching the oxide layer and the polysilicon layer based on the pattern to form the dielectric cap and the floating gate.
제 6 항에 있어서,
상기 플로팅 게이트를 형성하는 단계 및 상기 유전체 캡을 형성하는 단계는, 상기 플로팅 게이트의 상부에 곡률(curvature)을 제공하기 위해, 상기 플로팅 게이트를 형성하는 데에 이용되는 상기 폴리실리콘 층을 선택적으로 산화시키는 단계를 더 포함하며,
상기 폴리실리콘 층의 산화된 부분은 상기 유전체 캡의 일부를 형성하는 것을 특징으로 하는 비휘발성 기억 소자의 형성 방법.
The method according to claim 6,
Forming the floating gate and forming the dielectric cap selectively oxidize the polysilicon layer used to form the floating gate to provide curvature on top of the floating gate. Further comprising the step of,
Wherein the oxidized portion of the polysilicon layer forms part of the dielectric cap.
제 1 항 내지 제 7 항 중 어느 한 항에 있어서,
상기 컨트롤 게이트를 형성하는 단계는 상기 플로팅 게이트의 적어도 두 개의 측면의 주위에 상기 컨트롤 게이트를 형성하는 단계를 더 포함하는 것을 특징으로 하는 비휘발성 기억 소자의 형성 방법.
The method according to any one of claims 1 to 7,
Forming the control gate further comprises forming the control gate around at least two sides of the floating gate.
상부와 측면들을 갖는 플로팅 게이트(412)와;
상기 플로팅 게이트(412)의 위에 그리고 상기 플로팅 게이트의 측면들 주위에 있는 컨트롤 게이트(404)와; 그리고
상기 플로팅 게이트(412)와 상기 컨트롤 게이트(404) 사이에 있는 게이트간 유전체(406, 408)를 포함하며,
상기 게이트간 유전체는 상기 플로팅 게이트(412) 위의 유전체 캡(408), 및 상기 플로팅 게이트(412) 위에 그리고 상기 플로팅 게이트의 주위에 있는 유전 물질층(406)을 포함하는 것을 특징으로 하는 비휘발성 기억 장치.
A floating gate 412 having a top and sides;
A control gate (404) over the floating gate (412) and around the sides of the floating gate; And
Inter-gate dielectrics 406 and 408 between the floating gate 412 and the control gate 404,
The inter-gate dielectric includes a dielectric cap 408 over the floating gate 412, and a dielectric material layer 406 over the floating gate 412 and around the floating gate. store.
제 9 항에 있어서,
상기 플로팅 게이트와 상기 컨트롤 게이트에 다른 전압들이 가해질 때, 상기 게이트간 유전체 내에 전기장이 존재하며, 상기 유전체 캡은, 상기 게이트간 유전체 내의 전기장의 세기가 상기 플로팅 게이트의 측면들 상의 게이트간 유전체의 영역 내의 전기장의 세기와 거의 같거나 또는 더 작도록 형성되는 것을 특징으로 하는 비휘발성 기억 장치.
The method of claim 9,
When different voltages are applied to the floating gate and the control gate, an electric field is present in the intergate dielectric, and the dielectric cap is a region of the intergate dielectric on the sides of the floating gate in which the strength of the electric field in the intergate dielectric is A nonvolatile memory device, characterized in that it is formed to be approximately equal to or less than the strength of an electric field in the body.
제 9 항 또는 제 10 항에 있어서,
상기 유전체 캡의 수직 방향의 두께에 의해, 상기 게이트간 유전체 내에서의 전기장의 세기의 피크값은 상기 플로팅 게이트의 측면들에서 발생하는 것을 특징으로 하는 비휘발성 기억 장치.
The method according to claim 9 or 10,
And, due to the thickness in the vertical direction of the dielectric cap, a peak value of the intensity of the electric field in the inter-gate dielectric occurs at the sides of the floating gate.
제 9 항 내지 제 11 항 중 어느 한 항에 있어서,
상기 유전체 캡은 실리콘 다이옥사이드(silicon dioxide)를 포함하는 것을 특징으로 하는 비휘발성 기억 장치.
The method according to any one of claims 9 to 11,
And the dielectric cap comprises silicon dioxide.
제 9 항 내지 제 12 항 중 어느 한 항에 있어서,
상기 유전체 캡은 구부러진 상부(curved top)를 갖는 것을 특징으로 하는 비휘발성 기억 장치.
The method according to any one of claims 9 to 12,
And said dielectric cap has a curved top.
제 9 항 내지 제 13 항 중 어느 한 항에 있어서,
상기 유전체 캡의 상부는 실질적으로 평평한 상부를 갖는 것을 특징으로 하는 비휘발성 기억 장치.
14. The method according to any one of claims 9 to 13,
And an upper portion of the dielectric cap has a substantially flat upper portion.
제 9 항 내지 제 13 항 중 어느 한 항에 있어서,
상기 유전체 캡의 상부는 곡률 반경(radius of curvature)을 갖는 구부러진 형상을 가지며, 상기 유전체 캡에 가장 근접하는 상기 플로팅 게이트의 부분의 폭은 상기 유전체 캡의 곡률 반경의 약 두배인 것을 특징으로 하는 비휘발성 기억 장치.
14. The method according to any one of claims 9 to 13,
The upper portion of the dielectric cap has a curved shape with a radius of curvature, wherein the width of the portion of the floating gate closest to the dielectric cap is about twice the radius of curvature of the dielectric cap. Volatile Memory.
KR1020117003097A 2008-07-09 2009-07-02 Dielectric cap above floating gate KR101587198B1 (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US12/170,327 US7919809B2 (en) 2008-07-09 2008-07-09 Dielectric layer above floating gate for reducing leakage current
US12/170,327 2008-07-09
US12/170,321 2008-07-09
US12/170,321 US7915124B2 (en) 2008-07-09 2008-07-09 Method of forming dielectric layer above floating gate for reducing leakage current

Publications (2)

Publication Number Publication Date
KR20110031491A true KR20110031491A (en) 2011-03-28
KR101587198B1 KR101587198B1 (en) 2016-01-20

Family

ID=40999859

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020117003097A KR101587198B1 (en) 2008-07-09 2009-07-02 Dielectric cap above floating gate

Country Status (6)

Country Link
EP (1) EP2308080A1 (en)
JP (1) JP5558464B2 (en)
KR (1) KR101587198B1 (en)
CN (1) CN102084463B (en)
TW (1) TWI424537B (en)
WO (1) WO2010005878A1 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101539404B1 (en) * 2010-01-08 2015-07-27 삼성전자주식회사 Non-volatile memory devices and method of forming the same

Families Citing this family (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8455939B2 (en) 2010-12-21 2013-06-04 Sandisk Technologies Inc. Stacked metal fin cell
JP5331141B2 (en) * 2011-02-25 2013-10-30 株式会社東芝 Method for manufacturing nonvolatile semiconductor memory device
US8829588B2 (en) * 2011-07-26 2014-09-09 Synopsys, Inc. NVM bitcell with a replacement control gate and additional floating gate
US8994089B2 (en) * 2011-11-11 2015-03-31 Applied Materials, Inc. Interlayer polysilicon dielectric cap and method of forming thereof
CN103441075A (en) * 2013-08-02 2013-12-11 上海华力微电子有限公司 Method for manufacturing floating gate MOS transistor
US9442662B2 (en) * 2013-10-18 2016-09-13 Sandisk Technologies Llc Device and method for managing die groups
US10192753B2 (en) 2014-09-15 2019-01-29 Toshiba Memory Corporation Nonvolatile semiconductor memory device and method of manufacturing the same
CN105575969B (en) * 2014-10-17 2020-06-09 中芯国际集成电路制造(上海)有限公司 Semiconductor device, manufacturing method thereof and electronic device
US20160343722A1 (en) * 2015-05-21 2016-11-24 Sandisk Technologies Inc. Nonvolatile storage with gap in inter-gate dielectric
US11502093B2 (en) 2020-08-07 2022-11-15 Winbond Electronics Corp. Memory structure and method of manufacturing the same
US20230163129A1 (en) * 2021-11-22 2023-05-25 Taiwan Semiconductor Manufacturing Co., Ltd. Transistor Gate Structures and Methods of Forming the Same

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007258382A (en) * 2006-03-22 2007-10-04 Fujitsu Ltd Semiconductor memory, and manufacturing method of semiconductor memory

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5104819A (en) * 1989-08-07 1992-04-14 Intel Corporation Fabrication of interpoly dielctric for EPROM-related technologies
JPH0371674U (en) * 1989-11-16 1991-07-19
KR0179163B1 (en) * 1995-12-26 1999-03-20 문정환 Method of manufacturing non-volatile memory cell
JPH09219460A (en) * 1996-02-07 1997-08-19 Ricoh Co Ltd Nonvolatile semiconductor memory device and manufacture thereof
JPH11111710A (en) * 1997-10-01 1999-04-23 Nec Corp Semiconductor device and its manufacture
US6093607A (en) * 1998-01-09 2000-07-25 Taiwan Semiconductor Manufacturing Company Method of forming sharp beak of poly by oxygen/fluorine implant to improve erase speed for split-gate flash
JP2000114402A (en) * 1998-10-02 2000-04-21 Mitsubishi Electric Corp Semiconductor device and its manufacture
US6362045B1 (en) 2000-05-09 2002-03-26 Chartered Semiconductor Manufacturing Ltd. Method to form non-volatile memory cells
JP3973616B2 (en) * 2003-10-30 2007-09-12 沖電気工業株式会社 Method for manufacturing nonvolatile semiconductor memory device
JP2006310687A (en) * 2005-05-02 2006-11-09 Renesas Technology Corp Nonvolatile semiconductor memory and manufacturing method thereof
JP2007299975A (en) * 2006-05-01 2007-11-15 Renesas Technology Corp Semiconductor device, and its manufacturing method
US7253470B1 (en) * 2006-08-10 2007-08-07 Taiwan Semiconductor Manufacturing Co., Ltd. Floating gate with unique profile by means of undercutting for split-gate flash memory device
JP2009239156A (en) * 2008-03-28 2009-10-15 Toshiba Corp Manufacturing method of non-volatile semiconductor storage

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007258382A (en) * 2006-03-22 2007-10-04 Fujitsu Ltd Semiconductor memory, and manufacturing method of semiconductor memory

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101539404B1 (en) * 2010-01-08 2015-07-27 삼성전자주식회사 Non-volatile memory devices and method of forming the same

Also Published As

Publication number Publication date
WO2010005878A1 (en) 2010-01-14
CN102084463B (en) 2013-10-16
EP2308080A1 (en) 2011-04-13
CN102084463A (en) 2011-06-01
JP5558464B2 (en) 2014-07-23
KR101587198B1 (en) 2016-01-20
TW201007891A (en) 2010-02-16
JP2011527833A (en) 2011-11-04
TWI424537B (en) 2014-01-21

Similar Documents

Publication Publication Date Title
KR101587198B1 (en) Dielectric cap above floating gate
US7799637B2 (en) Scaled dielectric enabled by stack sidewall process
US7154779B2 (en) Non-volatile memory cell using high-k material inter-gate programming
US7807533B2 (en) Method for forming non-volatile memory with shield plate for limiting cross coupling between floating gates
US7919809B2 (en) Dielectric layer above floating gate for reducing leakage current
US8207036B2 (en) Method for forming self-aligned dielectric cap above floating gate
US8853763B2 (en) Integrated circuits with sidewall nitridation
US8877627B2 (en) Method of forming PN floating gate non-volatile storage elements and transistor having N+ gate
US7915124B2 (en) Method of forming dielectric layer above floating gate for reducing leakage current
US8503229B2 (en) P-/Metal floating gate non-volatile storage element
US20140126286A1 (en) Single-level cell endurance improvement with pre-defined blocks
US8885404B2 (en) Non-volatile storage system with three layer floating gate
US8450174B2 (en) Non-volatile storage having a connected source and well
KR100858744B1 (en) Non-volatile memory cell using high-k material and inter-gate programming
KR100751580B1 (en) Shield plates for limiting cross coupling between floating gates

Legal Events

Date Code Title Description
N231 Notification of change of applicant
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
LAPS Lapse due to unpaid annual fee