JP5558464B2 - Dielectric layer on floating gate to reduce leakage current - Google Patents

Dielectric layer on floating gate to reduce leakage current Download PDF

Info

Publication number
JP5558464B2
JP5558464B2 JP2011517495A JP2011517495A JP5558464B2 JP 5558464 B2 JP5558464 B2 JP 5558464B2 JP 2011517495 A JP2011517495 A JP 2011517495A JP 2011517495 A JP2011517495 A JP 2011517495A JP 5558464 B2 JP5558464 B2 JP 5558464B2
Authority
JP
Japan
Prior art keywords
floating gate
dielectric cap
forming
dielectric
gate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2011517495A
Other languages
Japanese (ja)
Other versions
JP2011527833A5 (en
JP2011527833A (en
Inventor
ダナ リー
ヘンリー チン
ジェームス カイ
タカシ ホイットニー オリモト
ヴィノード アール. プラヤス
ジョージ マタミス
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
SanDisk Technologies LLC
Original Assignee
SanDisk Technologies LLC
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US12/170,327 external-priority patent/US7919809B2/en
Priority claimed from US12/170,321 external-priority patent/US7915124B2/en
Application filed by SanDisk Technologies LLC filed Critical SanDisk Technologies LLC
Publication of JP2011527833A publication Critical patent/JP2011527833A/en
Publication of JP2011527833A5 publication Critical patent/JP2011527833A5/ja
Application granted granted Critical
Publication of JP5558464B2 publication Critical patent/JP5558464B2/en
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/30Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the memory core region
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • H01L29/4011Multistep manufacturing processes for data storage electrodes
    • H01L29/40114Multistep manufacturing processes for data storage electrodes the electrodes comprising a conductor-insulator-conductor-insulator-semiconductor structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42324Gate electrodes for transistors with a floating gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66825Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a floating gate
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B69/00Erasable-and-programmable ROM [EPROM] devices not provided for in groups H10B41/00 - H10B63/00, e.g. ultraviolet erasable-and-programmable ROM [UVEPROM] devices

Description

本発明は、不揮発性メモリに関する。   The present invention relates to a nonvolatile memory.

半導体メモリは、様々な電子デバイスで使われることが一般的になってきている。例えば、不揮発性半導体メモリは、携帯電話、デジタルカメラ、パーソナルデジタルアシスタント、モバイルコンピュータ、非モバイルコンピュータ、及び他の装置に使用されている。電気的消去・再書込み可能型読取専用メモリ(EEPROM)とフラッシュメモリは、最も普及している不揮発性半導体メモリである。   Semiconductor memories are becoming more commonly used in various electronic devices. For example, non-volatile semiconductor memories are used in mobile phones, digital cameras, personal digital assistants, mobile computers, non-mobile computers, and other devices. Electrically erasable and rewritable read only memory (EEPROM) and flash memory are the most popular nonvolatile semiconductor memories.

典型的なEEPROMとフラッシュメモリは、半導体基板内のチャネル領域の上に設けられたフローティングゲート付きのメモリセルを採用している。フローティングゲートは誘電体領域によってチャネル領域から分離されている。たとえば、チャネル領域は、ソース領域とドレイン領域との間のpウェルに位置合わせされている。制御ゲートは、別の誘電体領域(ゲート間誘電体またはインターポリ誘電体)によってフローティングゲートから分離されている。メモリセルの閾電圧は、フローティングゲート上に保持されている電荷量によって制御される。すなわち、フローティングゲート上の電荷レベルは、メモリセルがこのメモリセルのソースとドレインとの間の導通を許可するためオンにされる前に、制御ゲートに印加されるべき最小電圧量を決定する。   Typical EEPROM and flash memory employ a memory cell with a floating gate provided on a channel region in a semiconductor substrate. The floating gate is separated from the channel region by a dielectric region. For example, the channel region is aligned with the p-well between the source region and the drain region. The control gate is separated from the floating gate by another dielectric region (intergate dielectric or interpoly dielectric). The threshold voltage of the memory cell is controlled by the amount of charge held on the floating gate. That is, the charge level on the floating gate determines the minimum amount of voltage to be applied to the control gate before the memory cell is turned on to allow conduction between the source and drain of the memory cell.

いくつかのEEPROMおよびフラッシュメモリは、2つの電荷量範囲を蓄積するために使用されるフローティングゲートを備えており、それゆえ、メモリセルは2つの状態の間で書き込み/消去可能である(たとえば、バイナリ・メモリセル)。マルチビットまたはマルチステート・フラッシュメモリセルは、装置内で複数の区別された閾電圧範囲を特定することによって実現される。それぞれの閾電圧範囲は、一組のデータビットに対する予め決められた値に対応する。マルチステート・セルのための適切なデータ蓄積を実現するため、閾電圧レベルの複数の範囲は、メモリセルのレベルが明確な方式で読み出し、書き込み、または、消去可能であるように、十分な余裕を用いて互いに分離されるべきである。   Some EEPROM and flash memories have a floating gate that is used to store two charge ranges, so that the memory cell is writable / erasable between two states (eg, Binary memory cells). Multi-bit or multi-state flash memory cells are implemented by specifying multiple distinct threshold voltage ranges within the device. Each threshold voltage range corresponds to a predetermined value for a set of data bits. To achieve proper data storage for multi-state cells, multiple ranges of threshold voltage levels are sufficient to allow the memory cell levels to be read, written, or erased in a well-defined manner Should be separated from each other.

典型的なフラッシュメモリ装置に書き込むとき、書き込み電圧が制御ゲートに印加され、ビットラインが接地される。制御ゲートとフローティングゲートとの間の容量結合のため、制御ゲート上の書き込み電圧はフローティングゲートに結合され、フローティングゲート電圧を誘起する。フローティングゲート電圧はチャネルからの電子をフローティングゲートに注入させる。電子がフローティングゲートに蓄積したとき、フローティングゲートは負に帯電し、制御ゲートから見てメモリセルの閾電圧は高くなる。メモリセルの書き込まれた状態を保つため、フローティングゲート上の電荷は長時間に亘って維持される必要がある。しかし、電荷はインターポリ誘電体を通ってフローティングゲートから制御ゲートへ漏れる可能性があり、漏れ電流と呼ばれる。   When writing to a typical flash memory device, a write voltage is applied to the control gate and the bit line is grounded. Due to capacitive coupling between the control gate and the floating gate, the write voltage on the control gate is coupled to the floating gate, inducing a floating gate voltage. The floating gate voltage injects electrons from the channel into the floating gate. When electrons accumulate in the floating gate, the floating gate becomes negatively charged, and the threshold voltage of the memory cell increases as viewed from the control gate. In order to maintain the written state of the memory cell, the charge on the floating gate needs to be maintained for a long time. However, charge can leak from the floating gate to the control gate through the interpoly dielectric, referred to as leakage current.

最近のフラッシュメモリ技術では、短い書き込み/消去時間と低い動作電圧とは、高速および高密度と低電力動作とを実現するために解決されるべき主要な障壁である。よって、メモリセルのフローティングゲートと制御ゲートとの間で容量結合を増大すると共に、同時に電子がフローティングゲートから制御ゲートへ逃げることを妨げることがますます必要になりつつある。容量結合比に影響を与える制御ゲート・フローティングゲート間の容量は、2つのゲートの間のインターポリ誘電体(IPD)の厚さと、IPDの比誘電率すなわち誘電定数Kとに依存する。高結合比を実現するための1つの手法は、薄型IPDを使用することである。しかし、IPDが非常に薄い場合、漏れ電流は好ましくない程度の大きさになる可能性がある。   In modern flash memory technology, short write / erase times and low operating voltages are major barriers that must be solved to achieve high speed and high density and low power operation. Thus, it is becoming increasingly necessary to increase capacitive coupling between the floating gate and the control gate of a memory cell and at the same time prevent electrons from escaping from the floating gate to the control gate. The capacitance between the control gate and the floating gate that affects the capacitive coupling ratio depends on the thickness of the interpoly dielectric (IPD) between the two gates and the relative permittivity of the IPD, that is, the dielectric constant K. One approach to achieving a high coupling ratio is to use a thin IPD. However, if the IPD is very thin, the leakage current can be undesirably high.

不揮発性メモリ構造体がより薄くなるのにつれて、漏れ電流はより難しい問題になる。この漏れ電流問題の1つの理由は、電圧が制御ゲートに印加されたとき、IPDの種々の部分に生じる電界の強さである。具体的には、電界は、IPDの特定の領域で強められ、より大きい漏れ電流をもたらす。図1Aを参照すると、電界は、フローティングゲート102および制御ゲート104の鋭角コーナー部に近接したIPD106において最も強い。丸印が付けられているIPD106のコーナー部に近接した領域では、電界の大きさは、Aをフローティングゲート102のコーナー部の曲率変形とする場合に、1/Aに比例する。なお、鋭角コーナー部は非常に小さい曲率半径に対応しており、そのために電界が強い。   As nonvolatile memory structures become thinner, leakage current becomes a more difficult problem. One reason for this leakage current problem is the strength of the electric field that occurs in various parts of the IPD when a voltage is applied to the control gate. Specifically, the electric field is strengthened in certain areas of the IPD, resulting in greater leakage current. Referring to FIG. 1A, the electric field is strongest at IPD 106 proximate to the acute corners of floating gate 102 and control gate 104. In the region close to the corner of the IPD 106 marked with a circle, the magnitude of the electric field is proportional to 1 / A when A is the curvature deformation of the corner of the floating gate 102. The acute corner portion corresponds to a very small radius of curvature, and therefore the electric field is strong.

フローティングゲート102のコーナー部でのIPD106内の電界の強さを低減するため、図1Bに示されるように、フローティングゲート102の上部の曲率半径を増大させることができる。なお、この増大は制御ゲート104の曲率も変化させる。電界の強さを低減することにより、漏れ電流が削減される。しかし、装置構造体の寸法をより一層縮小させるために、図1Cに示されるように、フローティングゲート102の幅を狭小化することが望ましい。ポリシリコンフローティングゲート102の丸みは、図1Cのフローティングゲート102の上部全体にわたって広がっている。フローティングゲート102の丸みの量は、フローティングゲート102の幅によって制限され得る。すなわち、最大限の曲率半径(A)は、フローティングゲート102の幅の半分に制限され得る。なお、フローティングゲート102の幅(2A)がさらに縮小される場合、最大限の曲率半径もまたさらに縮小される。したがって、メモリセルの加工寸法がより一層縮小されると、IPD106内の電界と、したがって、漏れ電流とを取り扱うことがより難しくなる。   In order to reduce the strength of the electric field in the IPD 106 at the corner of the floating gate 102, the radius of curvature at the top of the floating gate 102 can be increased, as shown in FIG. 1B. This increase also changes the curvature of the control gate 104. By reducing the strength of the electric field, the leakage current is reduced. However, in order to further reduce the size of the device structure, it is desirable to reduce the width of the floating gate 102 as shown in FIG. 1C. The roundness of the polysilicon floating gate 102 extends across the entire top of the floating gate 102 of FIG. 1C. The amount of rounding of the floating gate 102 can be limited by the width of the floating gate 102. That is, the maximum radius of curvature (A) can be limited to half the width of the floating gate 102. When the width (2A) of the floating gate 102 is further reduced, the maximum curvature radius is further reduced. Thus, as the processing size of the memory cell is further reduced, it becomes more difficult to handle the electric field in the IPD 106 and thus the leakage current.

電界を低減する1つの手法は、高誘電定数を有する薄膜を用いてIPD106を形成することである。しかし、このような膜は取り扱いが難しく、それゆえに望ましくない。たとえば、常誘電体は、通常、二酸化ケイ素の誘電定数より少なくとも2桁大きい誘電定数を有するが、いくつかの問題がゲート誘電体としての常誘電体の使用を制限する。1つのこのような問題は酸素の拡散である。半導体製造と関連付けられた高温プロセスの間に、酸素は、IPD106から、IPD106と、IPD106を挟むフローティングゲート102および制御ゲート104との間の界面まで拡散し、その結果、誘電体システムの全体的な容量を減少させる望ましくない酸化層を形成する。したがって、高誘電定数常誘電体の影響は低減される。   One way to reduce the electric field is to form the IPD 106 using a thin film having a high dielectric constant. However, such membranes are difficult to handle and are therefore undesirable. For example, paraelectrics typically have a dielectric constant that is at least two orders of magnitude greater than that of silicon dioxide, but several problems limit the use of paraelectrics as gate dielectrics. One such problem is oxygen diffusion. During the high temperature process associated with semiconductor manufacturing, oxygen diffuses from the IPD 106 to the interface between the IPD 106 and the floating gate 102 and control gate 104 sandwiching the IPD 106, resulting in the overall dielectric system. An undesirable oxide layer is formed that reduces the capacitance. Therefore, the influence of the high dielectric constant paraelectric is reduced.

金属酸化物は、フラッシュメモリ装置のための高K材料としても提案されている。金属酸化物、具体的には、酸化アルミニウム(Al)は、漏れ電流が小さい。さらに、金属酸化物は、プロセス統合のための高温耐久性を有する。しかし、堆積した高誘電体金属酸化物は非化学量論的化合物を有するので、誘電体の大部分において、かつ、誘電体/半導体界面に大きい電気的欠陥またはトラップをもつ傾向がある。これらの欠陥またはトラップは、誘電体を通る伝導性を高め、そして、誘電体の破壊強度を低減する。 Metal oxides have also been proposed as high K materials for flash memory devices. A metal oxide, specifically, aluminum oxide (Al 2 O 3 ) has a small leakage current. In addition, metal oxides have high temperature durability for process integration. However, because the deposited high dielectric metal oxide has non-stoichiometric compounds, it tends to have large electrical defects or traps in the majority of the dielectric and at the dielectric / semiconductor interface. These defects or traps increase the conductivity through the dielectric and reduce the dielectric breakdown strength.

IPD内の電界を低減する別の手法では、IPD106の厚さを増加させる。しかし、IPD106の厚さの増加は、フローティングゲート102と制御ゲート106との間の容量結合を低減する傾向があり、前述の理由のため望ましくない。一般に、IPD106の厚さの増加は、曲率半径がIPD106の厚さ未満であるとき、または、IPD106の厚さがメモリセルの寸法(加工寸法)に近づくとき、失敗する傾向がある。   Another approach to reducing the electric field within the IPD is to increase the thickness of the IPD 106. However, increasing the thickness of the IPD 106 tends to reduce capacitive coupling between the floating gate 102 and the control gate 106 and is undesirable for the reasons described above. In general, an increase in the thickness of the IPD 106 tends to fail when the radius of curvature is less than the thickness of the IPD 106 or when the thickness of the IPD 106 approaches the size of the memory cell (processing dimension).

開示されている実施形態は、概略、不揮発性メモリセルと、メモリセルを製造する技術とに関係する。メモリセルは、フローティングゲートの上に誘電体キャップを有する。一実施形態では、誘電体キャップは、フローティングゲートと共形IPD層との間にある。誘電体キャップは、フローティングゲートと制御ゲートとの間の漏れ電流を削減する。誘電体キャップは、狭いステムを有するフローティングゲートのための誘電体キャップが無い場合に電界が最も強くなるフローティングゲートの上部で電界の強さを低減することによりこの削減を達成する。   The disclosed embodiments generally relate to non-volatile memory cells and techniques for manufacturing memory cells. The memory cell has a dielectric cap on the floating gate. In one embodiment, the dielectric cap is between the floating gate and the conformal IPD layer. The dielectric cap reduces leakage current between the floating gate and the control gate. The dielectric cap achieves this reduction by reducing the strength of the electric field at the top of the floating gate where the electric field is strongest when there is no dielectric cap for the floating gate with a narrow stem.

別の実施形態は、不揮発性記憶素子を製造する方法である。この方法は、上部と少なくとも2つの側部とを有するフローティングゲートを形成する工程を含む。誘電体キャップはフローティングゲートの上部に形成される。ゲート間誘電体層は、フローティングゲートの少なくとも2つの側部の周りで、誘電体キャップの上に形成される。制御ゲートはフローティングゲートの上部に形成され、ゲート間誘電体層は制御ゲートをフローティングゲートから分離する。   Another embodiment is a method of manufacturing a non-volatile storage element. The method includes forming a floating gate having an upper portion and at least two sides. A dielectric cap is formed on top of the floating gate. An intergate dielectric layer is formed over the dielectric cap around at least two sides of the floating gate. A control gate is formed on top of the floating gate, and an intergate dielectric layer separates the control gate from the floating gate.

一態様では、誘電体キャップを形成する工程では、酸素をフローティングゲートの上部に注入する工程と、注入された酸素とフローティングゲートが形成されたシリコンとから誘電体キャップを形成するためフローティングゲートを加熱する工程とを含む。   In one aspect, forming the dielectric cap includes injecting oxygen into the top of the floating gate, and heating the floating gate to form the dielectric cap from the implanted oxygen and the silicon in which the floating gate is formed. Including the step of.

これらの目的および利点と他の目的および利点とは、種々の実施形態が図面と併せて示されている以下の説明からより明らかになる。   These and other objects and advantages will become more apparent from the following description in which various embodiments are set forth in conjunction with the drawings.

種々のフローティングゲート/制御ゲート界面の構造を示す図である。It is a figure which shows the structure of various floating gate / control gate interfaces. 種々のフローティングゲート/制御ゲート界面の構造を示す図である。It is a figure which shows the structure of various floating gate / control gate interfaces. 種々のフローティングゲート/制御ゲート界面の構造を示す図である。It is a figure which shows the structure of various floating gate / control gate interfaces. 3本のNANDストリングを示す回路図である。It is a circuit diagram which shows three NAND strings. 不揮発性メモリ装置の構造を示す図である。It is a figure which shows the structure of a non-volatile memory device. メモリセルアレイの一部分の平面図である。It is a top view of a part of a memory cell array. メモリセルアレイの一部分の平面図である。It is a top view of a part of a memory cell array. 不揮発性メモリセルアレイを製造するプロセスの一実施形態を説明するフローチャートである。3 is a flowchart illustrating one embodiment of a process for manufacturing a nonvolatile memory cell array. 図5で説明されたプロセスの様々な段階における不揮発性メモリセルアレイの一部分を示す図である。FIG. 6 shows a portion of a non-volatile memory cell array at various stages of the process described in FIG. 図5で説明されたプロセスの様々な段階における不揮発性メモリセルアレイの一部分を示す図である。FIG. 6 shows a portion of a non-volatile memory cell array at various stages of the process described in FIG. 図5で説明されたプロセスの様々な段階における不揮発性メモリセルアレイの一部分を示す図である。FIG. 6 shows a portion of a non-volatile memory cell array at various stages of the process described in FIG. 図5で説明されたプロセスの様々な段階における不揮発性メモリセルアレイの一部分を示す図である。FIG. 6 shows a portion of a non-volatile memory cell array at various stages of the process described in FIG. 図5で説明されたプロセスの様々な段階における不揮発性メモリセルアレイの一部分を示す図である。FIG. 6 shows a portion of a non-volatile memory cell array at various stages of the process described in FIG. 図5で説明されたプロセスの様々な段階における不揮発性メモリセルアレイの一部分を示す図である。FIG. 6 shows a portion of a non-volatile memory cell array at various stages of the process described in FIG. 図5で説明されたプロセスの様々な段階における不揮発性メモリセルアレイの一部分を示す図である。FIG. 6 shows a portion of a non-volatile memory cell array at various stages of the process described in FIG. 図5で説明されたプロセスの様々な段階における不揮発性メモリセルアレイの一部分を示す図である。FIG. 6 shows a portion of a non-volatile memory cell array at various stages of the process described in FIG. 図5で説明されたプロセスの様々な段階における不揮発性メモリセルアレイの一部分を示す図である。FIG. 6 shows a portion of a non-volatile memory cell array at various stages of the process described in FIG. 図5で説明されたプロセスの様々な段階における不揮発性メモリセルアレイの一部分を示す図である。FIG. 6 shows a portion of a non-volatile memory cell array at various stages of the process described in FIG. 不揮発性記憶素子の種々の構成に対する電界を示すグラフである。It is a graph which shows the electric field with respect to various structures of a non-volatile memory element. 不揮発性メモリセルアレイを製造するプロセスの一実施形態を説明するフローチャートである。3 is a flowchart illustrating one embodiment of a process for manufacturing a nonvolatile memory cell array. 不揮発性メモリセルアレイを製造するプロセスの一実施形態を説明するフローチャートである。3 is a flowchart illustrating one embodiment of a process for manufacturing a nonvolatile memory cell array. 不揮発性メモリセルアレイを製造するプロセスの一実施形態を説明するフローチャートである。3 is a flowchart illustrating one embodiment of a process for manufacturing a nonvolatile memory cell array. 図8Aの製造プロセスの様々な段階における不揮発性記憶素子を示す図である。FIG. 8B illustrates a non-volatile memory element at various stages in the manufacturing process of FIG. 8A. 図8Aの製造プロセスの様々な段階における不揮発性記憶素子を示す図である。FIG. 8B illustrates a non-volatile memory element at various stages in the manufacturing process of FIG. 8A. 図8Aの製造プロセスの様々な段階における不揮発性記憶素子を示す図である。FIG. 8B illustrates a non-volatile memory element at various stages in the manufacturing process of FIG. 8A. 図8Aの製造プロセスの様々な段階における不揮発性記憶素子を示す図である。FIG. 8B illustrates a non-volatile memory element at various stages in the manufacturing process of FIG. 8A. 図8Aの製造プロセスの様々な段階における不揮発性記憶素子を示す図である。FIG. 8B illustrates a non-volatile memory element at various stages in the manufacturing process of FIG. 8A. 図8Bの製造プロセスの段階における不揮発性記憶素子を示す図である。It is a figure which shows the non-volatile memory element in the step of the manufacturing process of FIG. 8B. 図8Bの製造プロセスの段階における不揮発性記憶素子を示す図である。It is a figure which shows the non-volatile memory element in the step of the manufacturing process of FIG. 8B. 図8Cの製造プロセスの様々な段階における不揮発性記憶素子を示す図である。FIG. 8D illustrates a non-volatile storage element at various stages in the manufacturing process of FIG. 8C. 図8Cの製造プロセスの様々な段階における不揮発性記憶素子を示す図である。FIG. 8D illustrates a non-volatile storage element at various stages in the manufacturing process of FIG. 8C. 不揮発性メモリシステムのブロック図である。1 is a block diagram of a nonvolatile memory system. メモリアレイの一実施形態を示すブロック図である。1 is a block diagram illustrating one embodiment of a memory array. センスブロックの一実施形態を示すブロック図である。It is a block diagram which shows one Embodiment of a sense block.

フラッシュメモリシステムの一実施例は、複数のフローティングゲートトランジスタを2つの選択ゲートの間に直列に配置することを含むNAND構造体を利用する。直列したトランジスタと選択ゲートとは、NANDストリングと呼ばれる。NANDストリング構造体を使用するフラッシュメモリシステムの典型的な構造は複数のNANDストリングを含む。たとえば、図2は、多数のNANDストリングを有するメモリアレイのうちの3本のNANDストリング202、204および206を示す。図2の各NANDストリングは、2個の選択トランジスタおよび4個のメモリセルを含む。たとえば、NANDストリング202は、選択トランジスタ220および230と、メモリセル222、224、226および228とを含む。NANDストリング204は、選択トランジスタ240および250と、メモリセル242、244、246および248とを含む。各NANDストリングは、このNANDストリングの選択トランジスタ(たとえば、選択トランジスタ230および選択トランジスタ250)によってソースラインに接続されている。選択ラインSGSはソース側選択ゲートを制御するため使用される。種々のNANDストリングが、選択ラインSGDによって制御される選択トランジスタ220、240などによってそれぞれのビットラインに接続されている。他の実施形態では、選択ラインは必ずしも共通でなくてもよい。ワードラインWL3は、メモリセル222およびメモリセル242のための制御ゲートに接続されている。ワードラインWL2は、メモリセル224、メモリセル244、およびメモリセル252のための制御ゲートに接続されている。ワードラインWL1は、メモリセル226およびメモリセル246のための制御ゲートに接続されている。ワードラインWL0はメモリセル228およびメモリセル248のための制御ゲートに接続されている。図示したように、各ビットラインおよびそれぞれのNANDストリングは、メモリセルのアレイの列を構成する。ワードライン(WL3、WL2、WL1およびWL0)はアレイの行を構成する。   One embodiment of a flash memory system utilizes a NAND structure that includes placing a plurality of floating gate transistors in series between two select gates. The transistor in series and the selection gate are called a NAND string. A typical structure for a flash memory system using a NAND string structure includes a plurality of NAND strings. For example, FIG. 2 shows three NAND strings 202, 204, and 206 of a memory array having multiple NAND strings. Each NAND string in FIG. 2 includes two select transistors and four memory cells. For example, NAND string 202 includes select transistors 220 and 230 and memory cells 222, 224, 226, and 228. NAND string 204 includes select transistors 240 and 250 and memory cells 242, 244, 246 and 248. Each NAND string is connected to the source line by a select transistor (eg, select transistor 230 and select transistor 250) of the NAND string. The selection line SGS is used to control the source side selection gate. Various NAND strings are connected to each bit line by select transistors 220, 240, etc. controlled by select line SGD. In other embodiments, the selection lines need not be common. Word line WL 3 is connected to the control gates for memory cell 222 and memory cell 242. Word line WL 2 is connected to control gates for memory cell 224, memory cell 244, and memory cell 252. Word line WL 1 is connected to the control gates for memory cell 226 and memory cell 246. Word line WL 0 is connected to the control gates for memory cell 228 and memory cell 248. As shown, each bit line and each NAND string constitutes a column of an array of memory cells. Word lines (WL3, WL2, WL1 and WL0) comprise the rows of the array.

図3は、NANDフラッシュメモリセルのアレイの一部分の平面図である。アレイは、ビットライン350およびワードライン352を含む。なお、図3は、フラッシュメモリセルの他の細部のすべてを示すものではない。   FIG. 3 is a plan view of a portion of an array of NAND flash memory cells. The array includes bit lines 350 and word lines 352. Note that FIG. 3 does not show all other details of the flash memory cell.

なお、NANDストリングが有するメモリセルの数は、図2および3に示された数より増減してもよい。たとえば、いくつかのNANDストリングは、8個のメモリセル、16個のメモリセル、32個のメモリセル、64個のメモリセル、128個のメモリセルなどを含む。本明細書中での説明は、NANDストリング内の何らかの特有の数のメモリセルに限定されるものではない。さらに、ワードラインは、図2および3に示された数より増減した数のメモリセルを有することができる。たとえば、ワードラインは、数千または数万個のメモリセルを含むことができる。本明細書中の説明は、ワードライン内の特有の数のメモリセルに限定されるものではない。   Note that the number of memory cells included in the NAND string may be increased or decreased from the number shown in FIGS. For example, some NAND strings include 8 memory cells, 16 memory cells, 32 memory cells, 64 memory cells, 128 memory cells, and the like. The description herein is not limited to any particular number of memory cells in a NAND string. In addition, the word line can have a number of memory cells that are more or less than the number shown in FIGS. For example, a word line can include thousands or tens of thousands of memory cells. The description herein is not limited to a specific number of memory cells in a word line.

各メモリセルは、データ(アナログまたはデジタル)を格納することができる。1ビットのデジタルデータを格納するとき、メモリセルの可能な閾電圧の範囲は、論理データ「1」および「0」が割り当てられた2つの範囲に分割される。NAND型フラッシュメモリの一実施例では、メモリセルが消去された後、閾電圧は負であり、論理「1」として定義される。書き込み後の閾電圧は正であり、論理「0」として定義される。閾電圧が負であり、0ボルトを制御ゲートに印加することによって読み出しが試みられるとき、メモリセルは、論理1が格納されていることを示すためにオンになる。閾レベルが正であり、0ボルトを制御ゲートに印加することによって読み出し操作が試みられるとき、メモリセルはオンにならず、論理ゼロが格納されていることを示す。   Each memory cell can store data (analog or digital). When storing 1-bit digital data, the range of possible threshold voltages of the memory cell is divided into two ranges assigned logical data “1” and “0”. In one embodiment of a NAND flash memory, after a memory cell is erased, the threshold voltage is negative and is defined as a logic “1”. The threshold voltage after writing is positive and is defined as logic “0”. When the threshold voltage is negative and a read is attempted by applying 0 volts to the control gate, the memory cell is turned on to indicate that a logic 1 is stored. When the threshold level is positive and a read operation is attempted by applying 0 volts to the control gate, the memory cell does not turn on, indicating that a logic zero is stored.

複数のレベルのデータを格納する場合、可能な閾電圧の範囲はデータのレベルの数に分割される。たとえば、4レベルの情報(2ビットのデータ)が格納される場合、データ値「11」、「10」、「01」および「00」に割り当てられた4個の閾電圧範囲が存在する。NAND型メモリの一実施例では、消去操作後の閾電圧は負であり、「11」として定義される。正閾電圧は、「10」、「01」および「00」のデータ状態のため使用される。8レベルの情報(または状態)(たとえば、3ビットのデータ)が格納される場合、データ値「000」、「001」、「010」、「011」、「100」、「101」、「110」および「111」に割り当てられた8個の閾電圧範囲が存在する。   When storing multiple levels of data, the range of possible threshold voltages is divided into the number of levels of data. For example, if four levels of information (2-bit data) are stored, there are four threshold voltage ranges assigned to data values “11”, “10”, “01”, and “00”. In one embodiment of a NAND memory, the threshold voltage after an erase operation is negative and is defined as “11”. The positive threshold voltage is used for data states of “10”, “01” and “00”. When 8-level information (or state) (for example, 3-bit data) is stored, data values “000”, “001”, “010”, “011”, “100”, “101”, “110” There are eight threshold voltage ranges assigned to "" and "111".

メモリセルに書き込まれたデータとセルの閾電圧レベルとの間の特定の関係は、セルのため採用されたデータ符号化方式に依存する。たとえば、米国特許第6,222,762号および米国特許出願公開第2004/0255090号は、どちらも参照することにより本明細書に組み込まれ、マルチステート・フラッシュメモリセルのための種々のデータ符号化方式について記載している。一実施形態では、データ値は、フローティングゲートの閾値が誤って隣接する物理状態へシフトした場合に、1ビットだけが影響を受けるように、グレイコード割り当てを使用して閾電圧範囲に割り当てられる。いくつかの実施形態では、データパターン感度とさらにメモリセルの消耗とを低下させるため、データ符号化方式は異なったワードラインに対して変更することが可能であり、データ符号化方式は時間によって変更することが可能であり、または、ランダムなワードラインに対するデータビットは反転させてもよく、または、そうでなければ、ランダム化させてもよい。   The specific relationship between the data written to the memory cell and the threshold voltage level of the cell depends on the data encoding scheme employed for the cell. For example, US Pat. No. 6,222,762 and US Patent Application Publication No. 2004/0255090, both incorporated herein by reference, provide various data encodings for multi-state flash memory cells. It describes the method. In one embodiment, the data value is assigned to the threshold voltage range using Gray code assignment so that only one bit is affected if the floating gate threshold is erroneously shifted to an adjacent physical state. In some embodiments, the data encoding scheme can be changed for different word lines to reduce data pattern sensitivity and further memory cell consumption, and the data encoding scheme can change over time. Or data bits for random word lines may be inverted or otherwise randomized.

NAND型フラッシュメモリおよびこれらの動作の関連した実施例は、いずれも参照することにより本明細書に組み込まれる、米国特許/特許出願:米国特許第5,570,315号、米国特許第5,774,397号、米国特許第6,046,935号、米国特許第6,456,528号、および、米国特許出願公開第2003/0002348号に記載されている。本明細書中での説明は、NANDに加えて他の型のフラッシュメモリのみならず、他の型の不揮発性メモリにも同様に適用できる。たとえば、以下の特許、即ち、米国特許第5,095,344号、第5,172,338号、第5,890,192号および第6,151,248号は、NOR型フラッシュメモリについて説明し、参照することによりそれらの全体が本明細書に組み込まれる。   NAND flash memories and related examples of their operation are incorporated herein by reference, US patent / patent application: US Pat. No. 5,570,315, US Pat. No. 5,774. 397, US Pat. No. 6,046,935, US Pat. No. 6,456,528, and US Patent Application Publication No. 2003/0002348. The description herein can be applied not only to other types of flash memories in addition to NAND, but also to other types of nonvolatile memories. For example, the following patents, US Pat. Nos. 5,095,344, 5,172,338, 5,890,192, and 6,151,248, describe NOR flash memories. , Which are hereby incorporated by reference in their entirety.

図4Aおよび図4Bは、不揮発性記憶素子のアレイの一部分の一実施形態の2次元ブロック図である。図4Aは、図3の切断線A−Aに沿ったメモリアレイの断面(ワードラインに沿った断面)を示す。図4Bは、図3の切断線B−Bによるメモリアレイの断面(ビットラインに沿った断面)を示す。図4Aおよび4Bのメモリセルは、p基板、nウェルおよびpウェルを備えるトリプルウェル(図示せず)を含む。pウェル内には、ソース/ドレインとしての役目を果たすn+拡散領域444がある。n+拡散領域444がソース領域と呼ばれるか、または、ドレイン領域と呼ばれるかは、多少自由であるので、ソース/ドレイン領域444は、ソース領域、ドレイン領域、または、両方と考えることができる。NANDストリングにおいて、ソース/ドレイン領域444は、1つのメモリセルのためのソースとしての役目を果たすと共に、隣接するメモリセルのためのドレインとしての役目を果たす。   4A and 4B are two-dimensional block diagrams of one embodiment of a portion of an array of non-volatile storage elements. FIG. 4A shows a cross section of the memory array (cross section along the word line) along the section line AA of FIG. FIG. 4B shows a cross section of the memory array (cross section along the bit line) taken along section line BB in FIG. The memory cell of FIGS. 4A and 4B includes a triple well (not shown) comprising a p-substrate, an n-well and a p-well. Within the p-well is an n + diffusion region 444 that serves as a source / drain. Since the n + diffusion region 444 is called a source region or a drain region is somewhat free, the source / drain region 444 can be considered as a source region, a drain region, or both. In a NAND string, the source / drain region 444 serves as a source for one memory cell and serves as a drain for an adjacent memory cell.

ソース/ドレイン領域444の間にはチャネル446が存在する。チャネル446の上には、ゲート酸化物と呼ばれることもある第1の誘電体エリア410が存在する。一実施形態では、誘電体層410はSiO製である。他の誘電体材料が使用されることも可能である。誘電体層410の上にはフローティングゲート412が存在する。フローティングゲートは、読み出しまたはバイパス動作と関連付けられた低電圧動作条件下で、誘電体層410によってチャネル446から電気的に絶縁/隔離されている。フローティングゲート412は、典型的に、n型ドーパントでドープされたポリシリコン製であるが、しかし、金属のような他の導電性材料が使用されることも可能である。フローティングゲート412の上には誘電体キャップ408がある。フローティングゲート412の上部と側部の周りとには、IPD406と呼ばれることもある第2の誘電体層406がある。IPD406の上には、ポリシリコン制御ゲート404がある。制御ゲート404は、ケイ化タングステン(WSi)層および窒化ケイ素(SiN)層の付加層を含むことができる。WSi層は下方の抵抗層であり、一方、SiN層は絶縁体としての役目を果たす。 A channel 446 exists between the source / drain regions 444. Above channel 446 is a first dielectric area 410, sometimes referred to as gate oxide. In one embodiment, dielectric layer 410 is made of SiO 2. Other dielectric materials can also be used. Above the dielectric layer 410 is a floating gate 412. The floating gate is electrically isolated / isolated from the channel 446 by the dielectric layer 410 under low voltage operating conditions associated with read or bypass operation. Floating gate 412 is typically made of polysilicon doped with an n-type dopant, but other conductive materials such as metals could be used. Above the floating gate 412 is a dielectric cap 408. Around the top and sides of the floating gate 412 is a second dielectric layer 406, sometimes referred to as IPD 406. Above the IPD 406 is a polysilicon control gate 404. The control gate 404 can include additional layers of a tungsten silicide (WSi) layer and a silicon nitride (SiN) layer. The WSi layer is the lower resistance layer, while the SiN layer serves as an insulator.

誘電体層410と、フローティングゲート412と、誘電体キャップ408と、IPD406と、制御ゲート404とは、フローティングゲートスタック(フローティングゲート積層体)を構成する。メモリセルのアレイは、多数のこのようなフローティングゲートスタックを有している。他の実施形態では、フローティングゲートスタックが有するコンポーネントの数は、図4Aおよび4Bに示されたフローティングゲートスタックより増減することがあるが、フローティングゲートスタックは、他のコンポーネントに加えてフローティングゲートを含むので、このように呼ばれる。   The dielectric layer 410, the floating gate 412, the dielectric cap 408, the IPD 406, and the control gate 404 constitute a floating gate stack (floating gate stack). An array of memory cells has many such floating gate stacks. In other embodiments, the number of components that the floating gate stack has may increase or decrease from the floating gate stack shown in FIGS. 4A and 4B, but the floating gate stack includes floating gates in addition to other components. So called this way.

図4Aを参照すると、シャロー・トレンチ・アイソレーション(STI)構造体407は、メモリセルのストリング間で電気的絶縁を行う。具体的には、STI407は、一方のNANDストリングのソース/ドレイン領域(図4Aに図示せず)を次のNANDストリングから分離する。一実施形態では、STI407はSiOで満たされている。 Referring to FIG. 4A, a shallow trench isolation (STI) structure 407 provides electrical isolation between strings of memory cells. Specifically, the STI 407 separates the source / drain region (not shown in FIG. 4A) of one NAND string from the next NAND string. In one embodiment, STI407 is filled with SiO 2.

図4Aおよび4Bにおいて、フローティングゲートは、「逆T型」形状を有している。すなわち、フローティングゲートは、ベース412bおよびステム412aを有する。逆T型形状は、制御ゲート404と一致するフローティングゲート412の一部分の面積を増大するために役立つと共に、フローティングゲート412が空間的に互いに接近することを可能にする。本実施例では、ワードラインに沿ったフローティングゲートの断面は逆T型形状を有している。別の実施形態では、逆T型形状がビットラインに沿った断面に沿って現れる。たとえば、図4Bにおけるフローティングゲートは、逆T型形状を有する場合もある。しかし、フローティングゲートは、必ずしも逆T型形状をもたなくてもよい。一般に、IPDによって制御ゲートから分離されている上部および側部を備えるフローティングゲートは、フローティングゲートの上部の上にある誘電体キャップによる利益を受けることがある。しかし、少なくとも一方向に比較的薄い幅をもつフローティングゲートは、IPDにおける高電界の問題により影響されやすく、したがって、誘電体キャップからより多くの利益を受けることがある。   In FIGS. 4A and 4B, the floating gate has an “inverted T” shape. That is, the floating gate has a base 412b and a stem 412a. The inverted T shape helps to increase the area of the portion of the floating gate 412 that coincides with the control gate 404 and allows the floating gates 412 to spatially approach each other. In this embodiment, the cross section of the floating gate along the word line has an inverted T shape. In another embodiment, an inverted T shape appears along a cross section along the bit line. For example, the floating gate in FIG. 4B may have an inverted T shape. However, the floating gate does not necessarily have an inverted T shape. In general, a floating gate with top and sides separated from the control gate by the IPD may benefit from a dielectric cap over the top of the floating gate. However, floating gates that have a relatively thin width in at least one direction are more susceptible to high field problems in the IPD and may therefore benefit more from the dielectric cap.

フローティングゲート412のステム412aが、図4Aに示されるように、比較的均一な幅を有することは必須ではない。他の実施形態では、フローティングゲートのステム412aは、誘電体キャップ408近傍において、フローティングゲートのベース412b近傍の下部よりも狭くてもよい。   It is not essential that the stem 412a of the floating gate 412 has a relatively uniform width, as shown in FIG. 4A. In other embodiments, the floating gate stem 412a may be narrower near the dielectric cap 408 than the lower portion near the floating gate base 412b.

IPD406のある一定の領域における電界の強さを低減する手法が本明細書中に開示されている。フローティングゲート412のうちの1つは、フローティングゲート412の上部より上のIPD406内の電界を指す「上部電界」という名前が付けられた矢印を有している。「コーナー電界」という名前が付けられた矢印は、フローティングゲート412の上部コーナーに近接したIPD406内の電界を指す。いくつかの実施形態では、フローティングゲート412の上部での電界の強さは、フローティングゲート412のコーナーでの電界の強さより小さくなるように(または、少なくとも大きくならないように)、誘電体キャップ408によって低減される。しかし、フローティングゲート412の上部での電界がフローティングゲート412のコーナーでの電界より弱いということは必要ではない。たとえば、誘電体キャップ408は、フローティングゲート412の上部での電界を少し弱めるために役立つことがあるが、フローティングゲート412のコーナーでの電界より弱くなる程に電界を弱めることは必要ではない。フローティングゲートの上部で電界の強さを低減することは、全体的な性能に著しい影響を与えることなく、全体的な漏れ電流を削減することができる。   Techniques for reducing the electric field strength in certain areas of the IPD 406 are disclosed herein. One of the floating gates 412 has an arrow labeled “Upper Electric Field” that refers to the electric field in the IPD 406 above the top of the floating gate 412. The arrow labeled “Corner Electric Field” refers to the electric field in IPD 406 proximate the upper corner of floating gate 412. In some embodiments, the dielectric cap 408 causes the electric field strength at the top of the floating gate 412 to be smaller (or at least not larger) than the electric field strength at the corner of the floating gate 412. Reduced. However, it is not necessary that the electric field at the top of the floating gate 412 is weaker than the electric field at the corner of the floating gate 412. For example, the dielectric cap 408 may serve to slightly weaken the electric field at the top of the floating gate 412, but it is not necessary to weaken the electric field so that it is weaker than the electric field at the corner of the floating gate 412. Reducing the field strength at the top of the floating gate can reduce the overall leakage current without significantly affecting the overall performance.

図5は、図4Aおよび4Bのメモリセルを製造するプロセスの一部分の一実施形態を説明するフローチャートである。図6A〜6Jは、プロセスの種々の段階でのメモリセルを示す。図5のプロセスは、図4Aおよび4Bと図6A〜6Jとからの符号に沿って説明される。図6A〜6Jは、図3の線A−A断面を示す。本実施例では、フローティングゲートは、ワードラインに沿った断面で見たとき、比較的狭い。しかし、本明細書中で検討されている原理は、ビットラインまたはワードラインとビットラインの両方に沿った断面で見たとき狭いフローティングゲートに適用できる。   FIG. 5 is a flowchart describing one embodiment of a portion of a process for manufacturing the memory cell of FIGS. 4A and 4B. 6A-6J show the memory cells at various stages of the process. The process of FIG. 5 is described along the reference from FIGS. 4A and 4B and FIGS. 6A to 6J show a cross section taken along line AA of FIG. In this embodiment, the floating gate is relatively narrow when viewed in a section along the word line. However, the principles discussed herein can be applied to narrow floating gates when viewed in cross-section along bit lines or both word lines and bit lines.

このフローチャートは、すべての注入ステップ、フローティングゲートスタック間のエッチングされた容量でのギャップ充填、または、接点形成、メタライゼーション、ビア、および、パッシベーションに加えて、周知の製造プロセスの他の部分を説明するものではない。本発明によるメモリは多くの方式で製造できるので、発明者は、図5によって説明された方法以外の種々の方法が使用できると考える。フラッシュメモリチップは、コアメモリおよび周辺回路を含むが、図5のプロセスステップは、一般論として、コアメモリアレイの製造のための1つの可能なプロセス方策を説明することだけが意図されている。   This flowchart describes all the implantation steps, gap filling with etched capacitance between floating gate stacks, or other parts of the well-known manufacturing process in addition to contact formation, metallization, vias, and passivation. Not what you want. Since the memory according to the invention can be manufactured in many ways, the inventor believes that various methods other than the method described by FIG. 5 can be used. Although the flash memory chip includes a core memory and peripheral circuitry, the process steps of FIG. 5 are generally intended to describe only one possible process strategy for the manufacture of a core memory array.

図5のステップ502は、シリコン基板602の上部にトンネル酸化物層604を成長させることを含む。トンネル酸化物層604は、ゲート誘電体層410を形成するため使用される。ステップ504では、フローティングゲート412を形成するため使用されるポリシリコン層606をCVD、PVD、ALD、または、他の適当な方法を使用して酸化物層604に堆積させる。ステップ505では、第2の酸化物層608をポリシリコン606の上部に成長させる。この第2の酸化物層608は、誘電体キャップ408を形成するため使用される。ステップ506では、SiN層を第2の酸化物層608に堆積させる。このSiNは、たとえば、CVDによって堆積させることができる。ステップ508では、フォトレジストを付加する。たとえば、スペーサプロセスを使用してアモルファスシリコンパターン612を画定する。ステップ508では、シリコンパターン612を窒化物ハードマスクに転写する。ステップ510は、異方性プラズマエッチング(たとえば、反応性イオンエッチング)を使用して窒化物ハードマスク610をエッチングすることを含む。ステップ502〜510の結果は、シリコン基板402と、第1の酸化物層604と、ポリシリコン層606と、第2の酸化物層608と、エッチング後に残る窒化物ハードマスク610と、アモルファスシリコンパターン612とを示す図6Aに表されている。   Step 502 of FIG. 5 includes growing a tunnel oxide layer 604 on top of the silicon substrate 602. Tunnel oxide layer 604 is used to form gate dielectric layer 410. In step 504, the polysilicon layer 606 used to form the floating gate 412 is deposited on the oxide layer 604 using CVD, PVD, ALD, or other suitable method. In step 505, a second oxide layer 608 is grown on top of polysilicon 606. This second oxide layer 608 is used to form the dielectric cap 408. Step 506 deposits a SiN layer on the second oxide layer 608. This SiN can be deposited, for example, by CVD. In step 508, a photoresist is added. For example, the amorphous silicon pattern 612 is defined using a spacer process. In step 508, the silicon pattern 612 is transferred to a nitride hard mask. Step 510 includes etching the nitride hard mask 610 using anisotropic plasma etching (eg, reactive ion etching). The results of steps 502-510 result in a silicon substrate 402, a first oxide layer 604, a polysilicon layer 606, a second oxide layer 608, a nitride hard mask 610 remaining after etching, and an amorphous silicon pattern. 6A is shown in FIG.

ハードマスク層610をエッチングした後、ステップ512では、フォトレジスト612を剥離し、ハードマスク層610が下にある層をエッチングするためマスクとして使用され得る。ステップ514は、フローティングゲート412のステム412aを形成するため第2の酸化物素608およびポリシリコン606の一部分を通してエッチングすることを含む。エッチングは、衝突した平坦層毎に物理的エッチングと化学的エッチングとの間の適正なバランスを備える異方性プラズマエッチングを使用して実行され得る。エッチング後に残存する第2の酸化物608の一部分は、誘電体キャップ408を形成する。適切な深さでポリシリコン606のエッチングを止める手法は、周知である。ポリシリコンのエッチングを止める例示的な手法は、2007年12月19日付けで出願され、名称が「Enhanced Endpoint Detection in Non−Volatile Memory Array Fabrication」である米国特許出願第11/960,485号、および、2007年12月19日付けで出願され、名称が「Composite Charge Storage Structure Formation In Non−Volatile Memory Using Etch Stop Technologies」である米国特許出願第11/960,408号において見出すことができ、どちらも参照することにより本明細書中に組み込まれる。ステップ512〜514の結果は、誘電体キャップ408を上方に備えたフローティングゲート・ステム412aの形成を示す図6Bに表されている。   After etching the hard mask layer 610, in step 512, the photoresist 612 is stripped and the hard mask layer 610 can be used as a mask to etch the underlying layer. Step 514 includes etching through the second oxide element 608 and a portion of the polysilicon 606 to form the stem 412a of the floating gate 412. Etching can be performed using anisotropic plasma etching with the proper balance between physical and chemical etching for each impacted flat layer. A portion of the second oxide 608 remaining after etching forms a dielectric cap 408. Techniques for stopping the polysilicon 606 etch at an appropriate depth are well known. An exemplary technique for stopping polysilicon etching is filed on December 19, 2007 and is entitled “Enhanced Endpoint Detection in Non-Volatile Memory Array Fabric”, US patent application Ser. No. 11 / 960,485, US Patent Application No. 11/960, filed December 19, 2007, with the name “Composite Charge Storage Structure Formation In Non-Volatile Memory Using Etch Stop Technologies”. Are also incorporated herein by reference. The result of steps 512-514 is depicted in FIG. 6B showing the formation of a floating gate stem 412a with a dielectric cap 408 on top.

ステップ516では、オルトケイ酸テトラエチル(TEOS)のような酸化物系スペーサ708を成長させる。一実施形態では、等方性堆積プロセスが使用される。ステップ518では、垂直表面ではなく水平表面から除去されるように酸化物スペーサ708をエッチングする。一実施形態では、側壁酸化物スペーサ708を形成するため異方性エッチングプロセスが使用される。この結果は、酸化物スペーサ708がフローティングゲート412のステム412aの側壁と誘電体キャップ408の側壁とに沿って表されている図6Cに示されている。   In step 516, an oxide-based spacer 708 such as tetraethylorthosilicate (TEOS) is grown. In one embodiment, an isotropic deposition process is used. In step 518, the oxide spacer 708 is etched so that it is removed from the horizontal surface rather than the vertical surface. In one embodiment, an anisotropic etch process is used to form sidewall oxide spacers 708. This result is illustrated in FIG. 6C where oxide spacers 708 are represented along the sidewalls of stem 412a and dielectric cap 408 of floating gate 412.

ステップ516〜518の間または後に、フローティングゲート・ポリの上部に「バーズビーク」を形成するため、フローティングゲート・ステム412aの先端を酸化することができる。フローティングゲート・ポリシリコンの酸化は、フローティングゲート・ステム412aの上部でコーナーに丸みを付けるために役立つ。酸化の時間および化学的性質を変化させることは、フローティングゲート・ステム412aの上部をより大きい角度またはより小さい角度で湾曲させることができる。図6Jは、フローティングゲート412の上部で「バーズビーク」712によって丸みを付けられた上部を有するフローティングゲートを示す。バーズビーク712は二酸化ケイ素を含むので、誘電体としての役目を果たす傾向がある。よって、一実施例では、バーズビーク712は、誘電体キャップの一部分であると見なすことができる。バーズビーク712は、フローティングゲートの全体的な高さとステム幅とに影響を与える可能性があることに注意されたい。したがって、このような影響は、プロセスフローの早い段階に予め補償されるべきである。   During or after steps 516-518, the tip of floating gate stem 412a can be oxidized to form a "bird's beak" on top of the floating gate poly. The oxidation of the floating gate polysilicon helps to round the corners at the top of the floating gate stem 412a. Changing the oxidation time and chemistry can cause the top of the floating gate stem 412a to bend at a larger or smaller angle. FIG. 6J shows a floating gate having a top rounded by a “bird's beak” 712 above the floating gate 412. Bird's beak 712 contains silicon dioxide and therefore tends to serve as a dielectric. Thus, in one embodiment, bird's beak 712 can be considered to be part of a dielectric cap. Note that the bird's beak 712 can affect the overall height and stem width of the floating gate. Therefore, such effects should be compensated for early in the process flow.

次に、所定の位置にある酸化物スペーサ708を使って、シャロー・トレンチ・アイソレーション・トレンチを形成する。ステップ520では、所定の位置にある酸化物スペーサ708を使って、ポリシリコン606の下方部分、第1の酸化物層604、および、シリコン基板602の上部をエッチングする。この結果は図6Dに示されている。一実施形態では、エッチングは、NANDストリング間にシャロー・トレンチ・アイソレーション(STI)エリアを作成するため、基板602の中へ約0.2ミクロンまでであり、トレンチの下部はpウェルの上部の内側にある。   Next, shallow trench isolation trenches are formed using oxide spacers 708 in place. Step 520 etches the lower portion of polysilicon 606, first oxide layer 604, and top of silicon substrate 602 using oxide spacers 708 in place. The result is shown in FIG. 6D. In one embodiment, the etch is up to about 0.2 microns into the substrate 602 to create a shallow trench isolation (STI) area between the NAND strings, with the bottom of the trench being the top of the p-well. On the inside.

ステップ522では、CVD、高速ALDまたは別の方法を使用することにより、ハードマスク610の上部まで、部分安定化ジルコニア(PSZ)、SiO(または別の適当な材料)のような絶縁材料407でSTIトレンチを充填する。ステップ524では、化学機械研磨(CMP)または別の適当なプロセスを使用して、SiN610に達するまで絶縁材料407を平坦に研磨する。ステップ522〜524の結果は図6Eに示されている。 In step 522, with insulating material 407 such as partially stabilized zirconia (PSZ), SiO 2 (or another suitable material) up to the top of hard mask 610 by using CVD, high speed ALD or another method. Fill STI trench. In step 524, the insulating material 407 is polished flat until SiN 610 is reached using chemical mechanical polishing (CMP) or another suitable process. The results of steps 522-524 are shown in FIG. 6E.

ステップ526は、STI絶縁材料407および酸化物スペーサ708をエッチバックする。ステップ527は、窒化物ハードマスク610を除去する。これらのステップは、プロセスフローにおいてオプションAおよびオプションBによって示されているように、いずれの順序でも実行することができる。オプションAについて最初に説明する。ステップ526では、インターポリ誘電体(IPD)を堆積させるのに備えてSTI絶縁材料407および酸化物スペーサ708をエッチバックする。ステップ526の結果は図6Fに示されている。   Step 526 etches back STI insulating material 407 and oxide spacer 708. Step 527 removes the nitride hard mask 610. These steps can be performed in either order, as indicated by option A and option B in the process flow. Option A will be described first. Step 526 etches back STI insulating material 407 and oxide spacer 708 in preparation for depositing an interpoly dielectric (IPD). The result of step 526 is shown in FIG. 6F.

ステップ527では、SiN層610を剥離する。オプションAに対するこのステップの結果は、図6Gに示されている。誘電体キャップ408は、窒化物ハードマスク610がエッチバック後に除去された場合、比較的平坦な上部を有している。   In step 527, the SiN layer 610 is stripped. The result of this step for option A is shown in FIG. 6G. The dielectric cap 408 has a relatively flat top when the nitride hard mask 610 is removed after etch back.

オプションBでは、STI材料407および酸化物スペーサ708のエッチバック(ステップ526)の前に、窒化物マスク610が除去される(ステップ527)。オプションBの実行結果は図6Hに示されている。誘電体キャップ408は、窒化物ハードマスク610がエッチバック前に除去された場合、比較的丸みを帯びた上部を有している。オプションBを使用するとき、エッチは、小さい水平方向成分を有し、酸化物キャップ408と、フローティングゲート・ステム412aを形成するポリシリコンとの両方をわずかにエッチングすることがある。したがって、プロセスの早い段階に、フローティングゲート・ステム412aは、最終的な所望の目標幅より広くなるように画定されるべきである。   In Option B, the nitride mask 610 is removed (step 527) prior to the etch back of the STI material 407 and oxide spacer 708 (step 526). The execution result of option B is shown in FIG. 6H. The dielectric cap 408 has a relatively rounded top when the nitride hard mask 610 is removed prior to etch back. When using Option B, the etch has a small horizontal component and may slightly etch both the oxide cap 408 and the polysilicon that forms the floating gate stem 412a. Thus, early in the process, the floating gate stem 412a should be defined to be wider than the final desired target width.

ステップ528では、インターポリ誘電体(たとえば、誘電体406)を成長または堆積させる。IPDは、酸化物と窒化物との交互の共形層を含むことがある。たとえば、酸化物・窒化物・酸化物(ONO)インターポリ誘電体が使用される。一実施形態では、IPDは窒化物・酸化物・窒化物・酸化物・窒化物を含む。ステップ528の結果は図6Iに示されている。誘電体キャップ408は、曲率を有するものとして図6Iに示されているが、曲率は不可欠ではないことに注意されたい。   In step 528, an interpoly dielectric (eg, dielectric 406) is grown or deposited. The IPD may include alternating conformal layers of oxide and nitride. For example, an oxide-nitride-oxide (ONO) interpoly dielectric is used. In one embodiment, the IPD includes nitride, oxide, nitride, oxide, nitride. The result of step 528 is shown in FIG. 6I. Note that although dielectric cap 408 is shown in FIG. 6I as having curvature, curvature is not essential.

ステップ530では、制御ゲート(ワードライン)を堆積させる。ステップ530は、ポリシリコン層と、ケイ化タングステン(WSi)層と、窒化シリコン(SiN)層とを堆積させることを含む。制御ゲートを形成するとき、フォトリソグラフィが、互いに絶縁されているワードラインを形成するべく、NAND列に垂直であるストリップのパターンを作成するために使用される。ステップ530では、種々の層をエッチングし、個別のワードラインを形成するために、プラズマエッチング、イオンミリング、純粋に物理的なエッチングであるイオンエッチング、または、別の適当なプロセスによるエッチングが実行される。   In step 530, a control gate (word line) is deposited. Step 530 includes depositing a polysilicon layer, a tungsten silicide (WSi) layer, and a silicon nitride (SiN) layer. When forming the control gates, photolithography is used to create a pattern of strips that are perpendicular to the NAND column to form word lines that are isolated from each other. In step 530, plasma etching, ion milling, ion etching, which is purely physical etching, or another suitable process is performed to etch the various layers and form individual word lines. The

ステップ532では、注入プロセスは、n+ソース/ドレイン領域444を作成するため実行される。ヒ素注入またはリン注入を使用することができる。一実施形態では、ハロ注入を使用することもできる。いくつかの実施形態では、急速熱アニール(RTA)のようなアニールプロセスが実行される。RTAのための例示的なパラメータは、10秒間に摂氏1000度まで加熱することである。   In step 532, the implantation process is performed to create n + source / drain regions 444. Arsenic implantation or phosphorus implantation can be used. In one embodiment, halo implantation can also be used. In some embodiments, an annealing process such as rapid thermal annealing (RTA) is performed. An exemplary parameter for RTA is heating to 1000 degrees Celsius for 10 seconds.

図4Aは、誘電体キャップ408の上部に丸みを付けるためオプションBを使用したとき、ステップ532の後における図3の切断線A−Aに沿ったメモリアレイの断面を示す。図4Bは、オプションBが使用されたとき、ステップ532の後における図3の切断線B−Bに沿ったメモリアレイの断面を示す。   FIG. 4A shows a cross section of the memory array along section line AA of FIG. 3 after step 532 when option B is used to round the top of the dielectric cap 408. FIG. 4B shows a cross section of the memory array along section line BB of FIG. 3 after step 532 when option B is used.

本発明の精神の範囲内で前述の構造体およびプロセスに対する多くの代替実施形態が存在する。既存のNAND実施形態の場合と同様に、代替実施形態では、既存のNMOS実施と比較して、種々の動作のための逆極性のバイアス条件をもつPMOS装置からメモリセルを製造することである。上記実施例では、基板はシリコン製である。しかし、ガリウムヒ素などの周知の他の材料を使用することもできる。   There are many alternative embodiments to the structures and processes described above within the spirit of the invention. As with existing NAND embodiments, an alternative embodiment is to manufacture memory cells from PMOS devices with reverse polarity bias conditions for various operations compared to existing NMOS implementations. In the above embodiment, the substrate is made of silicon. However, other known materials such as gallium arsenide can also be used.

図7は、不揮発性記憶素子の種々の構成に対するフローティングゲート・ステム幅に応じて電界を示すグラフである。曲線702は、図1Cに示されたフローティングゲートに類似したフローティングゲートのための誘電体キャップ408を使用しない場合におけるフローティングゲートの上部の直ぐ上におけるIPD406内の電界を表現する。電界は、シミュレーションに基づいて決定され、図1Cにおいて「A」と呼ばれる矢印の先端の上にあるIPD内の点を表現する。フローティングゲート・ステムの幅がより狭くされるのにつれて、電界の強さはより強くなることに注意されたい。さらに、電界の強さは、ステム幅が200オングストロームまで縮小されたとき、著しく増大する。   FIG. 7 is a graph showing the electric field as a function of floating gate stem width for various configurations of non-volatile storage elements. Curve 702 represents the electric field in IPD 406 just above the top of the floating gate when not using a dielectric cap 408 for a floating gate similar to the floating gate shown in FIG. 1C. The electric field is determined based on the simulation and represents a point in the IPD that is above the tip of the arrow, referred to as “A” in FIG. 1C. Note that the field strength increases as the width of the floating gate stem is narrowed. Furthermore, the strength of the electric field increases significantly when the stem width is reduced to 200 angstroms.

曲線704は、図1Cに示されたフローティングゲートに類似したフローティングゲートのための誘電キャップ408を使用しない場合におけるフローティングゲートの上部コーナーにあるIPD内の電界を表現する。電界は、シミュレーションに基づいて決定され、図1Cにおいて「2A」と呼ばれる両矢印の左または右のいずれかのIPD内の点を表現する。所与のフローティングゲート・ステム幅に対する電界の強さは、ステムの先端(曲線702)においてコーナー(曲線704)より大きい。   Curve 704 represents the electric field in the IPD at the upper corner of the floating gate without using a dielectric cap 408 for the floating gate similar to the floating gate shown in FIG. 1C. The electric field is determined based on the simulation and represents a point in the IPD that is either left or right of the double arrow, referred to as “2A” in FIG. The electric field strength for a given floating gate stem width is greater than the corner (curve 704) at the stem tip (curve 702).

点706は、図4Aに示された不揮発性記憶素子に類似した半球状誘電体キャップ408を使用する場合に(図4Aにおいて「コーナー電界」と呼ばれる)フローティングゲート412のステム412aの上部コーナーにあるIPD406内の電界を表現する。フローティングゲート412は幅100オングストロームを有している。   Point 706 is at the upper corner of stem 412a of floating gate 412 (referred to as the “corner field” in FIG. 4A) when using a hemispherical dielectric cap 408 similar to the non-volatile storage element shown in FIG. 4A. An electric field in the IPD 406 is expressed. Floating gate 412 has a width of 100 angstroms.

点708は、図4Aに示された不揮発性記憶素子に類似した誘電体キャップ408を使用する場合に(図4Aにおいて「コーナー電界」と呼ばれる)フローティングゲート412のステム412aの上部にあるIPD406内の電界を表現する。フローティングゲートの先端(点708)での電界の強さはフローティングゲートのコーナー(点706)での電界の強さ未満であることに注意されたい。さらに、ステム412aの上部での電界の強さは低減されるので、この領域内での漏れ電流の量は削減される。   Point 708 is within IPD 406 on top of stem 412a of floating gate 412 (referred to as “corner field” in FIG. 4A) when using a dielectric cap 408 similar to the non-volatile storage element shown in FIG. 4A. Express the electric field. Note that the electric field strength at the tip of the floating gate (point 708) is less than the electric field strength at the corner of the floating gate (point 706). Furthermore, since the electric field strength at the top of the stem 412a is reduced, the amount of leakage current in this region is reduced.

フローティングゲートの上部における電界の強さを低減することで、全体的な性能に著しい影響を与えることなく全体的な漏れ電流を実質的に削減できることがある。ある程度の誘電体材料がIPD内に加えられる間に、全体的な誘電体の量はそれほど増加しないことに注意されたい。よって、フローティングゲートと制御ゲートとの間の結合は著しく影響されない。さらに、漏れ電流は、これが最大の問題であった領域において削減されている。   By reducing the strength of the electric field at the top of the floating gate, the overall leakage current may be substantially reduced without significantly affecting the overall performance. Note that the overall amount of dielectric does not increase significantly while some dielectric material is added into the IPD. Thus, the coupling between the floating gate and the control gate is not significantly affected. Furthermore, leakage current has been reduced in areas where this was the biggest problem.

図8Aは、図4Aおよび4Bのメモリセルを製造するプロセスの一部分の一実施形態を説明するフローチャートである。図9A〜9Eは、図8Aのプロセスによる形成の種々の段階を示す。図9A〜9Eは、図3の線A−Aに沿った断面を示す。本実施例では、フローティングゲートは、ワードラインに沿った断面で見たとき比較的に狭い。しかし、本明細書中で検討されている原理は、ビットラインまたはワードラインおよびビットラインの両方に沿った断面で見たときに狭いフローティングゲートに適用できることに注意されたい。   FIG. 8A is a flowchart describing one embodiment of a portion of a process for manufacturing the memory cell of FIGS. 4A and 4B. 9A-9E show various stages of formation by the process of FIG. 8A. 9A-9E show cross sections along line AA in FIG. In this embodiment, the floating gate is relatively narrow when viewed in a section along the word line. However, it should be noted that the principles discussed herein can be applied to narrow floating gates when viewed in cross-section along both bit lines or word lines and bit lines.

図8Aのプロセスでは、誘電体キャップ408は、フローティングゲート412の上部に酸素のような材料を注入し、誘電体キャップ408が注入された酸素とフローティングゲート412のポリシリコンとによって形成されるようにアニーリングのようなプロセスによってフローティングゲート412を処理することにより形成される。酸素が注入された材料であることは不可欠ではない。一実施では、窒素が注入される。   In the process of FIG. 8A, dielectric cap 408 is implanted with a material such as oxygen on top of floating gate 412 such that dielectric cap 408 is formed by the implanted oxygen and polysilicon of floating gate 412. It is formed by processing the floating gate 412 by a process such as annealing. It is not essential that the material be oxygen implanted. In one implementation, nitrogen is implanted.

図8Aのフローチャートは、フローティングゲート412を形成するため使用される初期ステップを示していない。さらに、フローチャートは、殆どの注入ステップ、スタック間のエッチングされた容量でのギャップ充填、または、接点形成、メタライゼーション、ビア、及び、パッシベーションに加えて、周知の製造プロセスの他の部分を示していない。本開示によるメモリを製造する多くの方式があるので、発明者は、図8Aによって説明された方法以外の種々の方法を使用できると考える。フラッシュメモリチップは、コアメモリおよび周辺回路を含み、図8Aのプロセスステップは、一般論として、コアメモリアレイの製造のための1つの可能なプロセス方策を説明することだけを意図している。   The flowchart of FIG. 8A does not show the initial steps used to form the floating gate 412. In addition, the flowchart shows other parts of the known manufacturing process in addition to most implant steps, gap filling with etched volume between stacks, or contact formation, metallization, vias, and passivation. Absent. Since there are many ways of manufacturing a memory according to the present disclosure, the inventors believe that various methods other than the method described by FIG. 8A can be used. A flash memory chip includes a core memory and peripheral circuitry, and the process steps of FIG. 8A are intended only as a general explanation of one possible process strategy for the manufacture of a core memory array.

ステップ902は、フローティングゲートを形成し、そして、STI構造体のための材料を堆積させることである。図9Aは、STI材料407をフローティングゲート412の周りに堆積させた後の段階における2つのメモリセルを示す。特に、図9Aは、基板402の上に形成された2つのフローティングゲート412を示す。ゲート酸化物410は、フローティングゲート412と基板402との間に形成されている。窒化物マスク910は、依然としてフローティングゲート・ステム412aの上の所定の位置にある。STI材料407のためのトレンチは基板402の中にエッチングされ、STI材料407がトレンチを充填し、さらに窒化物マスク610の上部まで延在する。図9Aに示された位置までメモリセルを形成する手法は周知であるので、詳しく説明しない。   Step 902 is to form a floating gate and deposit material for the STI structure. FIG. 9A shows two memory cells at a stage after the STI material 407 has been deposited around the floating gate 412. In particular, FIG. 9A shows two floating gates 412 formed on a substrate 402. Gate oxide 410 is formed between floating gate 412 and substrate 402. The nitride mask 910 is still in place over the floating gate stem 412a. A trench for the STI material 407 is etched into the substrate 402 so that the STI material 407 fills the trench and further extends to the top of the nitride mask 610. The method of forming the memory cell up to the position shown in FIG. 9A is well known and will not be described in detail.

ステップ904は、後で誘電体キャップを形成するためシード材料として役立つように材料をフローティングゲート412の上部表面に注入するステップである。本実施形態では、材料は窒化物マスク910を通して注入される。図9Bは、依然として所定の位置にある窒化物マスク910を使ってシード材料908がフローティングゲート・ステム412aの上部の中に注入された後のメモリセルを示す。プロセスの後半で、シード材料908は、誘電体キャップ408を形成するため(たとえば、熱によって)処理される。一実施形態では、シード材料908は酸素である。酸素は、酸素注入分離に類似した手法(SIMOX)を使って注入されることがある。SIMOXは、大量の酸素を注入し、高温アニーリングを続けることにより、シリコン・オン・インシュレータ構造体および基板を製造する手法である。たとえば、SIMOXプロセスは、イオンが注入されるエネルギーの選択によって、所望の深さで酸素イオンをシリコン基板に注入する。イオン注入後、アニーリングが基板内のシリコンと共に酸素イオンを二酸化ケイ素に変換するために実行される。SIMOXを使用して、注意深く制御された二酸化ケイ素の層がシリコン基板の中に埋め込み形成される。しかし、SIMOXは、典型的に、基板の中のある深さに二酸化ケイ素の埋め込み層を形成するため使用されるが、本手法は、フローティングゲート412の上部に誘電体キャップ408を形成する。   Step 904 is the step of injecting material into the top surface of the floating gate 412 to serve as a seed material later to form the dielectric cap. In this embodiment, material is implanted through the nitride mask 910. FIG. 9B shows the memory cell after the seed material 908 has been implanted into the top of the floating gate stem 412a using the nitride mask 910 still in place. Later in the process, seed material 908 is processed (eg, with heat) to form dielectric cap 408. In one embodiment, seed material 908 is oxygen. Oxygen may be implanted using a technique (SIMOX) similar to oxygen implantation separation. SIMOX is a technique for manufacturing a silicon-on-insulator structure and a substrate by injecting a large amount of oxygen and continuing high-temperature annealing. For example, the SIMOX process implants oxygen ions into a silicon substrate at a desired depth by selecting the energy at which the ions are implanted. After ion implantation, annealing is performed with silicon in the substrate to convert oxygen ions to silicon dioxide. Using SIMOX, a carefully controlled layer of silicon dioxide is embedded in the silicon substrate. However, although SIMOX is typically used to form a buried layer of silicon dioxide at a depth in the substrate, this approach forms a dielectric cap 408 on top of the floating gate 412.

シード材料908は、注入プロセスの適切な制御によってSiN910を通して注入することができる。深さおよび濃度は、酸素のエネルギーおよび量によって制御することができる。イオンが注入されるエネルギーは深さを制御する。シード材料908の濃度は、垂直方向に不均一でもよい。たとえば、分布はガウス分布に近似していてもよい。材料を注入するため使用されるエネルギーの適切な選択によって、ガウス分布のピークはフローティングゲート・ステム412aの表面の非常に近くに定めることができる。   Seed material 908 can be implanted through SiN 910 by appropriate control of the implantation process. Depth and concentration can be controlled by the energy and amount of oxygen. The energy at which ions are implanted controls the depth. The concentration of the seed material 908 may be non-uniform in the vertical direction. For example, the distribution may approximate a Gaussian distribution. By appropriate selection of the energy used to inject the material, the Gaussian distribution peak can be defined very close to the surface of the floating gate stem 412a.

ソース/ドレイン領域を形成するため基板402にイオンを注入した後に続くアニーリングのような1つ以上の後のプロセスステップは、酸素を二酸化ケイ素に変換する副作用を有している。シード材料908を変換するステップを付加することは、必要に応じて付加的なステップを実行できるが、不可欠ではないことに注意されたい。   One or more subsequent process steps, such as annealing followed by implanting ions into the substrate 402 to form source / drain regions, have the side effect of converting oxygen to silicon dioxide. Note that adding the step of converting seed material 908 can perform additional steps as needed, but is not essential.

シード材料908が酸素であることは必要とされない。別の実施形態では、シード材料908は窒素である。この場合、誘電体キャップ408はSiNである。一実施形態では、シード材料908は酸素および窒素の両方を含有する。さらに別のシード材料を使用することが可能である。   The seed material 908 is not required to be oxygen. In another embodiment, seed material 908 is nitrogen. In this case, the dielectric cap 408 is SiN. In one embodiment, seed material 908 contains both oxygen and nitrogen. It is possible to use further seed materials.

一実施では、シード材料908に加えて、制御材料が誘電体キャップ408を形成する方法を制御するため注入される。制御材料は、誘電体キャップ408がアニーリング中に形成する速度を制御することがある。たとえば、アルゴンは、二酸化ケイ素がシード材料908から形成される速度を制御するため酸素と共に注入することができる。アルゴンは、二酸化ケイ素が形成される速度を増加させることがある。一実施では、アルゴンは、殆どまたは全くアルゴンが残存しないように、アニーリングのようなステップ中に追い出される。しかし、いくつかの実施では、メモリセルが形成された後に、ある程度のアルゴンが残存することがある。   In one implementation, in addition to the seed material 908, a control material is implanted to control how the dielectric cap 408 is formed. The control material may control the rate at which the dielectric cap 408 forms during annealing. For example, argon can be implanted with oxygen to control the rate at which silicon dioxide is formed from seed material 908. Argon can increase the rate at which silicon dioxide is formed. In one implementation, the argon is expelled during a step such as annealing so that little or no argon remains. However, in some implementations, some argon may remain after the memory cell is formed.

ステップ906では、SiNマスク910を剥離する。この結果は図9Cに示されている。ステップ908では、STI材料407をエッチバックする。この結果は、STI材料407がゲート誘電体410のレベルまでエッチバックされたことを表す図9Dに示されている。   In step 906, the SiN mask 910 is stripped. The result is shown in FIG. 9C. In step 908, the STI material 407 is etched back. This result is shown in FIG. 9D, which shows that the STI material 407 has been etched back to the level of the gate dielectric 410.

ステップ910では、インターポリ誘電体(たとえば、誘電体406)を成長させ、堆積させる。たとえば、酸化物・窒化物・酸化物(ONO)インターポリ誘電体が使用される。IPDを堆積させることは、誘電体キャップ408を少なくとも部分的に形成するためフローティングゲート412内の材料を十分に高い温度まで加熱するために役立つことがある。たとえば、二酸化ケイ素は、注入された酸素と、フローティングゲート412が形成されたシリコンとから形成され始めてもよい。ある程度の注入された酸素がIPD406の形成後にフローティングゲート412内に残存することがあることに注意されたい。後の熱プロセスステップは、この酸素を二酸化ケイ素に変換することがある。図9Eは、ステップ910の後の結果を表す。ステップ410の後、周知のステップが制御ゲート、ソース/ドレイン領域、および、メモリセルの他の態様を形成するため使用することができる。   In step 910, an interpoly dielectric (eg, dielectric 406) is grown and deposited. For example, an oxide-nitride-oxide (ONO) interpoly dielectric is used. Depositing the IPD may help to heat the material in the floating gate 412 to a sufficiently high temperature to at least partially form the dielectric cap 408. For example, silicon dioxide may begin to be formed from implanted oxygen and silicon from which floating gate 412 is formed. Note that some implanted oxygen may remain in floating gate 412 after formation of IPD 406. A later thermal process step may convert this oxygen to silicon dioxide. FIG. 9E represents the result after step 910. After step 410, well-known steps can be used to form control gates, source / drain regions, and other aspects of memory cells.

ステップ912では、シード材料908は、シード材料908と、フローティングゲート・ステム412aの上部のポリシリコンとから誘電体キャップ408を形成するために処理される。シード材料が酸素である実施形態では、シード材料908の処理は、注入された酸素とフローティングゲート412のポリシリコンとからSiOを形成するためにシード材料908を十分に高温まで加熱するプロセスステップによって実現される。1つ以上のプロセスステップはこの望ましい効果を実現できることに注意されたい。前述されているように、IPD406を形成することは、シード材料908の処理を少なくとも部分的に実現できる。 In step 912, the seed material 908 is processed to form a dielectric cap 408 from the seed material 908 and the polysilicon on top of the floating gate stem 412a. In embodiments where the seed material is oxygen, the treatment of the seed material 908 is by a process step that heats the seed material 908 to a sufficiently high temperature to form SiO 2 from the implanted oxygen and the polysilicon of the floating gate 412. Realized. Note that one or more process steps can achieve this desired effect. As described above, forming the IPD 406 can at least partially implement the processing of the seed material 908.

ソース/ドレイン領域を形成するときに実行されるアニーリングは、シード材料908を処理するプロセスステップの一実施例である。よって、別の目的のため実行されるプロセスステップは、誘電体キャップ408を形成するためシード材料を処理するために同様に役立つことがある。典型的に、ソース/ドレイン領域は、ヒ素またはリンのような材料を基板に注入することによって形成される。注入後、アニールプロセス(たとえば、急速熱アニール(RTA))が実行される。RTAのための例示的なパラメータは、10秒間に摂氏1000度までの加熱である。このようなRTAは、シード材料(たとえば、酸素)の大部分をSiOへ変換するために役立ち得る。しかし、ある程度のシード材料908が残されることがある。この残されたシード材料908は、様々のプロセスステップによって処理されることがある。たとえば、側壁酸化プロセスステップは、誘電体キャップ408を少なくとも部分的に形成するためシード材料908を処理することがある。側壁酸化のため、デバイスは、露出した表面が酸化し、保護層を設けるように、高温度の加熱炉の中に少ない割合の周囲酸素ガスと共に入れられる。側壁酸化は、フローティングゲートのエッジおよび制御ゲートのエッジに丸みを付けるためにも使用できる。側壁酸化は、ソース/ドレイン領域を形成する前に実行されてもよいことに注意されたい。 The annealing performed when forming the source / drain regions is one example of process steps for processing the seed material 908. Thus, process steps performed for another purpose may be equally useful for treating the seed material to form the dielectric cap 408. Typically, the source / drain regions are formed by implanting a material such as arsenic or phosphorus into the substrate. After the implantation, an annealing process (eg, rapid thermal annealing (RTA)) is performed. An exemplary parameter for RTA is heating up to 1000 degrees Celsius in 10 seconds. Such RTA can be useful for converting most of the seed material (eg, oxygen) to SiO 2 . However, some seed material 908 may remain. This remaining seed material 908 may be processed by various process steps. For example, the sidewall oxidation process step may process the seed material 908 to at least partially form the dielectric cap 408. Due to sidewall oxidation, the device is placed in a high temperature furnace with a small percentage of ambient oxygen gas so that the exposed surface oxidizes and provides a protective layer. Sidewall oxidation can also be used to round the edges of the floating gate and the control gate. Note that sidewall oxidation may be performed prior to forming the source / drain regions.

図8Bは、図4Aおよび4Bのメモリセルを製造するプロセスの一部分を説明するフローチャートを示す。図8Bのプロセスは、図8Aのプロセスの別の例である。図3の線A−Aに沿った断面である図9F〜9Gは、図8Bのプロセスにおいて説明された初期ステップによる形成の段階を示す。(図8Aのプロセスの検討中で既に説明された)図9D〜9Eは形成の後の段階を示す。本実施例では、フローティングゲートは、ワードラインに沿った断面で見たときに、比較的狭い。しかし、本明細書中で検討されている原理は、ビットラインまたはワードラインおよびビットラインの両方に沿った断面で見たときに狭いフローティングゲートに適用できることに注意されたい。   FIG. 8B shows a flowchart describing a portion of the process of manufacturing the memory cell of FIGS. 4A and 4B. The process of FIG. 8B is another example of the process of FIG. 8A. 9F-9G, which are cross-sections along line AA in FIG. 3, illustrate the stage of formation according to the initial steps described in the process of FIG. 8B. FIGS. 9D-9E (already described in the process discussion of FIG. 8A) show the later stages of formation. In this embodiment, the floating gate is relatively narrow when viewed in a cross section along the word line. However, it should be noted that the principles discussed herein can be applied to narrow floating gates when viewed in cross-section along both bit lines or word lines and bit lines.

図8Bのプロセスは、図8Aで既に述べたステップ902におけるフローティングゲートおよびSTI材料407の形成で始まる。次に、SiNマスク910がステップ904で剥離される。図9Fは、図8Bのプロセスのステップ904の後のメモリセル形成を示す。   The process of FIG. 8B begins with the formation of the floating gate and STI material 407 in step 902 already described in FIG. 8A. Next, the SiN mask 910 is removed at step 904. FIG. 9F shows memory cell formation after step 904 of the process of FIG. 8B.

ステップ926では、誘電体キャップ408のためのシード材料908は、フローティングゲート・ステム412aの上部に注入される。図9Gは、ステップ926の後の結果を示す。ステップ926は、図8Aの注入ステップ904に類似していることがある。しかし、シード材料908は、SiNマスク910を介するのではなく、フローティングゲート412のポリシリコンの中へ直接的に注入されるので、ステップ926で使用される注入エネルギーの方が低くなることがある。一実施形態では、シード材料は酸素である。別の実施形態では、シード材料は窒素である。一実施形態では、アルゴンのような制御材料も注入される。   In step 926, seed material 908 for dielectric cap 408 is implanted on top of floating gate stem 412a. FIG. 9G shows the result after step 926. Step 926 may be similar to injection step 904 of FIG. 8A. However, since the seed material 908 is implanted directly into the polysilicon of the floating gate 412 rather than through the SiN mask 910, the implantation energy used in step 926 may be lower. In one embodiment, the seed material is oxygen. In another embodiment, the seed material is nitrogen. In one embodiment, a control material such as argon is also injected.

ステップ908は、STI材料407をエッチバックし、この結果は図9Dに既に示されている。ステップ910は、IPD材料406を堆積させ、この結果は図9Eに既に示されている。ステップ912では、シード材料908は、シード材料908と、フローティングゲート・ステム412aの上部のポリシリコンとから、誘電体キャップ408を形成するため処理される。ステップ912は、図8Aに関連して既に言及されている。   Step 908 etches back the STI material 407 and the result is already shown in FIG. 9D. Step 910 deposits IPD material 406, the result of which is already shown in FIG. 9E. In step 912, the seed material 908 is processed to form a dielectric cap 408 from the seed material 908 and the polysilicon on top of the floating gate stem 412a. Step 912 has already been mentioned in connection with FIG. 8A.

図8Cは、図4Aおよび4Bのメモリセルを製造するプロセスの一部分の一実施形態を説明するフローチャートである。図8Cのプロセスは、図8Aおよび8Bのプロセスの別の例である。図3の線A−A断面である図9H〜9Iは、図8Cのプロセスにおいて説明された初期ステップによる形成の段階を示す。(図8Aのプロセスについての言及中で既に説明された)図9D〜9Eは、形成の後の段階を示す。本実施例では、フローティングゲートは、ワードラインに沿った断面で見たときに比較的狭い。しかし、本明細書中で検討された原理は、ビットラインまたはワードラインおよびビットラインの両方に沿った断面で見たときに狭いフローティングゲートに適用できる。   FIG. 8C is a flowchart describing one embodiment of a portion of the process of manufacturing the memory cell of FIGS. 4A and 4B. The process of FIG. 8C is another example of the process of FIGS. 8A and 8B. FIGS. 9H-9I, taken along line AA in FIG. 3, illustrate the stage of formation according to the initial steps described in the process of FIG. 8C. FIGS. 9D-9E (already described in the reference to the process of FIG. 8A) show the stages after formation. In this embodiment, the floating gate is relatively narrow when viewed in a section along the word line. However, the principles discussed herein can be applied to a narrow floating gate when viewed in a cross section along a bit line or both a word line and a bit line.

図8Cのプロセスは、図8Aに関して既に検討したステップ902におけるフローティングゲートおよびSTI材料407の形成で始まる。ステップ904では、SiNマスク910を剥離する。   The process of FIG. 8C begins with the formation of the floating gate and STI material 407 in step 902 already discussed with respect to FIG. 8A. In step 904, the SiN mask 910 is stripped.

次に、STI材料407は、ステップ944で部分的にエッチバックされる。ステップ944の結果は、STI材料407がフローティングゲート・ステム412aの一部分を露出させるためにエッチダウンされたことを表す図9Hに示される。しかし、フローティングゲート・ステム412aの下方部分と、フローティングゲート・ベース412bとは、依然としてSTI材料407によって覆われている。STI材料407がエッチバックされる正確な深さは重要ではない。一実施例では、エッチは、シード材料が加えられたときに、エッチがフローティングゲート・ベース412bに到達しないように、フローティングゲート・ベース412bに達する前の点で止められる。本実施形態では、フローティングゲート・ステム412aの上部が露出され、酸素は非常に浅い深さまでに限り注入されるので、酸素が注入されるときのエネルギーは、比較的低く保たれることがあることに注意されたい。   Next, the STI material 407 is partially etched back at step 944. The result of step 944 is shown in FIG. 9H, which represents that the STI material 407 has been etched down to expose a portion of the floating gate stem 412a. However, the lower portion of the floating gate stem 412a and the floating gate base 412b are still covered by the STI material 407. The exact depth at which the STI material 407 is etched back is not critical. In one embodiment, the etch is stopped at a point before reaching the floating gate base 412b so that when the seed material is added, the etch does not reach the floating gate base 412b. In this embodiment, the top of the floating gate stem 412a is exposed and oxygen is implanted only to a very shallow depth, so that the energy when oxygen is implanted may be kept relatively low. Please be careful.

ステップ946では、シード材料908は、フローティングゲート・ステム412aの上部に注入され、STI材料407は、上部でフローティングゲート・ステム412aの側面を露出させるため、エッチバックされる。一実施形態では、材料は酸素である。別の実施形態では、材料は窒素である。一実施形態では、アルゴンのような制御材料も同様に注入される。図9Iは、ステップ946の後の結果を示す。本実施では、STIエッチバックの大部分は注入ステップより前に実行されることに注意されたい。   In step 946, seed material 908 is implanted on top of floating gate stem 412a and STI material 407 is etched back to expose the sides of floating gate stem 412a at the top. In one embodiment, the material is oxygen. In another embodiment, the material is nitrogen. In one embodiment, a control material such as argon is injected as well. FIG. 9I shows the result after step 946. Note that in this implementation, most of the STI etchback is performed prior to the implantation step.

ステップ948では、STI材料407はさらにエッチバックされる。STI材料407の上方部分に注入されていることがあるシード材料は、STI材料407がステップ948でさらにエッチバックされるときに除去されることに注意されたい。図9Dは、ステップ948の後の結果を示す。ステップ910では、IPD層406を堆積させる。図9Eは、IPD層406を堆積させた後の結果を示す。   In step 948, the STI material 407 is further etched back. Note that seed material that may have been implanted into the upper portion of STI material 407 is removed when STI material 407 is further etched back in step 948. FIG. 9D shows the result after step 948. In step 910, an IPD layer 406 is deposited. FIG. 9E shows the result after depositing the IPD layer 406.

ステップ912では、シード材料908は、シード材料908と、フローティングゲート・ステム412aの上部のポリシリコンとから、誘電体キャップ408を形成するため処理される。ステップ912は、図8Aに関して既に検討されている。   In step 912, the seed material 908 is processed to form a dielectric cap 408 from the seed material 908 and the polysilicon on top of the floating gate stem 412a. Step 912 has already been discussed with respect to FIG. 8A.

図10は、1つ以上のメモリダイすなわちメモリチップ1012を含むことがある不揮発性記憶素子1010を示す。メモリダイ1012は、メモリセルのアレイ(2次元または3次元)1000と、制御回路1020と、リード/ライト回路1030Aおよび1030Bとを含む。一実施形態では、種々の周辺回路によるメモリアレイ1000へのアクセスは、アレイの反対側で対称性のある形式で実施されるので、アクセス線および回路の密度はそれぞれの側で半分に削減される。リード/ライト回路1030Aおよび1030Bは、メモリセルのページが並列に読み出しまたは書き込みされることを可能にする複数のセンスブロック300を含む。メモリアレイ100は、行デコーダ1040Aおよび1040Bを介してワードラインによってアドレス指定可能であり、そして、列デコーダ1042Aおよび1042Bを介してビットラインによってアドレス指定可能である。典型的な実施形態では、コントローラ1044が1つ以上のメモリダイ1012と同じメモリ装置1010(たとえば、着脱式ストレージカードまたはパッケージ)に含まれている。コマンドおよびデータは、ライン1032を介してホストとコントローラ1044との間で転送され、そして、ライン1034を介してコントローラと1つ以上のメモリダイ1012との間で転送される。一実施例では、複数のチップ1012を含むことができる。   FIG. 10 illustrates a non-volatile storage element 1010 that may include one or more memory dies or memory chips 1012. Memory die 1012 includes an array (two-dimensional or three-dimensional) 1000 of memory cells, a control circuit 1020, and read / write circuits 1030A and 1030B. In one embodiment, access to the memory array 1000 by various peripheral circuits is performed in a symmetric fashion on the opposite side of the array, thus reducing access line and circuit density by half on each side. . Read / write circuits 1030A and 1030B include a plurality of sense blocks 300 that allow pages of memory cells to be read or written in parallel. Memory array 100 is addressable by word lines through row decoders 1040A and 1040B, and is addressable by bit lines through column decoders 1042A and 1042B. In an exemplary embodiment, controller 1044 is included in the same memory device 1010 (eg, a removable storage card or package) as one or more memory dies 1012. Commands and data are transferred between the host and controller 1044 via line 1032 and between the controller and one or more memory dies 1012 via line 1034. In one embodiment, a plurality of chips 1012 can be included.

制御回路1020は、メモリアレイ1000上でメモリ動作を実行するためリード/ライト回路1030Aおよび1030Bと協働する。制御回路1020は、ステートマシン1022と、オンチップ・アドレス・デコーダ1024と、電力制御モジュール1026とを含む。ステートマシン1022は、メモリ動作のチップレベル制御を提供する。オンチップ・アドレス・デコーダ1024は、ホストまたはメモリコントローラによって使用されるアドレスとデコーダ1040A、1040B、1042Aおよび1042Bによって使用されるハードウェアアドレスとの間で変換を行うアドレスインターフェイスを提供する。電力制御モジュール1026は、メモリ動作中にワードラインおよびビットラインに供給される電力および電圧を制御する。一実施形態では、電力制御モジュール1026は、供給電圧より大きい電圧を生み出すことができる1つ以上のチャージポンプを含む。   Control circuit 1020 cooperates with read / write circuits 1030A and 1030B to perform memory operations on memory array 1000. The control circuit 1020 includes a state machine 1022, an on-chip address decoder 1024, and a power control module 1026. The state machine 1022 provides chip level control of memory operations. On-chip address decoder 1024 provides an address interface that translates between addresses used by the host or memory controller and hardware addresses used by decoders 1040A, 1040B, 1042A and 1042B. The power control module 1026 controls the power and voltage supplied to the word lines and bit lines during memory operation. In one embodiment, the power control module 1026 includes one or more charge pumps that can produce a voltage greater than the supply voltage.

一実施形態では、制御回路1020、電力制御回路1026、デコーダ回路1025、ステートマシン回路1022、デコーダ回路1042A、デコーダ回路1042B、デコーダ回路1040A、デコーダ回路1040B、リード/ライト回路1030A、リード/ライト回路1030B、および/または、コントローラ1044のうちの1つまたはいずれかの組み合わせは、1つ以上の管理回路と呼ぶことができる。   In one embodiment, control circuit 1020, power control circuit 1026, decoder circuit 1025, state machine circuit 1022, decoder circuit 1042A, decoder circuit 1042B, decoder circuit 1040A, decoder circuit 1040B, read / write circuit 1030A, read / write circuit 1030B And / or one or any combination of the controllers 1044 may be referred to as one or more management circuits.

図11は、メモリセルアレイ1000の例示的な構造を示す。一実施形態では、メモリセルのアレイは、メモリセルのM個のブロックに分割されている。フラッシュEEPROMシステムの場合に一般的であるように、ブロックは消去の単位である。すなわち、各ブロックは、一緒に消去される最低限の個数のメモリセルを収容する。各ブロックは、典型的に、ある程度のページ数に分割される。ページは書き込みの単位である。1ページ以上のデータが、典型的に、メモリセルの1行に記憶される。1ページは、1つ以上のセクタを記憶できる。セクタは、ユーザデータおよびオーバーヘッドデータを含む。オーバーヘッドデータは、典型的に、セクタの中のユーザデータから計算された誤り訂正コード(ECC)を含む。コントローラ(後述される)の一部分は、データがアレイの中へ書き込まれているときにECCを計算し、さらにデータがアレイから読み出されているときにECCをチェックする。代替実施形態では、ECCおよび/または他のオーバーヘッドデータが、関係するユーザデータとは異なるページ、または、さらに異なるブロックにも記憶される。ユーザデータのセクタは、典型的に、磁気ディスクドライブ内のセクタのサイズに対応する512バイトである。8ページから、たとえば、32、64、128、または、これ以上のページまでの多数のページがブロックを形成する。異なるサイズのブロックおよび配置が同様に使用できる。   FIG. 11 shows an exemplary structure of the memory cell array 1000. In one embodiment, the array of memory cells is divided into M blocks of memory cells. As is common in flash EEPROM systems, a block is a unit of erase. That is, each block contains a minimum number of memory cells that are erased together. Each block is typically divided into a certain number of pages. A page is a unit of writing. One or more pages of data are typically stored in one row of memory cells. A page can store one or more sectors. The sector includes user data and overhead data. Overhead data typically includes an error correction code (ECC) calculated from user data in a sector. A portion of the controller (described below) calculates the ECC when data is being written into the array and further checks the ECC when data is being read from the array. In an alternative embodiment, ECC and / or other overhead data is stored on a different page or even a different block from the related user data. The sector of user data is typically 512 bytes corresponding to the size of the sector in the magnetic disk drive. A number of pages from 8 pages up to, for example, 32, 64, 128 or more form a block. Different sized blocks and arrangements can be used as well.

別の実施形態では、ビットラインは、奇数ビットラインおよび偶数ビットラインに分割される。奇数/偶数ビットラインアーキテクチャでは、共通ワードラインに沿って、奇数ビットラインに接続されているメモリセルはある時点に書き込まれ、一方、共通ワードラインに沿って、偶数ビットラインに接続されているメモリセルは別の時点に書き込まれる。   In another embodiment, the bit lines are divided into odd bit lines and even bit lines. In odd / even bit line architecture, memory cells connected to odd bit lines along a common word line are written at some point, while memory connected to even bit lines along a common word line The cell is written at another time.

図11は、メモリアレイ1000のブロックiのさらに詳細を表す。ブロックiは、X+1本のビットラインと、X+1本のNANDストリングとを含む。ブロックiは、64本のデータワードライン(WL0〜WL63)と、2本のダミーワードライン(WL_d0およびWL_d1)と、ドレイン側選択ライン(SGD)と、ソース側選択ライン(SGS)とをさらに含む。各NANDストリングの一方の端子は、(選択ラインSGDに接続された)ドレイン選択ゲートを介して対応するビットラインに接続され、別の端子は、(選択ラインSGSに接続された)ソース選択ゲートを介してソース線に接続されている。64本のデータ線と2本のダミーワードラインとが存在するので、各NANDストリングは、64個のデータメモリセルと2個のダミーメモリセルとを含む。他の実施形態では、NANDストリングは、64個に限らず増減した数のメモリセル、および、2個に限らず増減した数のダミーメモリセルを有することができる。データメモリセルは、ユーザデータまたはシステムデータを記憶することができる。ダミーメモリセルは、典型的に、ユーザデータまたはシステムデータを記憶するため使用されない。いくつかの実施形態は、ダミーメモリセルを含まない。   FIG. 11 shows further details of block i of memory array 1000. Block i includes X + 1 bit lines and X + 1 NAND strings. The block i further includes 64 data word lines (WL0 to WL63), two dummy word lines (WL_d0 and WL_d1), a drain side selection line (SGD), and a source side selection line (SGS). . One terminal of each NAND string is connected to the corresponding bit line via a drain select gate (connected to select line SGD) and the other terminal has a source select gate (connected to select line SGS). Connected to the source line. Since there are 64 data lines and 2 dummy word lines, each NAND string includes 64 data memory cells and 2 dummy memory cells. In other embodiments, a NAND string can have an increased or decreased number of memory cells, not limited to 64, and an increased or decreased number of dummy memory cells, not limited to two. The data memory cell can store user data or system data. Dummy memory cells are typically not used to store user data or system data. Some embodiments do not include dummy memory cells.

図12は、センスモジュール1280と呼ばれるコア部分と、共通部分1290とに区分された個別のセンスブロック300のブロック図である。一実施形態では、ビットライン毎に別個のセンスモジュール1280と、複数のセンスモジュール1280の組に対して1個の共通部分1290が存在する。一実施例では、センスブロックは、1個の共通部分1290および8個のセンスモジュール1280を含む。グループ内のセンスモジュールの1つずつは、データバス1272を介して関連付けられた共通部分と通信する。さらなる詳細については、参照にすることによってその全体が本明細書中に組み込まれる米国特許出願公開第2006/0140007号を参照されたい。   FIG. 12 is a block diagram of individual sense blocks 300 divided into a core portion called a sense module 1280 and a common portion 1290. In one embodiment, there is a separate sense module 1280 for each bit line and one common portion 1290 for a set of multiple sense modules 1280. In one embodiment, the sense block includes one common portion 1290 and eight sense modules 1280. Each sense module in the group communicates with the associated common part via the data bus 1272. For further details, see US Patent Application Publication No. 2006/0140007, which is hereby incorporated by reference in its entirety.

センスモジュール1280は、接続されたビットライン内の伝導電流が所定の閾レベルより上であるか、または、下であるかを決定するセンス回路1270を備える。いくつかの実施形態では、センスモジュール1280は、一般的に、センスアンプと呼ばれる回路を含む。センスモジュール1280は、接続されたビットラインに電圧条件を設定するため使用されるビットラインラッチ1282をさらに含む。たとえば、ビットラインラッチ1282内にラッチされた所定の状態は、接続されているビットラインが書き込み禁止を指定する状態に引き込まれるという結果をもたらす(Vddなど)。   The sense module 1280 includes a sense circuit 1270 that determines whether the conduction current in the connected bit line is above or below a predetermined threshold level. In some embodiments, sense module 1280 includes a circuit commonly referred to as a sense amplifier. The sense module 1280 further includes a bit line latch 1282 that is used to set a voltage condition on the connected bit line. For example, a predetermined state latched in the bit line latch 1282 results in the connected bit line being drawn into a state that specifies write inhibit (such as Vdd).

共通部分1290は、プロセッサ1292と、データラッチの組1294と、データラッチの組1294とデータバス1220との間に連結されている入出力インターフェイス1296とを備える。プロセッサ1292は、計算を実行する。たとえば、プロセッサの機能のうちの1つは、センスされたメモリセル内に記憶されているデータを決定し、決定されたデータをデータラッチの組の中に記憶させることである。データラッチの組1294は、読み出し動作中に、プロセッサ1292によって決定されたデータビットを記憶するため使用される。データラッチの組は、書き込み動作中にデータバス1220からインポートされたデータビットを記憶するためにも使用される。インポートされたデータビットは、メモリに書き込まれることになっているライトデータを表現する。入出力インターフェイス1296は、データラッチ1294とデータバス1220との間のインターフェイスを提供する。   The common portion 1290 includes a processor 1292, a data latch set 1294, and an input / output interface 1296 coupled between the data latch set 1294 and the data bus 1220. The processor 1292 performs the calculation. For example, one of the functions of the processor is to determine the data stored in the sensed memory cell and store the determined data in a set of data latches. Data latch set 1294 is used to store data bits determined by processor 1292 during a read operation. The data latch set is also used to store data bits imported from the data bus 1220 during a write operation. The imported data bits represent the write data that is to be written to the memory. Input / output interface 1296 provides an interface between data latch 1294 and data bus 1220.

読み出し又はセンシング中に、システムの動作は、アドレス指定されたセルへの様々な制御ゲート電圧の印加を制御するステートマシン1022の制御下にある。
ステートマシンがメモリによってサポートされる種々のメモリ状態に対応する種々の所定の制御ゲート電圧の中を通るとき、センスモジュール1280は、これらの電圧のうちの1つでトリップすることがあり、出力がセンスモジュール1280からバス1272を介してプロセッサ1292へ供給される。この時点で、プロセッサ1292は、センスモジュールの(複数の)トリップ事象と、ステートマシンから入力ライン1293を介して印加された制御ゲート電圧に関する情報との考慮によって、結果として生じるメモリ状態を決定する。プロセッサは、次に、メモリ状態のバイナリエンコーディングを計算し、結果として生じるデータビットをデータラッチ1294に記憶させる。コア部分の別の実施形態では、ビットラインラッチ1282は、センスモジュール1280の出力をラッチするラッチ、およひ、さらに前述されているようなビットラインラッチの両方として、2つの役目を果たす。
During reading or sensing, the operation of the system is under the control of a state machine 1022 that controls the application of various control gate voltages to the addressed cell.
When the state machine passes through various predetermined control gate voltages corresponding to various memory states supported by the memory, the sense module 1280 may trip at one of these voltages and the output may be The signal is supplied from the sense module 1280 to the processor 1292 via the bus 1272. At this point, the processor 1292 determines the resulting memory state by considering the sense module trip event (s) and information regarding the control gate voltage applied via the input line 1293 from the state machine. The processor then calculates the binary encoding of the memory state and causes the resulting data bits to be stored in the data latch 1294. In another embodiment of the core portion, the bit line latch 1282 serves a dual role as both a latch that latches the output of the sense module 1280 and a bit line latch as further described above.

いくつかの実施形態は、複数のプロセッサ1292を含むことが予想される。一実施形態では、各プロセッサ1292は、各出力ラインが一体的にワイヤードORされるような出力ライン(図12に図示せず)を含む。いくつかの実施形態では、出力ラインはワイヤードORラインに接続される前に反転される。この構成は、ワイヤードORラインを受信する同じステートマシンが書き込まれている全ビットが所望のレベルに到達した時点を決定することができるので、書き込み検証プロセス中に、書き込みプロセスが終了した時点の迅速な決定を可能にする。たとえば、各ビットがこのビットの所望のレベルに到達したとき、このビットのための論理ゼロがワイヤードORラインに送信される(または、データ1が反転させられる)。全ビットがデータ0を出力するとき(または、データ1が反転させられるとき)、ステートマシンは、書き込みプロセスを終了させるべきことがわかる。各プロセッサが8個のセンスモジュールと通信する実施形態では、ステートマシンは(いくつかの実施形態では)、ワイヤードORラインを8回読むことが必要であるか、または、ステートマシンがワイヤードORラインを1回だけ読めば済むように、関連付けられたビットラインの結果を累算する論理がプロセッサ1292に追加される。   Some embodiments are expected to include multiple processors 1292. In one embodiment, each processor 1292 includes an output line (not shown in FIG. 12) such that each output line is integrally wired-ORed. In some embodiments, the output line is inverted before being connected to the wired OR line. This configuration allows the same state machine that receives the wired-OR line to determine when all the bits being written have reached the desired level, so that during the write verification process, the time at which the write process ends can be quickly determined. Make decisions. For example, when each bit reaches the desired level of this bit, a logical zero for this bit is sent on the wired OR line (or data 1 is inverted). When all bits output data 0 (or when data 1 is inverted), the state machine knows that the write process should be terminated. In embodiments where each processor communicates with 8 sense modules, the state machine (in some embodiments) requires the wired OR line to be read 8 times, or the state machine can read the wired OR line. Logic is added to the processor 1292 to accumulate the associated bitline results so that it only needs to be read once.

書き込みまたは検証中に、書き込まれるべきデータは、データバス1220からデータラッチの組1294の中に記憶される。書き込み動作は、ステートマシンの制御下で、アドレス指定されたメモリセルの制御ゲートに印加される(大きさが増加する)一連の書き込み電圧パルスを含む。各書き込みパルスの後には、メモリセルが所望の状態まで書き込まれたかどうかを決定するために検証プロセスが続く。プロセッサ1292は、所望のメモリ状態と比較して検証されたメモリ状態を監視する。両方が一致したとき、プロセッサ1292は、ビットラインが書き込み禁止を指定する状態へ引き込まれるという結果をもたらすように、ビットラインラッチ1282を設定する。この設定は、ビットラインに連結されているセルが、制御ゲートで書き込みパルスを受けているとしても、さらに書き込むことを禁止する。他の実施形態では、プロセッサは、最初に、ビットラインラッチ1282をロードし、センス回路が検証プロセス中にビットラインラッチを禁止値に設定する。   During writing or verification, the data to be written is stored from the data bus 1220 into the data latch set 1294. The write operation includes a series of write voltage pulses that are applied (increase in magnitude) to the control gate of the addressed memory cell under the control of a state machine. Each write pulse is followed by a verification process to determine if the memory cell has been written to the desired state. The processor 1292 monitors the verified memory state relative to the desired memory state. When both match, the processor 1292 sets the bit line latch 1282 to result in the bit line being drawn to a state that specifies write inhibit. This setting prohibits further writing even if the cell connected to the bit line receives a write pulse at the control gate. In other embodiments, the processor first loads the bit line latch 1282 and the sense circuit sets the bit line latch to a prohibited value during the verification process.

データラッチ・スタック1294は、センスモジュールに対応するデータラッチのスタックを収容する。一実施形態では、1個のセンスモジュール1280当たりに3〜5個(または、別の数)のデータラッチが存在する。一実施形態では、ラッチは、1つずつが1ビットである。いくつかの実施では(不可欠ではないが)、データラッチは、内部に記憶されている並列データがデータバス1220のための直列データに変換され、そして、逆もまた同様であるように、シフトレジスタとして実施される。一つの好ましい実施形態では、m個のメモリセルのリード/ライトブロックに対応するすべてのデータラッチは、ブロック・シフトレジスタを形成し、その結果、データのブロックが直列転送によって入力または出力できるように、一体として連結することができる。具体的には、リード/ライトモジュールのバンクは、このバンクのデータラッチの組のうちの1個ずつが、リード/ライトブロック全体のためのシフトレジスタの一部であるかのように、データバスの中へ、または、データバスの外へ順々にデータをシフトするように適合している。   Data latch stack 1294 contains a stack of data latches corresponding to the sense module. In one embodiment, there are 3-5 (or another number) data latches per sense module 1280. In one embodiment, each latch is one bit. In some implementations (although not essential), the data latch is a shift register so that parallel data stored therein is converted to serial data for the data bus 1220 and vice versa. As implemented. In one preferred embodiment, all data latches corresponding to a read / write block of m memory cells form a block shift register so that a block of data can be input or output by serial transfer. , Can be connected together. Specifically, a bank of read / write modules has a data bus as if each one of the bank's data latch sets is part of a shift register for the entire read / write block. Is adapted to shift data into or out of the data bus in sequence.

読み出し動作およびセンスアンプに関するさらなる情報は、(1)米国特許第7,169,931号、「Non−Volatile Memory And Method With Reduced Source Line Bias Errors」と、(2)米国特許第7,023,736号、「Non−Volatile Memory And Method with Improved Sensing」と、(3)米国特許出願公開第2005/0169082号と、(4)米国特許第7,196,928号、「Compensating for Coupling During Read Operations of Non−Volatile Memory」と、(5)2006年7月20日に公開された米国特許出願公開第2006/0158947号、「Reference Scene Amplifier For Non−Volatile Memory」とに見出すことができる。直前に列挙された5つの特許文献のすべては参照によってぞれぞれの全体が組み込まれている。   Additional information regarding read operations and sense amplifiers can be found in (1) US Pat. No. 7,169,931, “Non-Volatile Memory And Method Reduced Source Line Bias Errors” and (2) US Pat. No. 7,023,736. No., “Non-Volatile Memory And Method Improved Sensing”, (3) U.S. Patent Application Publication No. 2005/0169082, and (4) U.S. Pat. Non-Volatile Memory ”and (5) US Patent Application Publication No. published on July 20, 2006. 2006/0158947, “Reference Scene Amplifier For Non-Volatile Memory”. All five patent documents listed immediately above are incorporated by reference in their entirety.

以上の発明の実施形態の詳細な説明は、例証および説明の目的のため提示されている。発明を網羅すること、または、発明を開示されたそのままの形式に限定することは意図されていない。多くの変更および変形が前述の教示内容に鑑みて可能である。説明された実施形態は、発明の実施形態の原理と、実際的な用途とを最も巧く解明し、それによって、当業者が発明を種々の実施形態で、そして、考えられている特有の使用に適しているような種々の変形を伴って最も巧く利用することを可能にするために選ばれている。発明の範囲は請求項に記載された事項によって定められることが意図されている。   The foregoing detailed description of the embodiments of the invention has been presented for purposes of illustration and description. It is not intended to be exhaustive or to limit the invention to the precise form disclosed. Many modifications and variations are possible in light of the above teaching. The described embodiments best elucidate the principles and practical applications of the embodiments of the invention, so that those skilled in the art will understand the invention in various embodiments and the specific uses contemplated. Is selected to allow the most efficient use with various modifications that are suitable for the above. The scope of the invention is intended to be defined by the matters recited in the claims.

Claims (14)

上部および少なくとも2つの側部を有するフローティングゲートを形成する工程(504、514、520、902)と、
前記フローティングゲートの前記上部に誘電体キャップを形成する工程(505、514、904、912、926、946)であって、前記フローティングゲートの前記上部に前記誘電体キャップを形成することは、第1の材料と第2の材料とを前記フローティングゲートの前記上部に注入することを含み、前記第2の材料が前記誘電体キャップの形成を制御することを特徴とする前記工程と、
前記フローティングゲートの前記少なくとも2つの側部の周りおよび前記誘電体キャップの上部の上にゲート間誘電体層を形成する工程(528)と、
前記フローティングゲートの上部の上および前記フローティングゲートの前記少なくとも2つの側部の周りに制御ゲートを形成する工程(530)であって、前記ゲート間誘電体層が前記制御ゲートを前記フローティングゲートから分離させる前記工程(530)と、
を備える、不揮発性記憶装置を形成する方法。
Forming a floating gate (504, 514, 520, 902) having an upper portion and at least two sides;
Forming a dielectric cap on the upper portion of the floating gate (505, 514, 904, 912, 926, 946), wherein forming the dielectric cap on the upper portion of the floating gate comprises: Implanting the material and a second material into the top of the floating gate, the second material controlling the formation of the dielectric cap;
Forming an intergate dielectric layer around the at least two sides of the floating gate and over the top of the dielectric cap (528);
Forming a control gate over the top of the floating gate and around the at least two sides of the floating gate (530), wherein the intergate dielectric layer separates the control gate from the floating gate; The step (530) of
A method of forming a non-volatile memory device.
前記フローティングゲートを形成する工程は、シリコンから前記フローティングゲートを形成する工程を含み、
前記誘電体キャップを形成する工程は、
前記フローティングゲートの前記上部に前記第1の材料である酸素を注入する工程と、
前記注入された酸素および前記フローティングゲートが形成されたシリコンから前記誘電体キャップを形成するために前記フローティングゲートを加熱する工程と、
を含む、請求項1に記載の方法。
Forming the floating gate includes forming the floating gate from silicon;
The step of forming the dielectric cap includes
Implanting oxygen as the first material into the upper portion of the floating gate;
Heating the floating gate to form the dielectric cap from the implanted oxygen and silicon in which the floating gate is formed;
The method of claim 1 comprising:
前記フローティングゲートを形成する工程は、ハードマスクを使用する工程を含み、
前記フローティングゲートの前記上部に酸素を注入する工程は、前記ハードマスクを通して酸素を注入する工程を含む、請求項2に記載の方法。
Forming the floating gate includes using a hard mask;
The method of claim 2, wherein implanting oxygen into the top of the floating gate comprises implanting oxygen through the hard mask.
前記フローティングゲートの前記少なくとも2つの側部を囲むシャロー・トレンチ・アイソレーション構造体用の絶縁材料を堆積させる工程と、
前記フローティングゲートの上に存在するハードマスクのレベルまで前記絶縁材料を平坦化する工程と、
前記フローティングゲートの上から前記ハードマスクを除去する工程と、
をさらに備え、
前記フローティングゲートの前記上部に酸素を注入する工程は、前記ハードマスクを除去する工程の後であって、前記フローティングゲートの前記少なくとも2つの側部から前記絶縁材料を除去する工程の前に実行される、請求項2に記載の方法。
Depositing an insulating material for a shallow trench isolation structure surrounding the at least two sides of the floating gate;
Planarizing the insulating material to the level of a hard mask present on the floating gate;
Removing the hard mask from above the floating gate;
Further comprising
The step of injecting oxygen into the upper portion of the floating gate is performed after the step of removing the hard mask and before the step of removing the insulating material from the at least two sides of the floating gate. The method according to claim 2.
前記フローティングゲートの前記側部を囲むシャロー・トレンチ・アイソレーション用の絶縁材料を堆積させる工程と、
前記フローティングゲートの上にあるハードマスクのレベルまで前記絶縁材料を平坦化する工程と、
前記フローティングゲートの上から前記ハードマスクを除去する工程と、
前記フローティングゲートの前記少なくとも2つの側部のうちの少なくとも一部分を露出させるため前記絶縁材料の一部分をエッチバックする工程と、
をさらに備え、
前記フローティングゲートの前記上部に酸素を注入する工程は、前記絶縁材料の一部分をエッチバックする工程の後に実行される、請求項2に記載の方法。
Depositing an insulating material for shallow trench isolation surrounding the side of the floating gate;
Planarizing the insulating material to the level of a hard mask overlying the floating gate;
Removing the hard mask from above the floating gate;
Etching back a portion of the insulating material to expose at least a portion of the at least two sides of the floating gate;
Further comprising
The method of claim 2, wherein implanting oxygen into the top of the floating gate is performed after etching back a portion of the insulating material.
前記フローティングゲートを形成する工程および前記誘電体キャップを形成する工程は、
前記フローティングゲートを形成するため使用されるポリシリコンの層を形成する工程と、
前記ポリシリコンの上に、前記誘電体キャップを形成するため使用される酸化物層を形成する工程と、
前記酸化物層の上にパターンを形成する工程と、
前記誘電体キャップおよび前記フローティングゲートを形成するため、前記パターンに基づいて前記酸化物層および前記ポリシリコンをエッチングする工程と、
を含む、請求項1に記載の方法。
The step of forming the floating gate and the step of forming the dielectric cap include
Forming a layer of polysilicon used to form the floating gate;
Forming an oxide layer used to form the dielectric cap on the polysilicon;
Forming a pattern on the oxide layer;
Etching the oxide layer and the polysilicon based on the pattern to form the dielectric cap and the floating gate;
The method of claim 1 comprising:
前記フローティングゲートを形成する工程および前記誘電体キャップを形成する工程は、
前記フローティングゲートの前記上部に曲率を設け、前記ポリシリコンの酸化された部分が前記誘電体キャップの一部分を形成するように、前記フローティングゲートを形成するために使用される前記ポリシリコンを選択的に酸化する工程をさらに含む、請求項6に記載の方法。
The step of forming the floating gate and the step of forming the dielectric cap include
The polysilicon used to form the floating gate is selectively provided such that a curvature is provided on the top of the floating gate and an oxidized portion of the polysilicon forms part of the dielectric cap. The method of claim 6, further comprising oxidizing.
前記制御ゲートを形成する工程は、前記フローティングゲートの前記少なくとも2つの側部の周りに前記制御ゲートを形成する工程をさらに含む、請求項1から7のうちのいずれか一項に記載の方法。   8. The method according to any one of claims 1 to 7, wherein forming the control gate further comprises forming the control gate around the at least two sides of the floating gate. 上部および側部を有しているフローティングゲート(412)と、
前記フローティングゲート(412)の前記上部の上および前記側部の周りにある制御ゲート(404)と、
前記フローティングゲート(412)と前記制御ゲート(404)との間にあり、前記フローティングゲート(412)の上にある誘電体キャップ(408)と前記フローティングゲート(412)の上および周りにある誘電体材料の層(406)とを備えるゲート間誘電体(406、408)であって、前記誘電体キャップ(408)が、第1の材料と第2の材料を前記フローティングゲート(412)の前記上部に注入することによって形成されている、前記ゲート間誘電体(406、408)と、
を備え、
前記フローティングゲートおよび前記制御ゲートが異なった電圧にあるときに電界が前記ゲート間誘電体内に存在し、前記誘電体キャップは、前記フローティングゲートの前記上部における前記ゲート間誘電体内の前記電界の強さが前記フローティングゲートの前記側部に接した前記ゲート間誘電体の領域内の前記電界の強さと同じもしくはそれより低くなるように成形されている、不揮発性記憶装置。
A floating gate (412) having top and sides;
A control gate (404) above and around the top of the floating gate (412);
A dielectric cap (408) between the floating gate (412) and the control gate (404) and over and around the floating gate (412) An intergate dielectric (406, 408) comprising a layer of material (406), wherein the dielectric cap (408) transfers a first material and a second material to the top of the floating gate (412). The inter-gate dielectric (406, 408) formed by implantation into
With
An electric field exists in the intergate dielectric when the floating gate and the control gate are at different voltages, and the dielectric cap is the strength of the electric field in the intergate dielectric at the top of the floating gate. Is a non-volatile memory device that is shaped to be equal to or lower than the strength of the electric field in the intergate dielectric region in contact with the side of the floating gate.
前記誘電体キャップの垂直厚さが前記ゲート間誘電体内の前記電界のピークを前記フローティングゲートの前記側部に引き起こす、請求項9に記載の装置。   The apparatus of claim 9, wherein a vertical thickness of the dielectric cap causes a peak of the electric field in the intergate dielectric to the side of the floating gate. 前記誘電体キャップは二酸化ケイ素を含む、請求項9または10のうちのいずれかに記載の装置。   11. A device according to any one of claims 9 or 10, wherein the dielectric cap comprises silicon dioxide. 前記誘電体キャップは曲線状の上部を有している、請求項9から11のうちのいずれか一項に記載の装置。   12. A device according to any one of claims 9 to 11, wherein the dielectric cap has a curved upper portion. 前記誘電体キャップが実質的に平坦な上部を有している、請求項9から11のうちのいずれか一項に記載の装置。   12. Apparatus according to any one of claims 9 to 11 wherein the dielectric cap has a substantially flat top. 前記誘電体キャップの上部が曲率半径をもつ曲線状の形状を有し、前記誘電体キャップに最も接近した前記フローティングゲートの一部分の幅が前記誘電体キャップの前記曲率半径のおよそ2倍である、請求項9から12のうちのいずれか一項に記載の装置。   The top of the dielectric cap has a curvilinear shape with a radius of curvature, and the width of the portion of the floating gate closest to the dielectric cap is approximately twice the radius of curvature of the dielectric cap; Device according to any one of claims 9 to 12.
JP2011517495A 2008-07-09 2009-07-02 Dielectric layer on floating gate to reduce leakage current Expired - Fee Related JP5558464B2 (en)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US12/170,321 2008-07-09
US12/170,327 US7919809B2 (en) 2008-07-09 2008-07-09 Dielectric layer above floating gate for reducing leakage current
US12/170,321 US7915124B2 (en) 2008-07-09 2008-07-09 Method of forming dielectric layer above floating gate for reducing leakage current
US12/170,327 2008-07-09
PCT/US2009/049620 WO2010005878A1 (en) 2008-07-09 2009-07-02 Dielectric cap above floating gate

Publications (3)

Publication Number Publication Date
JP2011527833A JP2011527833A (en) 2011-11-04
JP2011527833A5 JP2011527833A5 (en) 2012-02-09
JP5558464B2 true JP5558464B2 (en) 2014-07-23

Family

ID=40999859

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2011517495A Expired - Fee Related JP5558464B2 (en) 2008-07-09 2009-07-02 Dielectric layer on floating gate to reduce leakage current

Country Status (6)

Country Link
EP (1) EP2308080A1 (en)
JP (1) JP5558464B2 (en)
KR (1) KR101587198B1 (en)
CN (1) CN102084463B (en)
TW (1) TWI424537B (en)
WO (1) WO2010005878A1 (en)

Families Citing this family (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101539404B1 (en) * 2010-01-08 2015-07-27 삼성전자주식회사 Non-volatile memory devices and method of forming the same
US8455939B2 (en) * 2010-12-21 2013-06-04 Sandisk Technologies Inc. Stacked metal fin cell
JP5331141B2 (en) 2011-02-25 2013-10-30 株式会社東芝 Method for manufacturing nonvolatile semiconductor memory device
US8829588B2 (en) * 2011-07-26 2014-09-09 Synopsys, Inc. NVM bitcell with a replacement control gate and additional floating gate
US8994089B2 (en) * 2011-11-11 2015-03-31 Applied Materials, Inc. Interlayer polysilicon dielectric cap and method of forming thereof
CN103441075A (en) * 2013-08-02 2013-12-11 上海华力微电子有限公司 Method for manufacturing floating gate MOS transistor
US9442662B2 (en) * 2013-10-18 2016-09-13 Sandisk Technologies Llc Device and method for managing die groups
US10192753B2 (en) 2014-09-15 2019-01-29 Toshiba Memory Corporation Nonvolatile semiconductor memory device and method of manufacturing the same
CN105575969B (en) * 2014-10-17 2020-06-09 中芯国际集成电路制造(上海)有限公司 Semiconductor device, manufacturing method thereof and electronic device
US20160343722A1 (en) * 2015-05-21 2016-11-24 Sandisk Technologies Inc. Nonvolatile storage with gap in inter-gate dielectric
US11502093B2 (en) 2020-08-07 2022-11-15 Winbond Electronics Corp. Memory structure and method of manufacturing the same

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5104819A (en) * 1989-08-07 1992-04-14 Intel Corporation Fabrication of interpoly dielctric for EPROM-related technologies
JPH0371674U (en) * 1989-11-16 1991-07-19
KR0179163B1 (en) * 1995-12-26 1999-03-20 문정환 Method of manufacturing non-volatile memory cell
JPH09219460A (en) * 1996-02-07 1997-08-19 Ricoh Co Ltd Nonvolatile semiconductor memory device and manufacture thereof
JPH11111710A (en) * 1997-10-01 1999-04-23 Nec Corp Semiconductor device and its manufacture
US6093607A (en) * 1998-01-09 2000-07-25 Taiwan Semiconductor Manufacturing Company Method of forming sharp beak of poly by oxygen/fluorine implant to improve erase speed for split-gate flash
JP2000114402A (en) * 1998-10-02 2000-04-21 Mitsubishi Electric Corp Semiconductor device and its manufacture
US6362045B1 (en) * 2000-05-09 2002-03-26 Chartered Semiconductor Manufacturing Ltd. Method to form non-volatile memory cells
JP3973616B2 (en) * 2003-10-30 2007-09-12 沖電気工業株式会社 Method for manufacturing nonvolatile semiconductor memory device
JP2006310687A (en) * 2005-05-02 2006-11-09 Renesas Technology Corp Nonvolatile semiconductor memory and manufacturing method thereof
JP5061480B2 (en) * 2006-03-22 2012-10-31 富士通株式会社 Semiconductor memory device and method for manufacturing semiconductor memory device
JP2007299975A (en) * 2006-05-01 2007-11-15 Renesas Technology Corp Semiconductor device, and its manufacturing method
US7253470B1 (en) * 2006-08-10 2007-08-07 Taiwan Semiconductor Manufacturing Co., Ltd. Floating gate with unique profile by means of undercutting for split-gate flash memory device
JP2009239156A (en) * 2008-03-28 2009-10-15 Toshiba Corp Manufacturing method of non-volatile semiconductor storage

Also Published As

Publication number Publication date
CN102084463A (en) 2011-06-01
TW201007891A (en) 2010-02-16
EP2308080A1 (en) 2011-04-13
CN102084463B (en) 2013-10-16
KR101587198B1 (en) 2016-01-20
TWI424537B (en) 2014-01-21
KR20110031491A (en) 2011-03-28
WO2010005878A1 (en) 2010-01-14
JP2011527833A (en) 2011-11-04

Similar Documents

Publication Publication Date Title
JP5558464B2 (en) Dielectric layer on floating gate to reduce leakage current
US7807533B2 (en) Method for forming non-volatile memory with shield plate for limiting cross coupling between floating gates
US7154779B2 (en) Non-volatile memory cell using high-k material inter-gate programming
US7919809B2 (en) Dielectric layer above floating gate for reducing leakage current
US8853763B2 (en) Integrated circuits with sidewall nitridation
US8207036B2 (en) Method for forming self-aligned dielectric cap above floating gate
US8837216B2 (en) Non-volatile storage system with shared bit lines connected to a single selection device
US9159406B2 (en) Single-level cell endurance improvement with pre-defined blocks
US20080160680A1 (en) Methods of fabricating shield plates for reduced field coupling in nonvolatile memory
US20080157169A1 (en) Shield plates for reduced field coupling in nonvolatile memory
US7915124B2 (en) Method of forming dielectric layer above floating gate for reducing leakage current
KR101965459B1 (en) P-/metal floating gate non-volatile storage element
US20140106525A1 (en) Method of forming pn floating gate non-volatile storage elements and transistor having n+ gate
US8450174B2 (en) Non-volatile storage having a connected source and well
EP2064739A1 (en) Methods of fabricating shield plates for reduced field coupling in nonvolatile memory
WO2014052001A1 (en) Periphery transistor of a non-volatile memory and method of forming the same
KR100858744B1 (en) Non-volatile memory cell using high-k material and inter-gate programming
KR100751580B1 (en) Shield plates for limiting cross coupling between floating gates

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20111213

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20120229

A711 Notification of change in applicant

Free format text: JAPANESE INTERMEDIATE CODE: A711

Effective date: 20120713

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20130912

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20130917

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20131203

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20140204

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20140414

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20140507

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20140604

R150 Certificate of patent or registration of utility model

Ref document number: 5558464

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

S533 Written request for registration of change of name

Free format text: JAPANESE INTERMEDIATE CODE: R313533

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees