KR20110004371A - 투사 렌즈 배열체 - Google Patents

투사 렌즈 배열체 Download PDF

Info

Publication number
KR20110004371A
KR20110004371A KR1020107021522A KR20107021522A KR20110004371A KR 20110004371 A KR20110004371 A KR 20110004371A KR 1020107021522 A KR1020107021522 A KR 1020107021522A KR 20107021522 A KR20107021522 A KR 20107021522A KR 20110004371 A KR20110004371 A KR 20110004371A
Authority
KR
South Korea
Prior art keywords
projection lens
lens arrangement
beamlet
plate
array
Prior art date
Application number
KR1020107021522A
Other languages
English (en)
Other versions
KR101481950B1 (ko
Inventor
얀 야코 위랜드
베르트 얀 캄퍼벡
알렉산더 헨드릭 빈센트 반 벤
피터 크룻
스틴 윌리엄 헤르만 카를 스틴브린크
Original Assignee
마퍼 리쏘그라피 아이피 비.브이.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 마퍼 리쏘그라피 아이피 비.브이. filed Critical 마퍼 리쏘그라피 아이피 비.브이.
Publication of KR20110004371A publication Critical patent/KR20110004371A/ko
Application granted granted Critical
Publication of KR101481950B1 publication Critical patent/KR101481950B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/30Electron-beam or ion-beam tubes for localised treatment of objects
    • H01J37/3002Details
    • H01J37/3007Electron or ion-optical systems
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y40/00Manufacture or treatment of nanostructures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/02Details
    • H01J37/04Arrangements of electrodes and associated parts for generating or controlling the discharge, e.g. electron-optical arrangement, ion-optical arrangement
    • H01J37/10Lenses
    • H01J37/12Lenses electrostatic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/30Electron-beam or ion-beam tubes for localised treatment of objects
    • H01J37/317Electron-beam or ion-beam tubes for localised treatment of objects for changing properties of the objects or for applying thin layers thereon, e.g. for ion implantation
    • H01J37/3174Particle-beam lithography, e.g. electron beam lithography
    • H01J37/3177Multi-beam, e.g. fly's eye, comb probe
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/04Means for controlling the discharge
    • H01J2237/043Beam blanking
    • H01J2237/0435Multi-aperture
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/10Lenses
    • H01J2237/12Lenses electrostatic
    • H01J2237/1205Microlenses
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/10Lenses
    • H01J2237/12Lenses electrostatic
    • H01J2237/121Lenses electrostatic characterised by shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/15Means for deflecting or directing discharge
    • H01J2237/151Electrostatic means

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Nanotechnology (AREA)
  • Analytical Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Mathematical Physics (AREA)
  • Theoretical Computer Science (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Electron Beam Exposure (AREA)

Abstract

본 발명은 대전 입자 다중-빔렛 시스템을 위한 투사 렌즈 배열체(10)로서, 하나 또는 다수의 플레이트(12, 13, 14) 및 하나 또는 다수의 투사 렌즈 어레이를 포함하는 투사 렌즈 배열체를 제공한다. 각각의 플레이트 내부에는 개구 어레이가 형성되며 투사 렌즈가 상기 개구의 위치에 형성된다. 상기 투사 렌즈 어레이는 투사 렌즈 시스템의 어레이를 형성하고, 각각의 투사 렌즈 시스템은 상기 하나 또는 다수의 투사 렌즈 어레이의 대응하는 지점에 형성되는 하나 또는 다수의 투사 렌즈를 포함한다. 상기 투사 렌즈 시스템은 상기 플레이트 개구 지름의 약 1 내지 3배의 범위 내에 있는 피치로 배열되며, 각각의 투사 렌즈 시스템은 타깃 평면상에 하나 또는 다수의 대전 입자 빔렛(21)을 포커싱하고 반확대시키기 위해 제공되며, 각각의 투사 렌즈 시스템은 상기 피치의 약 1 내지 5배 범위의 유효 초점 길이를 가지며 상기 대전 입자 빔렛을 25배 이상 반확대시킨다.

Description

투사 렌즈 배열체 {PROJECTION LENS ARRANGEMENT}
본 발명은, 대전 입자 다중 빔렛 리소그라피(lithography) 시스템 또는 검사 시스템과 같은, 대전 입자 다중-빔렛(multi-beamlet) 시스템을 위한 투사 시스템 및 이러한 투사 시스템을 위한 단부 모듈(end module)에 관한 것이다.
현재, 대부분의 상업용 리소그라피 시스템은 레지스트(resist) 코팅을 구비하는 웨이퍼와 같은 타깃을 노출시키기 위한 패턴 데이터(pattern data)를 저장하고 재생성하기 위한 수단으로서 마스크를 이용한다. 마스크가 없는 리소그라피 시스템에서는, 대전 입자(charged particle)의 빔렛(beamlet)이 타깃 상에 패턴 데이터를 기록하기 위해 사용된다. 빔렛은, 예를 들어 이를 개별적으로 온(on)과 오프(off)로 전환시킴으로써, 필요한 패턴을 생성하도록 개별적으로 제어된다. 상업적으로 수용가능한 처리량에서 작동하도록 설계된 고 해상도 리소그라피 시스템에 있어서는, 이러한 시스템의 크기, 복잡성(complexity), 그리고 비용이 장애가 되고 있다.
대전 입자 다중-빔렛(multi-beamlet) 시스템에 사용되는 구성의 한 가지 유형이 예를 들어 미국 특허 5,905,267호에 도시되어 있으며, 여기서는 전자 빔이 개구 어레이에 의하여 다수의 빔렛으로 확장, 조준, 분할된다. 얻어진 이미지는 축소 전자 광학 시스템(reduction electron optical system)에 의하여 축소되어 웨이퍼 상으로 투사된다. 축소 전자 광학 시스템은 모든 빔렛을 함께 포커싱하고 반확대시키고(demagnify), 이로써 빔렛의 전체 세트가 상이 맺히고 크기가 감소된다. 이러한 구성에서는, 모든 빔렛이 공통의 크로스오버(cross-over)에서 교차하는데, 이는 빔렛 내의 대전 입자 간의 상호작용으로 인해서 해상도의 감소 및 일그러짐(distortion)을 발생시킨다.
이러한 공통 크로스오버가 없는 구성도 제안되었었는데, 여기서는 빔렛이 개별적으로 포커싱되고 반확대된다. 그러나, 다수의 빔렛을 가지는 이러한 시스템이 구성되면, 각각의 빔렛을 개별적으로 제어하기 위한 다중 렌즈를 제공하는 것이 실용적이지 않게 된다. 다수의 개별적으로 제어되는 렌즈의 구성은 시스템의 복잡성을 증가시키고, 렌즈 사이의 피치(pitch)는 각각의 렌즈를 위한 필요 부품을 위한 공간을 제공하고 또한 각각의 렌즈에 대한 개별적인 제어 신호를 위한 접근을 허용하도록 하기에 충분해야 한다. 이러한 시스템의 광학 컬럼(optical column)의 더 큰 높이는 관리되여야 하는 진공 체적의 증가와, 예를 들어 빔렛의 드리프트(drift)에 의해 야기되는 정렬 오차의 효과를 증가시키는, 빔렛에 대한 긴 경로와 같은 몇 가지 단점을 초래한다.
본 발명은 공지된 시스템을 향상시키고 앞서의 문제점을 해결하기 위하여 대전 입자 다중-빔렛 시스템을 위한 투사 렌즈 배열체로서, 하나 또는 다수의 플레이트 및 하나 또는 다수의 투사 렌즈 어레이를 포함하는 투사 렌즈 배열체를 제공한다. 각각의 플레이트 내부에는 개구 어레이가 형성되며 투사 렌즈가 상기 개구의 위치에 형성된다. 상기 투사 렌즈 어레이는 투사 렌즈 시스템의 어레이를 형성하고, 각각의 투사 렌즈 시스템은 상기 하나 또는 다수의 투사 렌즈 어레이의 대응하는 지점에 형성되는 하나 또는 다수의 투사 렌즈를 포함한다. 상기 투사 렌즈 시스템은 상기 플레이트 개구 지름의 약 1 내지 3배의 범위 내에 있는 피치로 배열되며, 각각의 투사 렌즈 시스템은 타깃 평면상에 하나 또는 다수의 대전 입자 빔렛을 포커싱하고 반확대시키기 위해 제공되며, 각각의 투사 렌즈 시스템은 상기 피치의 약 1 내지 5배 범위의 유효 초점 길이를 가지며 상기 대전 입자 빔렛을 25배 이상 반확대시킨다.
상기 투사 렌즈 배열체는, 바람직하게는, 10,000개 이상의 투사 렌즈 시스템의 어레이를 포함한다. 상기 투사 렌즈 시스템의 초점 길이가, 바람직하게는, 약 1mm 보다 적다. 상기 투사 렌즈 배열체는 바람직하게는 2개 또는 그보다 많은 플레이트를 포함하며, 바람직하게는 상기 플레이트가 가장 두꺼운 플레이트의 두께와 동일한 크기의 거리로 분리된다. 상기 투사 렌즈 시스템의 어레이의 피치가, 바람직하게는, 약 50 내지 500 마이크론의 범위이며, 상기 투사 렌즈 배열체의 상류 단부로부터 하류 단부까지의 거리가, 바람직하게는, 약 0.3 내지 2.0 mm 범위이다. 각각의 어레이의 투사 렌즈가 바람직하게는 실질적으로 하나의 평면 내에 배치된다.
상기 투사 렌즈가 바람직하게는 정전 렌즈(electrostatic lens)를 포함하며, 각각의 플레이트가 바람직하게는 상기 정전 렌즈를 형성하기 위한 전극을 포함한다. 상기 전극 사이에, 바람직하게는, 10 kV/mm 보다 큰 전기장이 생성되거나, 더욱 바람직하게는, 약 25 내지 50 kV/mm 범위의 전기장이 생성된다. 상기 투사 렌즈 배열체는 각각의 플레이트의 상응하는 개구가 실질적으로 서로 정렬되도록 배열되는 3개의 플레이트를 구비할 수 있으며, 상기 제3 플레이트 전극이 바람직하게는 상기 타깃과 실질적으로 동일한 전압 전위에서 유지된다. 상기 제1 플레이트와 제2 플레이트 사이의 전압차가 바람직하게는 상기 제2 플레이트와 제3 플레이트 사이의 전압차보다 더 작으며, 상기 제2 및 제3 플레이트의 전극 상의 전압이 바람직하게는 약 3 내지 6 kV의 범위이다.
상기 제1 및 제2 플레이트가 바람직하게는 약 100 내지 1000 마이크론, 더욱 바람직하게는 약 100 내지 200 마이크론 떨어져서 배치되고, 상기 제2 및 제3 플레이트가 바람직하게는 약 50 내지 500 마이크론, 더욱 바람직하게는 약 150 내지 250 마이크론 떨어져서 배치되고, 상기 제3 플레이트가 상기 타깃으로부터 바람직하게는 약 25 내지 400 마이크론, 더욱 바람직하게는 약 50 내지 200 마이크론 떨어져서 배치된다.
본 발명의 다른 태양에서는 투사 렌즈 배열체를 구비하는, 대전 입자 다중-빔렛 시스템에 장착 가능한 단부 모듈도 구비한다. 상기 단부 모듈은 상기 투사 렌즈 배열체의 상류에 배치되는 빔 정지 어레이를 더 포함할 수 있으며, 상기 빔 정지 어레이가 그 내부에 개구 어레이가 형성되는 플레이트를 포함하고, 빔 정지 어레이 개구가 상기 투사 렌즈 시스템과 실질적으로 정렬된다. 상기 빔 정지 어레이 개구의 지름이 바람직하게는 약 5 내지 20 마이크론(즉, 마이크로미터 또는 μm) 범위이고, 상기 빔 정지 어레이와 상기 투사 렌즈 배열체 사이의 거리가 바람직하게는 약 5 밀리미터(mm) 보다 더 적다. 상기 단부 모듈은 또한 상기 빔렛을 스캐닝하기 위한 편향 시스템을 더 포함할 수 있으며, 상기 편향 시스템은 상기 투사 렌즈 배열체와 상기 빔 정지 어레이 사이에 배치된다.
본 발명은 또한 대전 입자 다중-빔렛 시스템으로서, 대전 입자의 빔을 생성하기 위한 대전 입자 소스, 상기 빔을 조준하기 위한 조준기, 조준된 빔으로부터 다수의 빔렛을 생성하기 위한 개구 어레이, 상기 빔렛을 포커싱하기 위한 집광렌즈 어레이, 실질적으로 상기 집광렌즈 어레이의 초점 평면 내에 배치되고, 상기 빔렛을 편향시키기 위한 편향기를 포함하는, 빔 블랭커(beam blanker) 어레이, 및 투사 렌즈 배열체를 가지는 단부 모듈을 구비하는 대전 입자 다중-빔렛 시스템도 포함한다. 상기 다중-빔렛 시스템의 대전 입자가 바람직하게는 약 1 내지 10 keV 범위의 에너지를 가진다. 상기 단부 모듈의 투사 렌즈 배열체가 바람직하게는 상기 빔렛이 타깃에 도달하기 전에 상기 빔렛을 포커싱하고 반확대시키기 위한 최종 부재를 포함하며, 상기 단부 모듈의 투사 렌즈 배열체가 바람직하게는 상기 대전 입자 다중-빔렛 시스템의 주 반확대 부재를 포함한다.
본 발명의 다양한 태양이 도면에 도시된 실시예를 참조하여 더 설명될 것이며, 여기서,
도 1은 대전 입자 다중-빔렛 리소그라피 시스템의 일 예에 대한 단순화된 개략도를 도시하며,
도 2는 도 1의 리소그라피 시스템의 단부 모듈의 개략적인 측면도를 도시하면,
도 3a는 도 2의 단부 모듈의 투사 렌즈 내의 렌즈 어레이의 전압 및 상호 거리에 대한 개략적인 측면도를 도시하며,
도 3b는 빔렛에 대한 도 2의 투사 렌즈의 효과를 개략적으로 도시하는 수직 단면도이며,
도 4는 도 2의 투사 렌즈의 렌즈 어레이의 기판에 대한 사시도이며,
도 5는 단부 모듈의 편향 시스템에 대한 대안적인 실시에의 단면도를 개략적으로 도시한다.
아래는 도면을 참조하여 단지 예로서 주어지는, 본 발명의 일 실시예에 대한 설명이다.
도 1은 모든 전자 빔렛의 공통적인 크로스-오버(cross-over) 없이 전자 빔 광학 시스템에 기초한 대전 입자 다중-빔렛 리소그라피 시스템의 일 실시예를 개략적으로 도시한 도면이다. 이러한 리소그라피 시스템은 예를 들어 미국 특허 제6,897,458호, 제6,958,804호, 제7,084,414호, 제7,129,502호에 개시되어 있으며, 이들 특허는 본 발명의 출원인에게 양도된 것들로서 그 전체적으로 본 명세서에 참조로 병합된다. 도 1에 도시된 실시예에서, 리소그라피 시스템은 균질하게 연장하는 전자 빔(20)을 생성하기 위한 전자 소스(1)를 포함한다. 바람직하게 빔 에너지는 약 1 내지 10 keV의 범위 내에서 비교적 낮게 유지된다. 이를 위하여, 가속 전압이 바람직하게 낮고, 전자 소스가 약 -1 내지 -10 kV 사이에서 바람직하게 유지되나, 다른 구성도 사용될 수 있다.
전자 소스(1)로부터의 전자 빔(20)은 이중 팔중극(double octopole)(2)을 통과한 후 전자 빔(20)을 조준(collimate)하기 위한 조준기(collimator) 렌즈(3)를 통과한다. 이후, 전자 빔(20)은 개구 어레이(4)에 충돌하는데, 이는 빔의 일부를 차단하여 다수의 빔렛(21)이 개구 어레이(4)를 통과하게 한다. 이러한 개구 어레이는, 바람직하게는, 관통 구멍을 가지는 플레이트를 포함한다. 이렇게 해서 다수의 평행한 전자 빔렛(21)이 생성된다. 시스템은 다수의 빔렛(21), 바람직하게는 약 10,000 내지 1,000,000의 빔렛을 생성하지만, 더 많거나 적은 빔렛을 사용하는 것도 물론 가능하다. 조준된 빔렛을 생성하기 위하여 다른 공지된 방법도 사용될 수 있다는 점에 주의한다.
다수의 전자 빔렛(21)은 집광 렌즈 어레이(5)를 통과하는데, 이러한 집광 렌즈는 각각의 전자 빔렛(21)을 빔 블랭커(beam blanker) 어레이(6)의 평면 내에 포커싱한다. 이러한 빔렛 블랭커 어레이(6)는, 바람직하게는, 각각이 하나 또는 다수의 전자 빔렛(21)을 편향시킬 수 있는 다수의 블랭커를 포함한다.
이후, 전자 빔렛(21)은 단부 모듈(7)로 들어간다. 단부 모듈(7)은, 바람직하게는, 다양한 부품을 포함하는, 삽입가능하고 교체가능한 유닛으로서 구성된다. 이 실시예에서는, 단부 모듈이 빔 정지 어레이(8), 빔 편향기 어레이(9), 그리고 투사 렌즈 배열체(10)를 포함하지만, 이러한 모든 것이 단부 모듈에 구비되 필요는 없으며 또한 달리 배열될 수도 있다. 단부 모듈(7)은, 다른 기능들 중에서도 특히, 약 100 내지 500배, 예를 들어 300배 내지 500배의 범위와 같이, 바람직하게는 가능한 한 큰 반확대(demagnification)를 제공한다. 단부 모듈(7)은, 바람직하게는, 이하에서 설명하는 바와 같이 빔렛을 편향시킨다. 빔렛(21)은, 단부 모듈(7)을 떠난 이후에, 타깃 평면에 배치된 타깃(11)의 표면에 충돌한다. 리소그라피 분야에 있어서, 타깃은 통상적으로 대전 입자 감지 층 또는 레지스트 층(resist layer)이 구비된 웨이퍼를 포함한다.
단부 모듈(7)에서, 전자 빔렛(21)은 먼저 빔 정지 어레이(8)를 통과한다. 이러한 빔 정지 어레이(8)는 주로 빔렛의 개방 각도를 결정한다. 이 실시예에서는, 빔 정지 어레이가 빔렛을 통과시키기 위한 개구 어레이를 포함한다. 빔 정지 어레이는, 그 기본적인 형태에 있어서, 통상적으로는 둥근 구멍이 관통 구멍을 구비한 기판을 포함하지만, 다른 형태도 사용될 수 있다. 일 실시예에서는, 빔 정지 어레이(8)의 기판이 규칙적으로 이격된 관통 구멍 어레이를 구비하는 실리콘 웨이퍼로부터 형성되며, 표면 차징(surface charging)을 방지하기 위하여 금속의 표면층으로 코팅될 수 있다. 일 실시예에서는, 이러한 금속은, CrMo와 같이, 자연 산화물 외피층을 형성하지 않는 유형이다.
일 실시예에서는, 빔 정지 어레이(8)의 통로가 빔 블랭커 어레이(6)의 부재와 정렬된다. 빔렛 블랭커 어레이(6) 및 빔 정지 어레이(8)는 함께 빔렛(21)을 차단하거나 통과시키도록 작동한다. 빔렛 블랭커 어레이(6)가 빔렛을 편향시키면, 빔렛은 빔 정지 어레이(8)의 상응하는 개구를 통과하지 않고 빔 정지 어레이(8)의 기판에 의해 차단될 것이다. 그러나 빔렛 블랭커 어레이(6)가 빔렛을 편향시키지 않으면, 빔 정지 어레이(8)의 상응하는 개구를 통과하여 타깃(11)의 표면상에 스폿(spot)으로서 투사될 것이다.
이후, 빔렛은 빔 편향기 어레이(9)를 통과하는데, 이러한 빔 편향기 어레이는 각각의 빔렛(21)을, 편향되지 않은 빔렛(21)의 방향에 실질적으로 수직하게, X 및/또는 Y방향으로 편향시킨다. 다음, 빔렛(21)은 투사 렌즈 배열체(10)를 통과하여 타깃 평면에 있는, 통상적으로는 웨이퍼인, 타깃(11) 상으로 투사된다.
타깃 상의 투사된 스폿 사이에서 그리고 투사된 스폿 내에서 전류와 전하의 일관성(consistency) 및 균질성(homogeneity)을 위하여, 그리고 빔 정지 플레이트(8)가 빔렛의 개방 각도를 대부분 결정함에 따라, 빔 정지 플레이트(8) 내의 개구의 지름이, 바람직하게는, 빔렛이 빔 정지 어레이에 도달할 때의 빔렛의 지름보다 작다. 일 실시예에서는, 빔 정지 어레이(8)의 개구가 5 내지 20 μm 범위의 지름을 가지는 한편, 기술된 실시예에서 빔 정지 어레이(8)에 부딪히는 빔렛(21)의 지름은 통상적으로는 약 30 내지 75 μm 범위이다.
본 실시예에서 빔 정지 플레이트(8)의 개구의 지름은, 그렇지 않았더라면 30 내지 75 μm 범위의 지름을 가졌을, 빔렛의 횡단면을, 5 내지 20 μm 범위의 전술한 값으로, 그리고 더욱 바람직하게는 5 내지 10 μm 범위로 제한한다. 이러한 방식에서는, 빔렛의 중심 부분만이 타깃(11) 상에 투사되도록 빔 정지 플레이트(8)를 통과할 수 있게 된다. 빔렛의 중앙 부분은 비교적 균일한 전하 밀도를 가진다. 빔 정지 어레이(8)에 의한 이와 같은 빔렛 주변 부분의 차단(cut-off)은 타깃(11)에서의 전류의 양뿐만 아니라, 시스템의 모듈(7)의 빔렛 개방 각도를 대부분 결정한다. 일 실시예에서는, 빔 정지 어레이(8)의 개구가 라운딩되어, 대체로 균일한 개방 각도를 가지는 빔렛을 생성한다.
도 2는 빔 정지 어레이(8), 편향 어레이(9), 그리고 타깃(11) 상에 전자 빔렛을 투사하는 투사 렌즈 배열체(10)를 도시하여, 단부 모듈(7)의 일 실시예를 더욱 상세하게 도시한다. 빔렛(21)은 타깃(11) 상에 투사되어, 바람직하게는 약 10 내지 30 나노미터 직경의, 더욱 바람직하게는 약 20 나노미터 직경의, 기하학적 스폿 크기를 생성한다. 이러한 구성의 투사 렌즈 배열체(10)는, 바람직하게는, 약 100 내지 500 배의 반확대를 제공한다. 이러한 실시예에서는, 도 2에 도시된 바와 같이, 빔렛(21)의 중앙 부분이 먼저 빔 정지 어레이(8)를 통과한다(빔렛 블랭커 어레이(6)에 의해서 편향되지 않은 것으로 가정한다). 이후, 빔렛은 순차적으로 배치되어 편향 시스템을 형성하는, 빈 편향기 어레이(9)의 편향기 세트 또는 편향기를 통과한다. 빔렛(21)은 이후 투사 렌즈 배열체(10)의 전자-광학 시스템을 통과하여 최종적으로 타깃 평면의 타깃(11) 상에 부딪힌다.
도 2에 도시된 실시예의 투사 렌즈 배열체(10)는 정전 렌즈(electrostatic lens)의 어레이를 형성하는데 사용되는, 순차적으로 배열된 3개의 플레이트(12, 13, 14)를 가진다. 플레이트(12, 13, 14)는, 바람직하게는, 그 내부에 개구가 형성된 기판을 포함한다. 개구는, 바람직하게는 기판을 관통하는 둥근 구멍으로서 형성되지만, 다른 형상도 역시 사용될 수 있다. 일 실시예에서는, 기판이 반도체 칩 산업분야에서 잘 알려진 프로세스 단계를 사용하여 처리된 실리콘 또는 다른 반도체로 형성된다. 개구는, 예를 들어 반도체 제조 산업에서 공지된 리소그라피 및 식각 기술을 사용하여 기판 내에 알맞게 형성될 수 있다. 바람직하게 리소그라피 및 식각 기술은 개구의 위치, 크기, 및 형태에서의 균일성을 보장하기에 충분히 정밀하게 제어될 수 있다. 이러한 균일성은 각각의 빔렛의 초점 및 경로를 개별적으로 제어하기 위한 필요성을 제거할 수 있게 한다.
개구 위치의 균일성, 즉, 개구 사이의 균일한 거리(피치) 및 기판의 표면에 걸친 개구의 균일한 배치는 타깃 상에 균일한 그리드(grid) 패턴을 형성하는, 빽빽하게 채워진 빔렛을 구비한 시스템을 구성할 수 있게 한다. 개구 사이의 피치가 50 내지 500 마이크론 범위인 일 실시예에서는, 피치의 편차가 바람직하게는 100 나노미터 또는 그보다 작다. 더욱이, 다중 플레이트가 사용되는 시스템에서는, 각각의 플레이트 내의 상응하는 개구가 정렬된다. 플레이트 사이의 개구의 오정렬은 상이한 축을 따른 초점 거리의 차이를 야기할 수 있다.
개구의 크기의 균일성은 개구의 위치에 형성된 정전 투사 렌즈의 균일성을 가능하게 한다. 렌즈 크기의 편차는 포커싱에서의 편차를 초래할 것이며, 이로써 일부 빔렛이 타깃 상에 포커싱되고 다른 것들은 그렇지 않게 될 것이다. 개구의 크기가 50 내지 150 마이크론 범위인 일 실시예에서는, 크기의 편차가 바람직하게는 100 나노미터 또는 그보다 작다.
개구의 형태의 균일성도 또한 중요하다. 둥근 구멍이 사용되는 경우에 구멍의 진원도(roundness)의 균일성은 결과적인 렌즈의 초점 거리가 양 축에서 같아지게 한다.
기판은 바람직하게는 전기적으로 전도성인 코팅으로 피복되어 전극을 형성한다. 전도성 코팅은 바람직하게 구멍 내부 및 개구 주변의 플레이트의 양 표면을 덮는 각각의 기판상에 단일 전극을 형성한다. 전도성 자연 산화물을 구비한 금속은, 예를 들어 반도체 제조 산업에서 잘 알려진 기술을 사용하여 플레이트 상에 증착되는, 몰리브덴과 같은, 전극을 위해 사용된다. 각각의 전극에 전기 전압이 가해져서 각각의 개구의 위치에 형성되는 정전 렌즈의 형태를 제어한다. 각각의 전극은 완전한 어레이에 대한 단일 제어 전압에 의해 제어된다. 이로써, 3개의 전극 렌즈를 구비하여 도시된 실시예에서는 수천의 렌즈 모두에 대해 단지 3개의 전압만이 존재할 것이다.
도 2는 그 전극에 전기 전압 V1, V2, 및 V3가 각각 가해진 플레이트(12, 13, 14)를 도시한다. 플레이트(12, 13)의 전극 사이의 전압차 및 플레이트(13, 14) 사이의 전압차는 플레이트의 각각의 개구의 위치에서 정전 렌즈를 형성한다. 이는, 상호 정렬된, 개구 어레이 내의 각각의 위치에서 정전 렌즈의 "수직" 세트를 형성하여, 투사 렌즈 시스템의 어레이를 생성한다. 각각의 투사 렌즈 시스템은 각각의 플레이트의 개구 어레이의 상응하는 지점에 형성된 정전 렌즈 세트를 포함한다. 투사 렌즈 시스템을 형성하는 정전 렌즈의 각각의 세트는, 하나 또는 다수의 빔렛을 포커싱하고 반확대시키며, 유효 초점 길이 및 유효 반확대를 가지는 하나의 유효 투사 렌즈로서 고려될 수 있다. 하나의 플레이트만이 사용되는 시스템에서는, 상기 플레이트의 각각의 개구의 위치에 정전 렌즈가 형성되도록 접지 평면과 함께 단일 전압이 사용될 수 있다.
개구의 균일성에서의 변화는 개구의 위치에서 형성되는 정전 렌즈에서의 변화를 초래할 것이다. 개구의 균일성은 균일한 정전 렌즈를 초래한다. 따라서, 3개의 제어 전압(V1, V2, V3)은 다수의 전자 빔렛(21)을 포커싱하고 반확대시키는 균일한 정전 렌즈의 어레이를 형성한다. 정전 렌즈의 특성은 3개의 제어 전압에 의해 제어되어, 모든 빔렛의 반확대 및 포커싱 양이 이 3개의 전압을 제어함으로써 제어될 수 있게 된다. 이러한 방식에서는, 매우 많은 개수의 전자 빔렛을 포커싱하고 반확대시키기 위한 정전 렌즈의 전체 어레이를 제어하는데 있어 하나의 공통 제어 신호가 사용될 수 있다. 공통 제어 신호는 각각의 플레이트에 대해서 또는 2개 또는 그보다 많은 플레이트 사이의 전압차로서 제공될 수 있다. 상이한 투사 렌즈 배열체에 사용되는 플레이트의 개수는 변할 수 있으며, 공통 제어 신호의 개수도 역시 변할 수 있다. 개구가 충분히 균일한 배치 및 치수를 가지면, 하나 또는 다수의 공통 제어 신호를 사용하여, 전자 빔렛의 포커싱, 및 빔렛의 반확대가 가능하게 된다. 따라서, 도 2에 도시된 실시예에서, 3개의 제어 전압(V1, V2, V3)을 포함하는 3개의 공통 신호는 모든 빔렛(21)을 포커싱하고 반확대시키는데 사용된다.
투사 렌즈 배열체는, 바람직하게는, 빔렛을 타깃 표면상으로 포커싱하기 위한 모든 포커싱 수단을 형성한다. 이는, 각각의 전자 빔렛의 포커싱 및/또는 경로에 대한 보정이 필요하지 않도록 빔렛의 충분히 균일한 포커싱 및 반확대를 제공하는, 투사 렌즈의 균일성에 의해서 가능하게 된다. 이는 시스템의 구성을 간단하게 하고, 시스템의 제어 및 조정(adjustment)을 간단하게 하며, 시스템의 크기를 매우 축소시킴으로써 전체 시스템의 비용 및 복잡성을 상당히 감소시키게 된다.
일 실시예에서, 투사 렌즈가 형성되는 개구의 배치 및 치수는 0.05%보다 더 좋은 초점 길이 균일성을 달성하도록 하나 또는 다수의 공통 제어 신호를 사용하여 전자 빔렛의 포커싱을 가능하게 하기에 충분한 공차 내에서 제어된다. 투사 렌즈 시스템은 공칭 피치(nominal pitch)로 이격되며, 각각의 전자 빔렛은 타깃의 표면상에 스폿을 형성하도록 포커싱된다. 플레이트 내의 개구의 배치 및 치수는, 바람직하게는, 타깃의 표면상의 스폿의 공간적 분포에서의 변화가 공칭 피치의 0.2%보다 더 작도록 하기에 충분한 공차 내에서 제어된다.
투사 렌즈 배열체(10)는 플레이트(12, 13, 14)가 서로에 가깝도록 배치되어 밀집되어(compact) 있으며, 이로써 (전자 빔 광학 분야에서 통상적으로 사용되는 전압과 비교하여) 전극에 대해 사용되는 비교적 적은 전압에도 불구하고, 매우 높은 전기장을 생성할 수 있다. 정전 렌즈에 있어서 초점 길이는 빔 에너지를 전극 사이의 전기장 강도로 나눈 값에 비례하는 것으로 산정될 수 있으므로, 이러한 높은 전기장은 작은 초점 거리를 가지는 정전 투사 렌즈를 형성한다. 이와 관련하여, 이전에 10 kV/mm가 실현될 수 있는 경우에, 본 실시예는, 바람직하게는, 제2 플레이트(13) 및 제3 플레이트(14) 사이에 25 내지 50 kV/mm 범위 내의 전위차를 가한다. 이러한 전압(V1, V2, V3)은, 바람직하게는, 제2 및 제3 플레이트(13, 14) 사이의 전압 차이가 제1 및 제2 플레이트(12, 13) 사이의 전압 차이보다 더 크도록 설정된다. 이는 플레이트(13, 14) 사이에 더 강한 렌즈가 형성되도록 하여, 도 2에서 렌즈 개구의 플레이트(13, 14) 사이에 잇는 굽은 점선에 의해 도시되는 바와 같이, 각각의 투사 렌즈 시스템의 유효 렌즈 평면이 플레이트(13, 14) 사이에 위치하게 된다. 이는 유효 렌즈 평면을 타깃에 더 가깝게 배치시키고 투사 렌즈 시스템이 더 짧은 초점 길이를 가질 수 있게 한다. 또한, 단순함을 위해서, 도 2에서는 빔렛이 편향기(9)로부터 포커싱되는 것으로 도시되었으나, 빔렛(21)의 포커싱에 대한 더 정확한 도면이 도 3b에 도시되어 있다.
바람직하게는, 전압(V2)이 전압(V1) 보다도 전자 소스(1)의 전압에 더 가깝도록 설정되어 빔렛(21)의 대전 입자의 감속을 야기하도록 전극 전압(V1, V2, V3)이 설정된다. 일 실시예에서, 타깃은 0 V (접지 전위)이고, 전자 소스는 타깃에 대해 약 -5 kV이며, 전압(V1)은 약 -4 kV, 그리고 전압(V2)은 약 -4.3 kV이다. 전압(V3)은 타깃에 대해 약 0 V이며, 이는 타깃의 형상이 평평하지 않다면 빔렛에서의 교란을 야기할 수 있는, 타깃과 플레이트(14) 사이의 강한 전기장을 방지한다. 바람직하게는 플레이트 (그리고 투사 시스템의 다른 부품) 사이의 거리가 작다. 이러한 구성으로서, 빔렛의 추출된(extracted) 대전 입자의 속도에서의 감소뿐만 아니라 투사 렌즈의 포커싱 및 반확대가 실현된다. 약 -5 kV의 전압에 있는 전자 소스에 있어서, 중앙 전극(플레이트(13))에 의해 대전 입자가 감속되며, 이후 접지 전위에 있는 전압을 가지는 하부 전극(플레이트(14))에 의해 가속된다. 이러한 감속은 투사 렌즈 배열체에 대한 원하는 반확대 및 포커싱을 여전히 달성하면서도 전극에 대해 더 낮은 전기장을 사용할 수 있게 한다. 이전 시스템에서 사용되던 것과 같이 제어 전압(V1, V2)을 가지는 단지 2개의 전극보다 제어 전압(V1, V2, V3)을 가지는 3개의 전극을 구비하는 것의 장점은 빔렛의 포커싱에 대한 제어가 빔렛 가속 전압의 제어로부터 어느 정도 분리(decouple)될 수 있다는 점이다. 전압(V1)을 변경하지 않고 전압(V2, V3) 사이의 전압차이를 조정함으로써 투사 렌즈 시스템이 조정될 수 있기 때문에 이러한 분리가 이루어지게 된다. 따라서 전압(V1)과 소스 전압 사이의 전압차는, 가속 전압이 본질적으로 일정하게 유지되어 컬럼(column)의 상부에서의 정렬 결과(alignment consequence)를 감소시키도록 대부분 변화되지 않는다.
도 2는 또한, 좌측으로부터 우측으로 빔렛의 편향으로서 도 2에 도시된, Y-방향에서의 편향 어레이(9)에 의한 빔렛(21)의 편향을 도시한다. 도 2의 실시예에서, 편향 어레이(9)의 개구는 하나 또는 다수의 빔렛이 통과하도록 도시되어 있으며, +V 전압과 -V 전압이 제공된 전극이 개구의 대향 측면상에 제공된다. 전극에 걸쳐 전위 차이를 제공함으로써 개구를 통과하는 빔렛 또는 빔렛들의 편향이 이루어지도록 한다. 전압을 동적으로 변경시키는 것은 빔렛(들)이, 본 실시예에서는 Y-방향에서, 스캐닝 방식으로 일소(sweep)될 수 있게 할 것이다.
Y-방향에서의 편향에 대해 설명된 것과 동일한 방식으로, X-방향에서의 편향도 역시 앞 및/또는 뒤로 실행될 수 있다(도 2에서 X-방향은 종이로 들어가고 나오는 방향이다). 설명된 실시예에서, 기판의 표면에 걸쳐 빔렛을 스캐닝하기 위해 하나의 방향이 사용되는 한편 기판은 스캐닝 모듈이나 스캐닝 스테이지를 사용하여 다른 방향에서 이동된다. 이동 방향은, 바람직하게는, Y-방향을 가로지르며 X-방향과 일치한다.
설명한 바와 같이 서로에 대한 단부 모듈(7)의 렌즈 및 편향기의 배치는 입자 광학 기술분야에서 일반적으로 예상되어 오던 것과 다르다. 통상적으로, 편향기는 투사 렌즈의 다음에 배치되어 포커싱이 먼저 이루어지고 이후 포커싱된 빔렛이 편향된다. 도 2 및 3의 시스템에서와 같이 먼저 빔렛을 편향시키고 이후 이를 포커싱하게 되면, 빔렛이 축을 벗어나서 투사 렌즈의 광학 축에 대해 각을 두고 투사 렌즈로 들어가게 된다. 본 발명이 속하는 기술 분야에서 통상의 지식을 가진 자에게 있어 후자의 배치가 상당한 탈-축(off-axis) 수차(aberration)를 발생시킬 수 있음은 명확하다.
리소그라피에 대해 투사 렌즈를 적용하는데 있어서, 빔렛은 수십 나노미터의 스폿 크기와, 나노미터 크기의 정확성과, 나노미터 급의 위치 정확성을 가지고 극도로 높은 정확성으로 포커싱되고 배치되어야만 한다. 본 발명의 발명자는, 예를 들어 빔렛의 광학 축으로부터 수백 나노미터 멀리, 포커싱된 빔렛을 편향시키면 아웃포커싱(out-of-focus)된 빔렛이 용이하게 생성된다는 것을 깨달았다. 정확성에 대한 요구조건을 만족시키기 위하여, 이는 편향의 양을 엄격하게 제한하거나 또는 빔렛이 타깃(11)의 표면에서 빠르게 아웃포커싱될 것이다.
위에서 설명한 바와 같이, 리소그라피 시스템에서의 사용을 위한 투사 렌즈 배열체의 목적을 달성하기 위하여, 투사 렌즈 시스템의 유효 초점 거리는 짧으며, 투사 렌즈 시스템의 렌즈 평면은 타깃 평면에 매우 가깝게 배치된다. 따라서, 빔렛 편향 시스템에 대한 타깃 평면과 투사 렌즈 사이에는 매우 작은 공간이 남겨진다. 본 발명의 발명자는 초점 길이가, 이러한 배치를 구비한 탈-축 수차의 명백한 발생에도 불구하고 임의의 편향기 또는 편향기 시스템이 투사 렌즈 이전에 배치되어야 하도록 하는 제한된 크기이어야 한다는 것을 인식했다.
편향 어레이(9)가 상류에 있고 투사 렌즈 배열체(10)가 하류에 있는, 도 1 및 2에 도시된 배치는 또한, 각각의 투사 렌즈 시스템이 단지 하나의 빔렛(또는 적은 개수의 빔렛)만을 포커싱하는 시스템에서, 특히 적어도 약 100배, 바람직하게는 약 350배의 빔렛의 크기 감소(반확대)를 허용하도록, 빔렛의 큰(strong) 포커싱을 허용한다. 각각의 투사 렌즈 시스템이 빔렛 그룹, 바람직하게는 10 내지 100개의 빔렛을 포커싱하는 시스템에서는, 각각의 투사 렌즈 시스템이 적어도 약 25배, 바람직하게는 약 50배의 반확대를 제공한다. 이러한 높은 반확대는 투사 렌즈 배열체(10)의 앞(상류)의 렌즈 및 개구의 정밀도에 대한 요건이 매우 감소되어 적은 비용으로 리소그라피 장치의 제조를 가능하게 한다는 또 다른 장점을 갖는다. 이러한 배치의 또 다른 장점은 전체 시스템의 컬럼 길이(높이)가 매우 감소될 수 있다는 점이다. 이와 관련하여, 바람직하게는 타깃으로부터 전자 소스까지 1 미터보다 작게, 더욱 바람직하게는 높이가 약 150 내지 700 mm 사이인, 제한된 높이의 투사 컬럼이 얻어지도록, 투사 렌즈의 초점 길이를 짧게 하고 반확대 인자(factor)를 크게하는 것도 바람직하다. 짧은 컬럼을 갖는 이러한 구성은 리소그라피 시스템을 장착하고 수용하기에 용이하게 하며, 제한된 컬럼 높이 및 더 짧은 빔렛 경로로 인해 별개의 빔렛의 드리프트(drift) 효과도 감소시킨다. 더 작은 드리프트는 빔렛 정렬 문제를 감소시키며 더 단순하고 싼 구성이 사용될 수 있게 한다. 그러나 이러한 구성은 단부 모듈의 여러 부품에 대해 추가적인 요구를 부여한다.
투사 시스템의 상류에 위치한 편향 시스템에 있어서, 편향된 빔렛은 더 이상 그 광학축에서 투사 시스템을 통과하지 않을 것이다. 따라서, 타깃 평면상에 포커싱된 편향되지 않은 빔렛이 이제는 편향되었을 때 타깃 평면에서 아웃포커싱될 것이다. 빔렛의 편향으로 인한 아웃포커싱 효과를 제한하기 위하여, 일 실시예의 단부 모듈에서는 편향 어레이(9)가 투사 렌즈 어레이(10)에 가능한 한 가깝게 배치된다. 이러한 방식에서, 편향된 빔렛은 이들이 투사 렌즈 어레이를 통과할 때 그 편향되지 않은 광학축에 여전히 비교적 가까울 것이다. 바람직하게는 편향 어레이가 투사 렌즈 어레이(10)로부터 약 0 내지 5 mm 에 위치하거나, 바람직하게는 투사 렌즈로부터의 격리(isolation)를 유지하면서 가능한 가깝게 위치한다. 실제적인 구성에 있어서는, 배선(wiring)을 수용하기 위해서, 0.5 mm의 거리가 사용될 수 있다. 도 5와 관련하여 이하에서 설명되는 바와 같이, 대안적인 실시예도 역시 이러한 문제점을 대처하기 위하여 다른 수단을 제공한다.
위에서 설명한 바와 같은 배치에 있어서, 투사 렌즈 시스템(10)의 주 렌즈 평면은, 바람직하게는, 2개의 플레이트(13, 14) 사이에 배치된다. 전술한 실시예에 따른 시스템의 대전 입자의 전체 에너지는, 앞서 언급한 바와 같이, 비교적 낮게 유지된다. 예를 들어, 전자 빔에 있어서, 에너지는 바람직하게 약 10 keV 까지의 범위에 있다. 이러한 방식에서는, 타깃에서의 열 생성이 감소된다. 그러나, 대전 입자의 이와 같은 낮은 에너지에 있어서는, 시스템에서의 색수차(chromatic aberration)가 증가한다. 이는 이러한 안 좋은 효과를 없애기 위한 특별한 수단을 필요로 한다. 이러한 것들 중 하나는 이미 언급된 투사 렌즈 배열체(10)에서의 비교적 높은 정전기 장이다. 높은 정전기 장은 낮은 초점 길이를 가지는 정전 렌즈를 형성하여 렌즈가 적은 색수차를 가지게 된다.
색수차는 초점 길이에 대체로 비례한다. 색수차를 감소시키고 타깃 평면상에 전자 빔의 적절한 투사를 제공하기 위하여, 광학 시스템의 초점 길이가 바람직하게는 1 mm 또는 그 미만으로 제한된다. 더욱이, 본 발명에 따른 렌즈 시스템(10)의 최종 플레이트(14)는 초점 평면이 렌즈 내부에 위치하지 않으면서 작은 초점 길이를 가능하게 하도록 매우 얇게 제조된다. 플레이트(14)의 두께는, 바람직하게는, 약 50 내지 200 μm의 범위 내에 있다.
위에서 언급한 이유로 인해 가속 전압을 비교적 낮게 유지하고, 비교적 큰 반확대를 얻고, 수차를 가능한 한 낮게 유지하는 것이 바람직하다. 이러한 모순된 요건을 만족시키기 위하여, 투사 렌즈 시스템의 렌즈를 서로 가깝게 배치한 구성이 고려된다. 이러한 새로운 컨셉은 투사 렌즈의 하부 전극(14)이 바람직하게 타깃 평면에 가능한 한 가깝게 제공될 것을 요구하며, 이는 편향기가 투사 렌즈 이전에 바람직하게 위치하게 된다는 효과를 갖는다. 단부 모듈(7)의 구성에 의해 야기되는 수차를 완화시키기 위한 또 다른 방안은 편향기(9)와 투사 렌즈 배열체(10)를 최소의 상호 거리로 배치시키는 것이다.
도 3a는, 앞서 진술한 바와 같이, 매우 축소된 렌즈 어레이의 상호 거리를 도시한다. 이와 관련하여 플레이트(12, 13) 사이의 상호 거리(d1, d2)는 플레이트(13) 두께와 동일한 정도의 크기이다. 바람직한 실시예에서 두께(d1) 및 두께(d2)는 약 100 내지 200 μm 범위이다. 타깃 평면에 대한 최종 플레이트(14)의 거리(d3)는 짧은 초점 길이를 허용하도록, 바람직하게는, 거리(d2)보다 더 작다. 그러나, 웨이퍼의 기계적 운동을 허용하기 위하여 웨이퍼의 표면과 플레이트(14)의 하부 표면 사이에는 최소 거리가 요구된다. 여기에 예시된 실시예에서, d3 는 약 50 내지 100μm 이다. 일 실시예에서는, d2 가 약 200μm 이고, d3 가 약 50μm 이다. 이러한 거리는 하나 또는 다수의 빔렛을 포커싱하면서도 편향된 빔렛이 통과하도록 허용하기 위한 플레이트(12, 13, 14)의 렌즈의 개구(18)의 크기(d4) 및 전압(V1, V2, V3)에 관련된다.
도시된 단부 모듈(7)의 구성에서, 플레이트(12, 13, 14)의 렌즈의 개구의 지름(d4)은, 바람직하게 약 5 내지 20μm의 지름을 가지는 빔 정지 어레이(8)의 동축으로 정렬된 개구의 지름보다 여러 배 더 크다. 지름(d4)은 바람직하게 약 50 내지 150μm 범위이다. 일 실시예에서는, 지름(d4)이 약 100μm이고 빔 정지 어레이의 개구의 지름이 약 15μm 이다.
더욱이, 본 구성에서는, 플레이트(13)의 중앙 기판이 가장 큰 두께, 바람직하게는 약 50 내지 500μm 범위의 두께를 가진다. 플레이트(12)에 대한 기판의 두께는 상대적으로 더 작아서, 바람직하게는 약 50 내지 300μm 이며, 플레이트(14)에 대한 기판 두께는 상대적으로 가장 작아서, 바람직하게는 약 50 내지 200μm 이다. 일 실시예에서는, 플레이트(13)에 대한 기판의 두께가 약 200μm 이며, 플레이트(12)에 대해서는 약 150μm, 그리고 플레이트(14)에 대해서는 약 150μm 이다.
도 3b는, 투사 렌즈 배열체(10)의 개구(18)의 횡단면에서의 소위 광선 도시 도면(traced ray illustration)에 의하여, 도 3a의 실시예에 따른 렌즈의 실제 포커싱 효과를 도시한다. 이 도면은 이 실시예에서 렌즈 시스템(10)의 실제 렌즈 평면이 플레이트(13)와 플레이트(14) 사이에 위치한다는 것을 도시한다. 이러한 구성에서 짧은 초점 길이를 허용하기 위하여 최하부 플레이트(14)와 타깃 평면(11) 사이의 거리(d3)가 매우 작아야 한다는 점도 주의해야 한다.
도 4는 구멍(18)이 구비된, 바람직하게는 실리콘과 같은 재료의, 기판을 바람직하게 포함하는 플레이트(12, 13, 14) 중 하나의 사시도이다. 구멍은 이웃하는 구멍의 중심 사이의 상호 거리 P(피치)가 구멍(18)의 지름(d7)의 약 1.5배를 가지면서, (도시된 바와 같은) 삼각형 또는 사각형 또는 다른 적절한 관계로 배치될 수 있다. 일 실시예에 따른 플레이트의 기판은 약 20-30 mm2 일 수 있고, 바람직하게는 그 전체 영역에 걸쳐서 일정한 상호 거리에서 배치될 수 있다. 일 실시예에서는, 기판이 약 26 mm2 이다.
특정한 처리량(즉, 시간당 노출되는 웨이퍼의 특정 개수)을 달성하기 위해 요구되는 빔렛의 총 전류는 요구되는 조사량(dose), 웨이퍼의 면적, 그리고 오버헤드 타임(overhead time)에 종속된다. 이러한 산탄 잡음 제한 시스템(shot noise limited system)에서 필요한 조사량은, 여러 인자 중에서도 특히, 요구되는 피처(feature) 크기 및 균일성, 그리고 빔 에너지에 종속된다.
전자 빔 리소그라피를 이용하여 레지스트(resist)에서 일정한 피처 크기(임계 치수 또는 CD)를 얻기 위해서는, 일정한 해상도(resolution)가 요구된다. 이러한 해상도는 3개의 요인에 의해 결정된다: 빔 크기, 레지스트에서의 전자의 산란(scattering), 그리고 산확산(acid diffusion)이 결합된 2차 전자 평균 자유 경로. 이러한 3개의 요인은 이차 관계(quadratic relation)로 증가하여 전체 스폿 크기를 결정한다. 이러한 3개의 요인 중에서 빔 크기 및 산란은 가속 전압에 종속한다. 레지스트 내의 피처 영상을 분해하기 위하여 전체 스폿 크기는 원하는 피처 크기(CD)와 동일한 정도의 크기여야 한다. 실제 적용에 있어서는 CD 뿐만 아니라 CD 균일성도 중요하며, 후자의 요건은 실제 요구되는 스폿 크기를 결정할 것이다.
전자 빔 시스템에 있어서 최대 단일 빔 전류는 스폿 크기에 의해 결정된다. 작은 스폿 크기에 있어서 전류도 역시 매우 작다. 양호한 CD 균일성을 얻기 위하여, 요구되는 스폿 크기는 단일 빔 전류를 높은 처리량을 얻기 위해 요구되는 전류보다 매우 작게 제한할 것이다. 따라서 많은 수의 빔렛이 (통상적으로 시간당 10개의 웨이퍼의 처리량에 대해 10,000개보다 많이) 요구된다. 전자 빔 시스템에 있어서, 하나의 렌즈를 통한 전체 전류는 쿨롱의 법칙(coulomb interaction)에 의해 제한되어, 제한된 개수의 빔이 하나의 렌즈 및/또는 하나의 크로스오버(cross-over) 포인트를 통해 전송될 수 있다. 이는 결과적으로 높은 처리량 시스템의 렌즈 개수도 역시 커야 할 필요가 있다는 것을 의미한다.
설명된 실시예에서는, 많은 수의 낮은 에너지 빔의 매우 밀집한 배열이 얻어져서, 다수의 빔렛이 통상적인 웨이퍼 노출 장(exposure field)의 크기와 필적하는 크기의 영역 내부로 채워질 수 있다.
구멍의 피치는, 바람직하게는, 작은 영역 내에 가능한 한 많은 정전 렌즈를 형성하기 위하여 가능한 한 작다. 이는 높은 밀도의 빔렛을 가능하게 하며, 빔렛이 타깃 표면상에서 가로질러 스캔되어야 하는 거리를 감소시킨다. 그러나 구멍의 주어진 내경 크기에 대해 피치를 감소시키는 것은 구멍 사이의 작은 거리로 인해 플레이트가 매우 무르게(fragile) 될 때 야기되는 제조 및 구조적 문제점에 의해서, 그리고 이웃하는 렌즈의 가장자리 영역에 의해 야기될 수 있는 수차에 의해 제한된다.
도 5는 단부 모듈(7)의 배열의 효과를 더 완화시키기 위한, 편향기의 대안적인 구성을 도시한다. 이러한 구성에 의해서, 빔렛(21)이, 편향되었을 경우에도, 투사 렌즈 배열체(10)의 유효 렌즈 평면의 중앙 부분을 통과하는 것이 달성된다. 이러한 방식에서, 투사 렌즈 배열체(10)를 통한 편향에 의해 야기되는 구면 수차(spherical aberration)는 최소화된다. 이러한 구성에 의한 중요한 개선점은 스폿 크기의 해상도가 손상되지 않으면서도, 사용될 수 있는 편향의 양이 증가한다는 것이다.
도 5에 따른 대안적인 구성에서는, 2개의 편향기(9a, 9b)가 하나가 다른 하나의 뒤에 오도록 배치되며, 각각이 그 전극 상에 반대되는 전압을 구비한다. 편향의 목적으로, 각각의 편향기(9a, 9b) 상의 이러한 전압의 부호는 동시에 전환된다. 유효 렌즈 평면(10) 내의, 그리고 투사 시스템의 광학축 부근의 편향된 빔렛(21)의 센터링(centering)은 전극에 가해진 전압과, 2개의 편향기(9a, 9b) 사이의 상호 거리(d6)와 공동으로 편향기(9b)와 투사 렌즈 배열체(10)의 유효 렌즈 사이의 거리(d5)에 관한 편향각의 비율에 대한 미세한 조정(fine tuning)에 의하여 실행된다. 전극(9a, 9b)에 대한 전압은, 빔렛(21)의 피벗 지점이 투사 렌즈 배열체(10)의 광학 평면 내에 놓이고 투사 렌즈 시스템의 광학축(도 5에서 일점쇄선으로 도시됨)을 가로지르도록 서로 변환된다. 이렇게 해서, 먼저 편향기(9a)가 각(α1)으로 광학축으로부터 빔렛(21)을 편향시키고, 편향기(9b)가 각(α2)을 두고 반대 방향으로 다시 빔렛(21)을 편향시킨다. 이러한 방식에서, 빔렛(21)은 투사 렌즈 배열체(10)의 유효 렌즈 평면을 교차할 때 각(α3)에 걸쳐서 편향된다.
본 발명은 위에서 논의한 특정한 실시예를 참조하여 설명되었다. 이러한 실시예는 본 발명의 범위 및 사상 내에서 본 발명이 속하는 기술 분야에서 통상의 지식을 가진 자에게 잘 알려진 다양한 수정 및 대안적인 형태로 변경될 수 있다는 것을 알 수 있을 것이다. 따라서, 특정 실시예가 설명되었지만, 이러한 것들은 단지 예일 뿐이며, 첨부된 청구범위에서 한정되는 본 발명의 범위를 제한하지는 않는다.

Claims (29)

  1. 타깃 상에 대전 입자 빔렛을 투사하기 위한 대전 입자 다중-빔렛 시스템을 위한 투사 렌즈 배열체로서,
    상기 투사 렌즈 배열체가 투사 렌즈 시스템의 어레이를 포함하고,
    상기 투사 렌즈 배열체가 하나 또는 다수의 플레이트 및 하나 또는 다수의 투사 렌즈 어레이를 포함하고, 각각의 플레이트 내부에는 개구 어레이가 형성되며 투사 렌즈가 상기 개구의 위치에 형성되며,
    상기 하나 또는 다수의 투사 렌즈 어레이가 투사 렌즈 시스템의 어레이를 형성하고, 각각의 투사 렌즈 시스템은 상기 하나 또는 다수의 투사 렌즈 어레이의 대응하는 지점에 형성되는 하나 또는 다수의 투사 렌즈를 포함하고,
    상기 투사 렌즈 시스템은 상기 플레이트 개구 지름의 약 1 내지 3배의 범위 내에 있는 피치로 배열되며,
    각각의 투사 렌즈 시스템은 타깃 평면상에 하나 또는 다수의 대전 입자 빔렛을 포커싱하고 반확대시키기 위해 제공되며, 각각의 투사 렌즈 시스템은 상기 피치의 약 1 내지 5배 범위의 유효 초점 길이를 가지며 상기 대전 입자 빔렛을 25배 이상 반확대시키는,
    투사 렌즈 배열체.
  2. 제1항에 있어서,
    10,000개 이상의 투사 렌즈 시스템의 어레이를 포함하는,
    투사 렌즈 배열체.
  3. 제1항 내지 제2항 중 어느 한 항에 있어서,
    상기 투사 렌즈 시스템의 초점 길이가 약 1mm 보다 적은,
    투사 렌즈 배열체.
  4. 제1항 내지 제3항 중 어느 한 항에 있어서,
    상기 투사 렌즈 배열체는 2개 또는 그보다 많은 플레이트를 포함하는,
    투사 렌즈 배열체.
  5. 제1항 내지 제4항 중 어느 한 항에 있어서,
    상기 투사 렌즈 배열체가 3개 이상의 플레이트를 포함하는,
    투사 렌즈 배열체.
  6. 제1항 내지 제5항 중 어느 한 항에 있어서,
    상기 플레이트는 가장 두꺼운 플레이트의 두께와 동일한 크기의 거리로 분리되는,
    투사 렌즈 배열체.
  7. 제1항 내지 제6항 중 어느 한 항에 있어서,
    상기 투사 렌즈 시스템의 어레이의 피치는 약 50 내지 500 마이크론의 범위인,
    투사 렌즈 배열체.
  8. 제1항 내지 제7항 중 어느 한 항에 있어서,
    상기 투사 렌즈 배열체의 상류 단부로부터 하류 단부까지의 거리가 약 0.3 내지 2.0 mm 범위인,
    투사 렌즈 배열체.
  9. 제1항 내지 제8항 중 어느 한 항에 있어서,
    각각의 어레이의 투사 렌즈가 실질적으로 하나의 평면 내에 배치되는,
    투사 렌즈 배열체.
  10. 제1항 내지 제9항 중 어느 한 항에 있어서,
    상기 투사 렌즈가 정전 렌즈를 포함하는,
    투사 렌즈 배열체.
  11. 제10항에 있어서,
    각각의 플레이트가 상기 정전 렌즈를 형성하기 위한 전극을 포함하는,
    투사 렌즈 배열체.
  12. 제11항에 있어서,
    상기 투사 렌즈 배열체의 전극 사이에 10 kV/mm 보다 큰 전기장이 생성되는,
    투사 렌즈 배열체.
  13. 제11항에 있어서,
    상기 투사 렌즈 배열체의 전극 사이에 약 25 내지 50 kV/mm 범위의 전기장이 생성되는,
    투사 렌즈 배열체.
  14. 제1항 내지 제13항 중 어느 한 항에 있어서,
    제1 플레이트, 상기 제1 플레이트 하류의 제2 플레이트, 상기 제2 플레이트 하류의 제3 플레이트를 포함하고, 상기 플레이트의 개구는 각각의 플레이트의 상응하는 개구가 실질적으로 서로 정렬되도록 배열되는,
    투사 렌즈 배열체.
  15. 제14항에 있어서,
    상기 제3 플레이트가 상기 타깃과 실질적으로 동일한 전압 전위에서 유지되는 전극을 포함하는,
    투사 렌즈 배열체.
  16. 제14항 내지 제15항 중 어느 한 항에 있어서,
    각각의 플레이트가 전극을 포함하고, 상기 제1 플레이트와 제2 플레이트 사이의 전압차가 상기 제2 플레이트와 제3 플레이트 사이의 전압차보다 더 작은,
    투사 렌즈 배열체.
  17. 제14항 내지 제16항 중 어느 한 항에 있어서,
    각각의 플레이트가 전극을 포함하고, 상기 제2 및 제3 플레이트의 전극 상의 전압이 약 3 내지 6 kV의 범위인,
    투사 렌즈 배열체.
  18. 제14항 내지 제17항 중 어느 한 항에 있어서,
    상기 제1 및 제2 플레이트가 약 100 내지 1000 마이크론 떨어져서 배치되고, 상기 제2 및 제3 플레이트가 약 50 내지 500 마이크론 떨어져서 배치되고, 상기 제3 플레이트가 상기 타깃으로부터 약 25 내지 400 마이크론 떨어져서 배치되는,
    투사 렌즈 배열체.
  19. 제14항 내지 제17항 중 어느 한 항에 있어서,
    상기 제1 및 제2 플레이트가 약 100 내지 200 마이크론 떨어져서 배치되고, 상기 제2 및 제3 플레이트가 약 150 내지 250 마이크론 떨어져서 배치되고, 상기 제3 플레이트가 상기 타깃으로부터 약 50 내지 200 마이크론 떨어져서 배치되는,
    투사 렌즈 배열체.
  20. 제1항 내지 제19항 중 어느 한 항에 있어서,
    각각의 투사 렌즈 시스템이 상기 타깃 평면상에 하나의 대전 입자 빔렛을 포커싱하고 반확대시키기 위해 제공되고, 각각의 투사 렌즈 시스템이 상기 대전 입자 빔렛을 100배 이상 반확대시키는,
    투사 렌즈 배열체.
  21. 대전 입자 다중-빔렛 시스템에 장착가능한 단부 모듈로서,
    제1항 내지 제20항 중 어느 한 항에 따른 투사 렌즈 배열체를 포함하는,
    단부 모듈.
  22. 제21항에 있어서,
    상기 투사 렌즈 배열체의 상류에 배치되는 빔 정지 어레이를 더 포함하고,
    상기 빔 정지 어레이가 그 내부에 개구 어레이가 형성되는 플레이트를 포함하고, 빔 정지 어레이 개구가 상기 투사 렌즈 시스템과 실질적으로 정렬되는,
    단부 모듈.
  23. 제22항에 있어서,
    상기 빔 정지 어레이 개구의 지름이 약 5 내지 20 μm 범위인,
    단부 모듈.
  24. 제22항 내지 제23항 중 어느 한 항에 있어서,
    상기 빔 정지 어레이와 상기 투사 렌즈 배열체 사이의 거리가 약 5 mm 보다 더 적은,
    단부 모듈.
  25. 제22항 내지 제24항 중 어느 한 항에 있어서,
    상기 빔렛을 스캐닝하기 위한 편향 시스템을 더 포함하고, 상기 편향 시스템이 상기 투사 렌즈 배열체와 상기 빔 정지 어레이 사이에 배치되는,
    단부 모듈.
  26. 대전 입자 다중-빔렛 시스템으로서,
    대전 입자의 빔을 생성하기 위한 대전 입자 소스;
    상기 빔을 조준하기 위한 조준기;
    조준된 빔으로부터 다수의 빔렛을 생성하기 위한 개구 어레이;
    상기 빔렛을 포커싱하기 위한 집광렌즈 어레이;
    실질적으로 상기 집광렌즈 어레이의 초점 평면 내에 배치되고, 상기 빔렛을 편향시키기 위한 편향기를 포함하는, 빔 블랭커 어레이; 및
    제21항 내지 제25항 중 어느 한 항에 따른 단부 모듈;을 포함하는,
    대전 입자 다중-빔렛 시스템.
  27. 제25항에 있어서,
    상기 빔렛의 대전 입자가 약 1 내지 10 keV 범위의 에너지를 가지는,
    대전 입자 다중-빔렛 시스템.
  28. 제26항 내지 제27항 중 어느 한 항에 있어서,
    상기 단부 모듈의 투사 렌즈 배열체가 상기 빔렛이 타깃에 도달하기 전에 상기 빔렛을 포커싱하고 반확대시키기 위한 최종 부재를 포함하는,
    대전 입자 다중-빔렛 시스템.
  29. 제26항 내지 제28항 중 어느 한 항에 있어서,
    상기 단부 모듈의 투사 렌즈 배열체가 상기 대전 입자 다중-빔렛 시스템의 주 반확대 부재를 포함하는,
    대전 입자 다중-빔렛 시스템.
KR1020107021522A 2008-02-26 2009-01-26 투사 렌즈 배열체 KR101481950B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US3157308P 2008-02-26 2008-02-26
US61/031,573 2008-02-26
PCT/EP2009/050843 WO2009106397A1 (en) 2008-02-26 2009-01-26 Projection lens arrangement

Publications (2)

Publication Number Publication Date
KR20110004371A true KR20110004371A (ko) 2011-01-13
KR101481950B1 KR101481950B1 (ko) 2015-01-14

Family

ID=40578320

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020107021522A KR101481950B1 (ko) 2008-02-26 2009-01-26 투사 렌즈 배열체

Country Status (7)

Country Link
US (1) US20090261267A1 (ko)
EP (1) EP2250660A1 (ko)
JP (1) JP5408674B2 (ko)
KR (1) KR101481950B1 (ko)
CN (1) CN102017052B (ko)
TW (1) TWI480914B (ko)
WO (1) WO2009106397A1 (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20140074939A (ko) * 2011-09-12 2014-06-18 마퍼 리쏘그라피 아이피 비.브이. 2개 이상의 모듈들의 정렬된 스택을 제공하는 조립체와, 그러한 조립체를 구비하는 리소그래피 시스템 또는 마이크로스코피 시스템
KR20150010993A (ko) * 2012-05-14 2015-01-29 마퍼 리쏘그라피 아이피 비.브이. 하전 입자 리소그래피 시스템 및 빔 생성기

Families Citing this family (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
NL2003304C2 (en) * 2008-08-07 2010-09-14 Ims Nanofabrication Ag Compensation of dose inhomogeneity and image distortion.
JP5634052B2 (ja) * 2009-01-09 2014-12-03 キヤノン株式会社 荷電粒子線描画装置およびデバイス製造方法
EP2228817B1 (en) * 2009-03-09 2012-07-18 IMS Nanofabrication AG Global point spreading function in multi-beam patterning
EP2433294B1 (en) 2009-05-20 2016-07-27 Mapper Lithography IP B.V. Method of generating a two-level pattern for lithographic processing and pattern generator using the same
KR101854828B1 (ko) 2009-05-20 2018-05-04 마퍼 리쏘그라피 아이피 비.브이. 듀얼 패스 스캐닝
EP2443647B1 (en) 2009-05-20 2016-10-05 Mapper Lithography IP B.V. Pattern data conversion for lithography system
TWI492261B (zh) * 2009-10-09 2015-07-11 Mapper Lithography Ip Bv 提高完整性的投影透鏡組件
US8884255B2 (en) 2010-11-13 2014-11-11 Mapper Lithography Ip B.V. Data path for lithography apparatus
US9305747B2 (en) 2010-11-13 2016-04-05 Mapper Lithography Ip B.V. Data path for lithography apparatus
KR101791252B1 (ko) 2011-04-22 2017-10-27 마퍼 리쏘그라피 아이피 비.브이. 리소그래피 머신들의 클러스터를 위한 네트워크 아키텍처 및 프로토콜
US8936994B2 (en) 2011-04-28 2015-01-20 Mapper Lithography Ip B.V. Method of processing a substrate in a lithography system
JP5777445B2 (ja) * 2011-08-12 2015-09-09 キヤノン株式会社 荷電粒子線描画装置及び物品の製造方法
CN103959919A (zh) 2011-09-28 2014-07-30 迈普尔平版印刷Ip有限公司 等离子产生器
JP2015509666A (ja) 2012-03-08 2015-03-30 マッパー・リソグラフィー・アイピー・ビー.ブイ. アライメントセンサーとビーム測定センサーを備えている荷電粒子リソグラフィシステム
JP6014342B2 (ja) * 2012-03-22 2016-10-25 株式会社ニューフレアテクノロジー マルチ荷電粒子ビーム描画装置及びマルチ荷電粒子ビーム描画方法
NL2010759C2 (en) 2012-05-14 2015-08-25 Mapper Lithography Ip Bv Modulation device and power supply arrangement.
US11348756B2 (en) 2012-05-14 2022-05-31 Asml Netherlands B.V. Aberration correction in charged particle system
US10586625B2 (en) 2012-05-14 2020-03-10 Asml Netherlands B.V. Vacuum chamber arrangement for charged particle beam generator
WO2015032955A1 (en) 2013-09-07 2015-03-12 Mapper Lithography Ip B.V. Target processing unit
KR101722498B1 (ko) 2013-11-14 2017-04-18 마퍼 리쏘그라피 아이피 비.브이. 멀티-전극 스택 어레인지먼트
CN104715987B (zh) * 2013-12-13 2017-02-15 中国科学院大连化学物理研究所 一种紧凑型偏转会聚离子束的静电透镜
DE102014008105B4 (de) 2014-05-30 2021-11-11 Carl Zeiss Multisem Gmbh Mehrstrahl-Teilchenmikroskop
DE102014008083B9 (de) 2014-05-30 2018-03-22 Carl Zeiss Microscopy Gmbh Teilchenstrahlsystem
DE102014008383B9 (de) 2014-06-06 2018-03-22 Carl Zeiss Microscopy Gmbh Teilchenstrahlsystem und Verfahren zum Betreiben einer Teilchenoptik
EP3218926A2 (en) 2014-11-14 2017-09-20 Mapper Lithography IP B.V. Load lock system and method for transferring substrates in a lithography system
US9484188B2 (en) 2015-03-11 2016-11-01 Mapper Lithography Ip B.V. Individual beam pattern placement verification in multiple beam lithography
US10096450B2 (en) 2015-12-28 2018-10-09 Mapper Lithography Ip B.V. Control system and method for lithography apparatus
US9881764B2 (en) * 2016-01-09 2018-01-30 Kla-Tencor Corporation Heat-spreading blanking system for high throughput electron beam apparatus
JP2017139339A (ja) * 2016-02-04 2017-08-10 株式会社アドバンテスト 露光装置
US9981293B2 (en) 2016-04-21 2018-05-29 Mapper Lithography Ip B.V. Method and system for the removal and/or avoidance of contamination in charged particle beam systems
NL2022156B1 (en) 2018-12-10 2020-07-02 Asml Netherlands Bv Plasma source control circuit
EP4020516A1 (en) * 2020-12-23 2022-06-29 ASML Netherlands B.V. Charged particle optical device, objective lens assembly, detector, detector array, and methods
IL303983A (en) * 2020-12-23 2023-08-01 Asml Netherlands Bv Charged particle optical device

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3796317B2 (ja) * 1996-06-12 2006-07-12 キヤノン株式会社 電子ビーム露光方法及びそれを用いたデバイス製造方法
US6989546B2 (en) * 1998-08-19 2006-01-24 Ims-Innenmikrofabrikations Systeme Gmbh Particle multibeam lithography
US6841787B2 (en) * 2001-11-07 2005-01-11 Applied Materials, Inc. Maskless photon-electron spot-grid array printer
JP2003331774A (ja) * 2002-05-16 2003-11-21 Toshiba Corp 電子ビーム装置およびその装置を用いたデバイス製造方法
WO2004040614A2 (en) 2002-10-30 2004-05-13 Mapper Lithography Ip B.V. Electron beam exposure system
JP2005032837A (ja) * 2003-07-08 2005-02-03 Canon Inc 荷電粒子描画方法及び該方法を用いたデバイス製造方法
GB0425290D0 (en) * 2004-11-17 2004-12-15 Eastham Derek A Focussing masks
US8134135B2 (en) * 2006-07-25 2012-03-13 Mapper Lithography Ip B.V. Multiple beam charged particle optical system

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20140074939A (ko) * 2011-09-12 2014-06-18 마퍼 리쏘그라피 아이피 비.브이. 2개 이상의 모듈들의 정렬된 스택을 제공하는 조립체와, 그러한 조립체를 구비하는 리소그래피 시스템 또는 마이크로스코피 시스템
KR20150010993A (ko) * 2012-05-14 2015-01-29 마퍼 리쏘그라피 아이피 비.브이. 하전 입자 리소그래피 시스템 및 빔 생성기

Also Published As

Publication number Publication date
US20090261267A1 (en) 2009-10-22
TW200939282A (en) 2009-09-16
CN102017052B (zh) 2013-09-04
CN102017052A (zh) 2011-04-13
KR101481950B1 (ko) 2015-01-14
EP2250660A1 (en) 2010-11-17
WO2009106397A1 (en) 2009-09-03
JP5408674B2 (ja) 2014-02-05
JP2011514633A (ja) 2011-05-06
TWI480914B (zh) 2015-04-11

Similar Documents

Publication Publication Date Title
KR101481950B1 (ko) 투사 렌즈 배열체
US8089056B2 (en) Projection lens arrangement
EP2402979B1 (en) Projection lens arrangement
US8445869B2 (en) Projection lens arrangement
US8502176B2 (en) Imaging system
US8890094B2 (en) Projection lens arrangement
NL2002031C (en) Patterned beamlet system.
GB2459279A (en) A projection system for charged particle multi-beams

Legal Events

Date Code Title Description
A201 Request for examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20171227

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20181221

Year of fee payment: 5