KR20100080816A - 베벨 에지 주변의 가스 프로파일을 형상화하기 위한 방법 및 장치 - Google Patents

베벨 에지 주변의 가스 프로파일을 형상화하기 위한 방법 및 장치 Download PDF

Info

Publication number
KR20100080816A
KR20100080816A KR1020107009106A KR20107009106A KR20100080816A KR 20100080816 A KR20100080816 A KR 20100080816A KR 1020107009106 A KR1020107009106 A KR 1020107009106A KR 20107009106 A KR20107009106 A KR 20107009106A KR 20100080816 A KR20100080816 A KR 20100080816A
Authority
KR
South Korea
Prior art keywords
gas
inert gas
flow rate
processing
region
Prior art date
Application number
KR1020107009106A
Other languages
English (en)
Other versions
KR101562407B1 (ko
Inventor
잭 천
앤드류 디 3세 베일리
이크발 샤리프
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20100080816A publication Critical patent/KR20100080816A/ko
Application granted granted Critical
Publication of KR101562407B1 publication Critical patent/KR101562407B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02082Cleaning product to be cleaned
    • H01L21/02087Cleaning of wafer edges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • H01L21/6704Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing
    • H01L21/67051Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing using mainly spraying means, e.g. nozzles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67075Apparatus for fluid treatment for etching for wet etching
    • H01L21/6708Apparatus for fluid treatment for etching for wet etching using mainly spraying means, e.g. nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Drying Of Semiconductors (AREA)
  • Cleaning Or Drying Semiconductors (AREA)

Abstract

프로세싱 챔버 내에서 기판의 베벨 에지를 에칭하는 방법이 제공된다. 이 방법은 기판의 중심 영역 위에 정의된 프로세싱 챔버의 중심 영역으로 불활성 가스를 흐르게 하는 단계 및 상기 기판의 에지 영역에 걸쳐 불활성 가스와 프로세싱 가스의 혼합물을 흐르게 하는 단계를 포함한다. 이 방법은 또한 에지 영역에서 플라즈마를 발생시키는 단계를 포함하고, 불활성 가스의 흐름 및 혼합물의 흐름은 프로세싱 가스의 질량 분율을 실질적으로 일정하게 유지시킨다. 또한, 기판의 베벨 에지를 세정하도록 구성된 프로세싱 챔버가 제공된다.

Description

베벨 에지 주변의 가스 프로파일을 형상화하기 위한 방법 및 장치{METHOD AND APPARATUS FOR SHAPING GAS PROFILE NEAR BEVEL EDGE}
피쳐 사이즈가 더욱 더 소형화됨에 따라, 웨이퍼의 에지 영역의 세정은 더욱 어려워지고 있다. 또한, 더욱 작은 피쳐 사이즈를 달성하기 위해 제조 프로세스에 대한 더욱 새로운 세정 기술이 채택되기 때문에, 이러한 기술들에 사용되는 상이한 프로세스 화학물질이 있다. 이러한 프로세싱 화학물질 중 몇몇은 웨이퍼의 활성 부분 (즉, 칩이 상주하는 웨이퍼의 영역) 에 대해 부식성일 수도 있다. 피쳐 사이즈 축소의 다른 결과는 에지 제외 구역 (edge exclusion zone) 이 소형화된다는 것이다. 따라서, 임의의 에지 세정이 에지로 향하도록 하여, 부식성 화학물질이 웨이퍼의 나머지에 영향을 주지 않도록 해야만 한다. 현재, 기판에 대해 수행되는 프로세싱으로부터 임의의 미립자 또는 오염물질이 제거될 수 있도록, 에지의 세정을 용이하게 하기 위한 기술들이 개발되고 있다. 그러나, 웨이퍼의 중심부에 영향을 주지 않고 에지를 세정할 필요성이 있다. 새로운 프로세스들이 웨이퍼 프로세싱을 위해 사용될수록, 특히 세정 화학물질로서 부식성 프로세싱 가스를 사용함에 따라 이러한 필요성이 명백해질 것이다.
전술한 관점에서, 웨이퍼의 베벨 에지 영역이 소형화됨에 따라 이 영역을 세정하여 웨이퍼의 중심 영역에 영향을 주지 않고 웨이퍼의 베벨 에지를 세정하는 시스템 및 방법에 대한 필요성이 있다.
대체로, 본 실시형태는 기판의 중심 영역이 임의의 해로운 플라즈마 종을 경험하는 것으로부터 방지하면서 기판을 세정하기 위해 기판의 에지를 에칭하는 방법 및 시스템을 제공함으로써 이 필요성을 충족시킨다. 본 발명은 해결책으로서, 방법, 프로세스, 장치, 또는 시스템을 포함하는 다양한 방식으로 구현될 수 있는 것을 이해해야만 한다. 본 발명의 몇몇 신규의 실시형태가 후술된다.
일 실시형태에서, 프로세싱 챔버 내에서 기판의 베벨 에지를 에칭하는 방법이 제공된다. 이 방법은, 기판의 중심 영역 위에 정의된 프로세싱 챔버의 중심 영역으로 불활성 가스를 흐르게 하는 단계 및 기판의 에지 영역 위에 불활성 가스 및 프로세싱 가스의 혼합물을 흐르게 하는 단계를 포함한다. 이 방법은 에지 영역에서 플라즈마를 발생시키는 단계를 더 포함하는데, 여기서, 불활성 가스의 흐름 및 혼합물의 흐름은 프로세싱 가스의 질량 분율을 실질적으로 일정하게 유지시킨다.
다른 실시형태에서, 기판의 베벨 에지를 세정하는 방법이 제공된다. 이 방법은, 기판의 중심 영역 위에 정의된 프로세싱 챔버의 중심 영역으로 불활성 가스를 흐르게 하는 것으로 시작한다. 불활성 가스의 흐름과 동시에 기판의 에지 영역 위에 에천트 가스가 흐른다. 이 방법은, 에지 영역에 인접하는 대향 환형 전극들을 통해서 에지 영역에서 플라즈마를 발생시키는 단계를 포함하는데, 여기서, 불활성 가스의 흐름 및 에천트 가스의 흐름은 에천트 가스가 중심 영역으로 확산되는 것을 방지하면서 에지 영역에서의 에천트 가스의 질량 분율을 실질적으로 일정하게 유지시킨다.
또 다른 실시형태에서, 프로세싱 챔버가 제공된다. 프로세싱 챔버는 기판 지지체 및 그 기판 지지체의 에지 영역 위에 배치된 한 쌍의 환형 전극을 포함한다. 프로세싱 챔버는 기판의 중심 영역 위에 불활성 가스를 제공하는 제 1 가스 인렛 및 에지 영역에 인접하여 프로세싱 가스를 제공하는 제 2 가스 인렛을 갖는 가스 분배 시스템을 포함한다. 챔버 내부의 압력을 제어하는 압력 제어 시스템이 포함된다. 프로세싱 챔버는, 이 챔버에 도입되는 불활성 가스 및 프로세싱 가스를 제어할 뿐만 아니라 챔버 내부의 압력을 제어하는 프로세서를 포함한다. 프로세서와 데이터 통신하는 메모리가 제공된다. 메모리는 프로세서에 의해 동작되는 프로그램을 저장한다. 이 프로그램은, 에지 영역에서의 프로세싱 가스의 질량 분율을 실질적으로 일정하게 유지시키기 위해 에지 영역에서의 프로세싱 가스의 유속 (flow rate) 및 중심 영역 위의 불활성 가스의 유속을 제어하는 제 1 서브루틴을 포함한다. 또한, 프로세싱 가스와 다른 가스의 혼합을 제어하는 제 2 서브루틴이 제공된다.
본 발명의 다른 양태 및 이점은, 첨부된 도면을 참조하여 본 발명의 원리를 예시로서 설명하는 하기의 상세한 설명으로부터 명백해질 것이다.
본 발명은 첨부된 도면과 관련하여 이하의 상세한 설명에 의해 용이하게 이해될 수 있고, 동일한 참조 부호는 동일한 구조적 엘리먼트들을 지칭한다.
도 1 은 본 발명의 일 실시형태에 따라서 웨이퍼의 에지가 에칭되는 프로세싱 챔버를 도시하는 간략화된 개략도이다.
도 2 는, 중심 가스 공급부와 함께 에지 가스 공급부가 포함된, 도 1 의 챔버에 대한 대안적인 실시형태이다.
도 3 은 본 발명의 일 실시형태에 따라서 웨이퍼의 에지를 에칭하기 위해 도 1 및 도 2 에 도시된 가스 공급부 셋업에 대한 대안적인 실시형태를 도시한다.
도 4 는 본 발명의 일 실시형태에 따라서 3 개의 퍼지 가스 배출량들 사이에서의 질량 분율의 비교를 도시하는 간략화된 그래프이다.
도 5 는, 본 발명의 일 실시형태에 따라서, 산소 질량 분률 대 웨이퍼에 따른 거리를 나타내는 그래프를 도시한다.
도 6 은 본 발명의 일 실시형태에 따라서 기판의 에지를 에칭하기 위한 방법 동작들을 설명하는 플로우 차트 도면이다.
본 명세서에 설명된 실시형태들은 에지 에칭으로부터 웨이퍼의 중심 영역을 보호하면서 웨이퍼의 에지에서의 에칭 속도를 제어하기 위한 방법을 제공한다. 일 실시형태에서, 통상적인 에칭 동작과 비교하여 비교적 높은 유속으로 프로세싱 가스를 도입하기 위해 중심 가스 공급부가 사용된다. 또한, 원하는 체계로 프로세싱을 달성하기 위해 챔버 내의 압력은 1 Torr 이상이다. 제 2 실시형태에서, 중심 가스 공급부는, 에지 가스 공급부가 웨이퍼의 에지 영역으로 프로세싱 가스를 전달하는 동안, 불활성 가스를 공급하도록 사용된다. 이 실시형태에서, 중심 가스는 에지 영역의 프로세싱 가스로부터 웨이퍼의 중심 영역을 보호하여 중심 영역으로의 확산을 방지한다. 다른 실시형태에서, 중심 가스 공급부는, 불활성 가스와 프로세싱 가스의 혼합물이 에지 영역으로 공급되는 동안, 웨이퍼의 중심 영역에 불활성 가스를 공급하는데 사용된다. 에지 영역에 공급되는 불활성 가스 및 중심 영역에 공급되는 불활성 가스는 동일한 가스일 수도 있고 또는 동일하지 않을 수도 있다는 것을 이해해야만 한다.
도 1 은 본 발명의 일 실시형태에 따라서 웨이퍼의 에지가 에칭되는 프로세싱 챔버를 도시하는 간략화된 개략도이다. 챔버 (100) 는 에지 전극 (106a 및 106b) 을 포함한다. 에지 전극 (106a) 은 기판 (102) 의 외주 근방에 배치된 상부 전극이고, 에지 전극 (106b) 은 상부 전극 (106a) 에 대향하고 기판 (102) 의 외측 영역에 배치된 하부 전극이다. 일 실시형태에서, 에지 전극 (106a 및 106b) 은 환상 링이다. 전극으로서 기능할 수도 있는 기판 (102) 은 기판 지지체 (104) 위에 놓인다. 일 실시형태에서, 기판 지지체 (104) 는 정전척일 수도 있다. 중심 가스 공급부 (110) 는 유량 제어기 (108) 를 통해서 챔버 (100) 의 중심 영역으로 가스 공급을 도입한다. 일 실시형태에서, 중심 가스 공급부 (110) 로부터의 가스 공급은 대략 1000 SCCM (standard cubic centimeter per meter) 으로 챔버 내로 흐른다. 다른 실시형태에서, 가스의 유속은 1000 SCCM 을 초과한다. 본 명세서의 실시형태들에 사용된 프로세싱 가스는 반도체 프로세싱 동안 에칭 동작을 수행하도록 일반적으로 사용되는 임의의 프로세싱 가스일 수도 있다. 예시적인 프로세스 가스는 산소 (O2), SF6, 염소 (Cl2), CF4 를 포함하고, 이 프로세스 가스와 함께 임의의 불활성 가스 (예를 들어, 아르곤, 질소 등) 가 공급될 수도 있다. 반도체 제조시에 사용되는 수많은 다른 프로세스 가스들이 본 명세서에 설명된 실시형태에 포함될 수도 있다. 챔버 (100) 내의 압력이 일 실시형태에서 1 Torr 이상으로 유지되는 것에 유의해야만 한다. 이 압력 체계에서, 에칭 동작 도중에 외부 에지 주변의 가스/이온으로부터의 확산 효과는 중심 영역으로부터의 가스의 모멘텀 효과 (momentum effect) 에 의해 오프셋된다.
도 2 는, 에지 가스 공급부가 중심 가스 공급부와 함께 포함된 도 1 의 챔버에 대한 대안적인 실시형태이다. 챔버 (100) 는 그 내부에 배치된 전극 (106a 및 106b) 을 포함한다. 웨이퍼 (102) 는 기판 지지체 (104) 위에 놓인다. 중심 가스 공급부 (110) 는 유량 제어기 (108) 를 통해서 챔버의 중심 영역으로 불활성 가스를 공급한다. 영역 (118) 에서 도시된 바와 같이, 중심 가스 공급부는 웨이퍼의 중심 영역을 보호하여 웨이퍼의 중심 영역 위에 정의된 디바이스를 보호한다. 에지 가스 공급부 (114) 는, 기판 (102) 의 외주에 인접하고 전극 (106a) 과 전극 (106b) 사이에 있는 에지 영역 (116) 에 에지 가스를 공급한다. 에지 가스 공급은 유량 제어기 (112) 를 통해서 공급된다. 챔버에 프로세싱 가스와 불활성 가스의 소정의 유속 및 질량 흐름을 제공하도록 유량 제어기를 동작시키기 위해 제어기 (120) 가 사용될 수도 있다. 일 실시형태에서, 제어기 (120) 는 프로세서 및 메모리를 갖는 컴퓨팅 디바이스이다. 이 실시형태에서, 메모리는 코드를 포함할 수도 있다. 이 코드는 본 명세서에 설명된 유속 및 질량 분율을 달성하기 위해 유량 제어 밸브 (108 및 112) 의 동작을 제어하는 서브루틴을 포함한다. 또한, 이 코드는 챔버 내의 압력을 제어하기 위한 서브루틴 또는 명령을 포함할 수도 있다. 도 2 에 도시된 바와 같이, 영역 (116) 은, 챔버 (100) 내부에 에지 가스가 정의된 영역을 나타낸다. 또한, 챔버 내 압력은 1 Torr 이상으로 제어된다. 일 실시형태에서, 압력은 1.5 Torr 이상으로 유지된다. 더욱 높은 압력에서, 에지에서의 가스의 확산 효과는 중심 가스 공급부로부터의 모멘텀 효과에 의해 지배된다는 것에 유의해야만 한다. 즉, 수많은 발생이 있고, 이러한 압력 체계에서 메인 자유 경로는 매우 짧다. 또한, 중심 가스 공급부 (110) 를 통해서 공급된 불활성 가스는 임의의 적절한 불활성 가스 (예를 들어, 질소, 아르곤 등) 일 수도 있다. 일 실시형태에서, 더욱 높은 분자량을 갖는 불활성 가스가 바람직할 수도 있지만, 필수적이지는 않다.
도 3 은 본 발명의 일 실시형태에 따른 웨이퍼의 에지를 에칭하기 위해 도 1 및 도 2 에 도시된 가스 공급 셋업에 대한 대안적인 실시형태를 도시한다. 여기서, 챔버 (100) 는 기판 지지체 (104) 위에 놓인 웨이퍼 (102) 의 외주 주변에 배치된 전극 (106a 및 106b) 을 또한 포함한다. 중심 가스 공급부 (110) 는 기판 (102) 위에 정의된 중심 영역에 유량 제어기 (108) 를 통해서 중심 가스를 도입한다. 프로세싱 가스는 에지 활성 가스 공급부 (114) 및 에지 불활성 가스 공급부 (122) 로부터 에지 영역으로 전달된다. 이들은 유량 제어기 (112) 를 통해서 기판 (102) 의 외주에 인접하여 정의된 에지 영역으로 전달된다. 제어기 (120) 는 원하는 비율의 가스를 전달하기 위해 유량 제어기 (108 및 112) 의 동작을 모니터링한다. 이 실시형태에서, 질량 분율은 제어기 (120) 를 통해서 그리고 유량 제어기에 대응하여 제어될 수 있다는 것에 유의해야만 한다. 일 실시형태에서, 유량 제어기 (112) 를 통한 가스 흐름은 프로세싱 가스와 불활성 가스를 혼합시킨다. 앞서 서술된 바와 같이, 프로세싱 가스는 세정 플라즈마를 생성할 것이다. 불활성 가스는 유량 제어기 (108) 를 통해서 중심 영역으로 전달된 불활성 가스와 동일할 수도 있고 동일하지 않을 수도 있다.
도 4 는 본 발명의 일 실시형태에 따른 퍼지 가스 유속들 사이에서의 질량 분율의 비교를 나타내는 간략화된 그래프이다. Y 축은 산소 대 질소의 질량 분율 비율을 제공한다. X 축은 웨이퍼 중심으로부터의 거리를 미터 단위로 도시한다. 라인 (200) 은 중심 가스 공급이 질소 10sccm 으로 공급될 경우를 나타낸다. 도시된 바와 같이, 라인 (200) 은 웨이퍼 중심으로부터의 거리와 질량 분율 사이의 선형 관계를 나타낸다. 중심 영역에서의 질소의 유속이 200sccm 으로 증가될 때, 에칭 프로파일은 라인 (202) 으로 도시된 것과 같이 더욱 가파르게 된다. 또한, 질소의 유속이 1000sccm 으로 증가되면, 에칭 프로파일은 라인 (204) 으로 도시된 바와 같이 웨이퍼의 에지에서 더욱 더 가파르게 된다. 그러나, 불활성 가스를 공급하는 중심 가스 공급부 및 산소와 같은 단일의 활성 가스를 공급하는 에지 가스 공급부를 나타내는 이러한 프로파일에서, 프로세스 화학물질은 라인 (200, 202, 및 204) 각각에 대한 종점들로 도시된 바와 같이 질량 분율로 환산하여 급격하게 달라지는데, 이는 0.1 내지 0.9 의 범위이다.
도 5 는, 본 발명의 일 실시형태에 따라서, 산소 질량 분량 대 웨이퍼를 따른 거리를 나타내는 그래프를 도시한다. 라인 (206) 은 에지에서의 활성 가스가 200sccm 로 공급되고, 에지 불활성 가스가 990sccm 로 공급되는 동안 중심을 통한 퍼지 가스는 10 sccm 로 전달되는 컨디션을 도시한다. 에지 불활성 가스는 밸러스트 가스 (ballast gas) 로서 지칭될 수도 있다는 것에 유의해야만 한다. 라인 (208) 은, 중심을 통한 퍼지 가스는 200sccm 로 공급되고, 에지에서의 프로세싱 가스는 200sccm 로 공급되며, 밸러스트 가스는 800sccm 로 공급되는 컨디션을 나타낸다. 라인 (210) 은, 이 예에서 에지 불활성 가스가 공급되지 않지만, 퍼지 가스는 800sccm 으로 공급되고, 에지에서의 프로세싱 가스는 200sccm 으로 공급되는 컨디션이다. 에지에서의 프로세스 화학물질은 라인 (206, 208, 및 210) 에 대한 공통의 종점으로 나타난 바와 같이 이 실시형태에서 일정하게 유지된다는 것에 유의해야만 한다. 따라서, 전술한 경우의 각각에 대해, 에칭 프로세스의 프로파일은 필요에 따라서 형상화되고, 에지 영역에서의 프로세싱 가스의 질량 분율은 일정하게 유지된다.
도 6 은 본 발명의 일 실시형태에 따른 기판의 에지를 에칭하기 위한 방법 동작들을 도시하는 플로우 차트 도면이다. 이 방법은, 챔버 내에 배치된 기판의 중심 영역 위의 챔버의 중심 영역으로 퍼지 가스가 도입되는 동작 (300) 으로 시작한다. 전술한 도면에서 설명되는 바와 같이, 퍼지 가스는 200sccm 초과의 유속으로 도입될 수도 있다. 일 실시형태에서, 퍼지 가스의 유속은 1000sccm 초과이다. 그후, 이 방법은, 프로세싱 챔버 내에서 웨이퍼의 에지 영역 위에 프로세싱 가스가 도입되는 동작 (302) 으로 진행한다. 프로세싱 가스는 동작 (300) 의 퍼지 가스와 동시에 도입될 수도 있다. 프로세싱 가스는 기판을 에칭하는데 사용되는 임의의 적절한 프로세싱 가스일 수도 있다. 일 실시형태에서, 챔버 내 에지 영역 위에 프로세싱 가스로서 산소가 도입된다. 산소의 유속은 200sccm 이상일 수도 있다. 그후, 이 방법은, 프로세싱 가스와 동시에 에지 영역 위에 불활성 가스가 도입되는 동작 (304) 로 진행한다. 일 실시형태에서, 불활성 가스는 챔버로 도입되기 전에 프로세싱 가스와 혼합된다. 불활성 가스는 동작 (300) 으로부터의 퍼지 가스와 동일한 조성일 수도 있고 아닐 수도 있다. 동작 (304) 에서 에지 영역 위로의 불활성 가스의 도입은 선택적인 동작인 것임에 유의해야만 한다. 즉, 불활성 가스는 본 발명의 일 실시형태에서 챔버로의 도입을 위해 프로세싱 가스와 혼합될 수도 있고 혼합되지 않을 수도 있다. 일 실시형태에서, 프로세싱 가스와 혼합되는 불활성 가스는 중심 영역으로 도입되는 불활성 가스와는 상이하다. 이 실시형태에서, 프로세싱 가스와 혼합된 불활성 가스는 중심 영역으로 도입된 불활성 가스보다 낮은 분자량을 갖는다. 그후, 이 방법은, 전술한 가스들을 도입하는 동안 플라즈마를 발생시키는 동작 (306) 으로 이동한다. 동작 (308) 에서는, 기판의 중심 영역이 부식성 화학물질 및 에칭 동작으로부터 보호되면서 웨이퍼의 에지가 에칭된다. 당업자는, 후술하는 실시형태들이 쉴드 (shield) 또는 삽입물 (insert) 을 사용하지 않고도 부식성 종으로부터 중심 영역을 보호하는 것을 허용한다는 사실을 이해할 것이다.
전술한 실시형태들을 유념하여, 본 발명은 컴퓨터 시스템에 저장된 데이터를 수반하는 다양한 컴퓨터-구현 동작을 사용할 수도 있다는 것이 이해되어야만 한다. 이러한 동작은 물리량의 물리적 조작을 요구하는 것들이다. 필수적이지는 않지만 일반적으로, 이러한 물리량은 저장되고, 전송되고, 결합되고, 비교되고 또한 다른 방식으로 조작될 수 있는 전기 또는 자기 신호의 형태를 취한다. 또한, 수행되는 조작은 생산, 식별, 결정, 또는 비교와 같은 용어로 종종 지칭된다.
본 발명의 일부를 형성하는 본 명세서에 설명된 동작들 중 임의의 동작은 유용한 머신 동작이다. 또한, 본 발명은 이러한 동작을 수행하기 위한 디바이스 또는 장치에 관한 것이다. 장치는 요구되는 목적 (예를 들어, 전술한 캐리어 네트워크) 을 위해 특별히 구성될 수도 있고, 또는, 컴퓨터 내에 저장된 컴퓨터 프로그램에 의해 선택적으로 활성화되거나 또는 구성된 범용 컴퓨터일 수도 있다. 특히, 다양한 범용 머신들이 본 명세서에서의 교시에 따라서 기록된 컴퓨터 프로그램을 통해서 사용될 수도 있고, 또는, 요구되는 동작들을 수행하기 위해 더욱 특정된 장치를 구성하기에 더욱 편리할 수도 있다.
또한, 본 발명은 컴퓨터 판독가능 매체에 컴퓨터 판독가능 코드로서 포함될 수 있다. 컴퓨터 판독가능 매체는, 데이터를 저장할 수 있고 그 이후에 데이터가 컴퓨터 시스템에 의해 판독될 수 있는 임의의 데이터 저장 디바이스이다. 컴퓨터 판독가능 매체들의 예는 하드 드라이브, NAS (Network Attached Storage), ROM, RAM, CD-ROM, CD-R, CD-RW, DVD, 플래시, 자기 테이프, 및 다른 광학 및 비광학 데이터 저장 디바이스들을 포함한다. 컴퓨터 판독가능 매체는 또한, 컴퓨터 판독가능 코드가 분산 방식으로 저장되고 실행되도록, 네트워크 연결된 컴퓨터 시스템에 걸쳐 분산될 수 있다.
본 발명이 몇몇 실시형태에 대해 설명되었지만, 전술한 상세한 설명의 판독 및 도면의 연구시에 당업자는 다양한 변형물, 부가물, 치환물, 및 그 등가물을 실현할 것이라는 것에 유의해야 한다. 따라서, 본 발명은 본 발명의 진정한 사상 및 범위를 벗어나지 않는 모든 이러한 변형물, 부가물, 치환물 및 등가물을 포함하도록 의도된다. 청구범위에서, 구성요소들 및/또는 단계들은 청구범위에 명시적으로 언급되지 않는 한 동작의 임의의 특정 순서를 함축하는 것은 아니다.

Claims (21)

  1. 기판의 중심 영역 위에 정의된 프로세싱 챔버의 중심 영역으로 불활성 가스를 흐르게 하는 단계;
    상기 기판의 에지 영역 위에 불활성 가스와 프로세싱 가스의 혼합물을 흐르게 하는 단계; 및
    상기 에지 영역에서 플라즈마를 발생시키는 단계를 포함하고,
    상기 불활성 가스의 흐름 및 상기 혼합물의 흐름은 상기 프로세싱 가스의 질량 분율을 실질적으로 일정하게 유지시키는, 프로세싱 챔버에서 기판의 베벨 에지를 에칭하는 방법.
  2. 제 1 항에 있어서,
    상기 에지 영역에서의 압력을 1 Torr 초과로 유지시키는 단계를 더 포함하는, 프로세싱 챔버에서 기판의 베벨 에지를 에칭하는 방법.
  3. 제 1 항에 있어서,
    상기 중심 영역으로의 상기 불활성 가스의 유속은 상기 에지 영역 위의 상기 불활성 가스와 상기 프로세싱 가스의 상기 혼합물의 유속보다 더 큰, 프로세싱 챔버에서 기판의 베벨 에지를 에칭하는 방법.
  4. 제 1 항에 있어서,
    상기 혼합물 내의 상기 불활성 가스의 유속은 상기 중심 영역으로의 상기 불활성 가스의 유속에 비해 작은 (fraction), 프로세싱 챔버에서 기판의 베벨 에지를 에칭하는 방법.
  5. 제 1 항에 있어서,
    상기 중심 영역으로 흐르는 불활성 가스는 상기 에지 영역으로 흐르는 불활성 가스와 동일한 가스인, 프로세싱 챔버에서 기판의 베벨 에지를 에칭하는 방법.
  6. 제 1 항에 있어서,
    상기 중심 영역에서의 상기 프로세싱 가스의 질량 분율은 상기 에칭하는 동안 약 0 으로 유지되고,
    상기 에지 영역에서의 상기 프로세싱 가스의 질량 분율은 약 0.1 과 약 0.2 사이인, 프로세싱 챔버에서 기판의 베벨 에지를 에칭하는 방법.
  7. 제 1 항에 있어서,
    상기 혼합물 내의 상기 불활성 가스의 유속은 상기 중심 영역으로의 상기 불활성 가스의 유속에 비해 매우 작고,
    상기 프로세싱 가스의 유속은 상기 중심 영역으로의 상기 불활성 가스의 유속과 동일한, 프로세싱 챔버에서 기판의 베벨 에지를 에칭하는 방법.
  8. 제 1 항에 있어서,
    상기 혼합물 내의 상기 불활성 가스의 분자량은 상기 중심 영역으로 흐르는 상기 불활성 가스의 분자량 보다 더 작은, 프로세싱 챔버에서 기판의 베벨 에지를 에칭하는 방법.
  9. 기판의 중심 영역 위에 정의된 프로세싱 챔버의 중심 영역으로 불활성 가스를 흐르게 하는 단계;
    상기 기판의 에지 영역 위에 에천트 가스를 흐르게 하는 단계; 및
    상기 에지 영역에 인접하는 대향 환형 전극을 통해 상기 에지 영역에서 플라즈마를 발생시키는 단계를 포함하고,
    상기 불활성 가스의 흐름 및 상기 에천트 가스의 흐름은, 상기 에천트 가스가 상기 중심 영역으로 확산하는 것을 방지하면서 상기 에지 영역에서의 상기 에천트 가스의 질량 분율을 실질적으로 일정하게 유지시키는, 기판의 베벨 에지를 세정하는 방법.
  10. 제 9 항에 있어서,
    상기 에천트 가스의 유속은 상기 불활성 가스의 유속에 비해 작은 (fraction), 기판의 베벨 에지를 세정하는 방법.
  11. 제 9 항에 있어서,
    상기 중심 영역으로의 상기 불활성 가스의 흐름을 유지시키면서, 상기 에지 영역 위의 상기 에천트 가스의 흐름에 불활성 가스를 혼합시키는 단계를 더 포함하는, 기판의 베벨 에지를 세정하는 방법.
  12. 제 9 항에 있어서,
    상기 에천트 가스의 흐름은 산소를 본질적으로 포함하는, 기판의 베벨 에지를 세정하는 방법.
  13. 제 11 항에 있어서,
    상기 에천트 가스의 흐름에 혼합되는 불활성 가스의 유속은 상기 에천트 가스의 유속 및 상기 중심 영역으로의 상기 불활성 가스의 유속 모두보다 더 큰, 기판의 베벨 에지를 세정하는 방법.
  14. 제 9 항에 있어서,
    상기 에지 영역에서의 압력을 1 Torr 초과로 유지시키는 단계를 더 포함하는, 기판의 베벨 에지를 세정하는 방법.
  15. 제 9 항에 있어서,
    상기 베벨 에지의 세정은 상기 기판 위에 삽입물 (insert) 을 배치하지 않고 달성되는, 기판의 베벨 에지를 세정하는 방법.
  16. 제 9 항에 있어서,
    상기 중심 영역에서의 상기 에천트 가스의 질량 분율은 상기 세정 동안 약 0 으로 유지되고,
    상기 에지 영역에서의 상기 에천트 가스의 질량 분율은 약 0.1 과 약 0.2 사이인, 기판의 베벨 에지를 세정하는 방법.
  17. 제 11 항에 있어서,
    상기 혼합물 내의 불활성 가스의 분자량은 상기 중심 영역으로 흐르는 불활성 가스의 분자량보다 더 작은, 기판의 베벨 에지를 세정하는 방법.
  18. 제 11 항에 있어서,
    상기 중심 영역으로 흐르는 불활성 가스는 상기 에천트 가스에 혼합되는 불활성 가스와 동일한 가스인, 기판의 베벨 에지를 세정하는 방법.
  19. 프로세싱 챔버로서,
    기판 지지체;
    상기 기판 지지체의 에지 영역 위에 배치된 한 쌍의 환형 전극;
    상기 기판의 중심 영역 위에 불활성 가스를 제공하는 제 1 가스 인렛 및 상기 에지 영역에 인접하여 프로세싱 가스를 제공하는 제 2 가스 인렛을 포함하는 가스 분배 시스템;
    상기 프로세싱 챔버 내부의 압력을 제어하는 압력 제어 시스템;
    상기 프로세싱 챔버에 도입되는 상기 불활성 가스 및 상기 프로세싱 가스 그리고 상기 프로세싱 챔버 내부의 압력을 제어하는 프로세서; 및
    상기 프로세서와 데이터 통신하는 메모리를 포함하고,
    상기 메모리는 상기 프로세서에 의해 동작되는 프로그램을 저장하고,
    상기 프로그램은 상기 에지 영역에서의 상기 프로세싱 가스의 질량 분율을 실질적으로 일정하게 유지시키기 위해 상기 중심 영역 위의 상기 불활성 가스와 상기 에지 영역에서의 상기 프로세싱 가스의 유속을 제어하는 제 1 서브루틴 및 상기 프로세싱 가스와 다른 가스의 혼합을 제어하는 제 2 서브루틴을 포함하는, 프로세싱 챔버.
  20. 제 19 항에 있어서,
    상기 프로그램은 상기 프로세싱 챔버 내의 압력을 1 Torr 초과로 제어하기 위한 압력 제어 서브루틴을 포함하는, 프로세싱 챔버.
  21. 제 19 항에 있어서,
    상기 제 1 서브루틴은 상기 프로세싱 가스의 유속을 상기 불활성 가스의 유속보다 작게 되도록 제어하고,
    상기 제 2 서브루틴은 상기 다른 가스의 유속을 상기 프로세싱 가스의 유속보다 작은 속도로 제어하는, 프로세싱 챔버.
KR1020107009106A 2007-10-02 2008-09-30 베벨 에지 주변의 가스 프로파일을 형상화하기 위한 방법 및 장치 KR101562407B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/866,392 US7981307B2 (en) 2007-10-02 2007-10-02 Method and apparatus for shaping gas profile near bevel edge
US11/866,392 2007-10-02

Publications (2)

Publication Number Publication Date
KR20100080816A true KR20100080816A (ko) 2010-07-12
KR101562407B1 KR101562407B1 (ko) 2015-10-30

Family

ID=40506988

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020107009106A KR101562407B1 (ko) 2007-10-02 2008-09-30 베벨 에지 주변의 가스 프로파일을 형상화하기 위한 방법 및 장치

Country Status (6)

Country Link
US (2) US7981307B2 (ko)
JP (1) JP5462794B2 (ko)
KR (1) KR101562407B1 (ko)
CN (1) CN101816065B (ko)
TW (1) TWI412077B (ko)
WO (1) WO2009046032A1 (ko)

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7597816B2 (en) * 2004-09-03 2009-10-06 Lam Research Corporation Wafer bevel polymer removal
US8328980B2 (en) * 2009-09-04 2012-12-11 Lam Research Corporation Apparatus and methods for enhanced fluid delivery on bevel etch applications
KR101027452B1 (ko) 2009-09-10 2011-04-06 (주)케이에스텍 웨이퍼 에지 식각장치 및 그 방법
US20110206833A1 (en) * 2010-02-22 2011-08-25 Lam Research Corporation Extension electrode of plasma bevel etching apparatus and method of manufacture thereof
US10903066B2 (en) 2017-05-08 2021-01-26 Applied Materials, Inc. Heater support kit for bevel etch chamber
US10276364B2 (en) 2017-05-08 2019-04-30 Applied Materials, Inc. Bevel etch profile control
CN114774887A (zh) * 2022-06-22 2022-07-22 拓荆科技(北京)有限公司 气体传输装置、方法和半导体沉积设备

Family Cites Families (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4534816A (en) * 1984-06-22 1985-08-13 International Business Machines Corporation Single wafer plasma etch reactor
DE69130909T2 (de) * 1990-06-26 1999-06-24 Fujitsu Ltd Plasmabehandlungsverfahren eines Resists unter Verwendung von Wasserstoffgas
US5238532A (en) * 1992-02-27 1993-08-24 Hughes Aircraft Company Method and apparatus for removal of subsurface damage in semiconductor materials by plasma etching
US5454903A (en) * 1993-10-29 1995-10-03 Applied Materials, Inc. Plasma cleaning of a CVD or etch reactor using helium for plasma stabilization
JP3247270B2 (ja) * 1994-08-25 2002-01-15 東京エレクトロン株式会社 処理装置及びドライクリーニング方法
JP3521587B2 (ja) 1995-02-07 2004-04-19 セイコーエプソン株式会社 基板周縁の不要物除去方法及び装置並びにそれを用いた塗布方法
US5688415A (en) * 1995-05-30 1997-11-18 Ipec Precision, Inc. Localized plasma assisted chemical etching through a mask
JP3942672B2 (ja) * 1996-04-12 2007-07-11 キヤノンアネルバ株式会社 基板処理方法および基板処理装置
US5693241A (en) * 1996-06-18 1997-12-02 The United States Of America As Represented By The Administrator Of The National Aeronautics And Space Administration Atmospheric pressure method and apparatus for removal of organic matter with atomic and ionic oxygen
US6020268A (en) 1998-07-13 2000-02-01 Taiwan Semiconductor Manufacturing Company, Ltd. Magnetic field controlled spacer width
KR100444149B1 (ko) * 2000-07-22 2004-08-09 주식회사 아이피에스 Ald 박막증착설비용 클리닝방법
US7628897B2 (en) * 2002-10-23 2009-12-08 Applied Materials, Inc. Reactive ion etching for semiconductor device feature topography modification
JP4122004B2 (ja) 2003-05-12 2008-07-23 株式会社ソスル プラズマエッチングチャンバーと、これを用いたプラズマエッチングシステ厶
KR100585089B1 (ko) * 2003-05-27 2006-05-30 삼성전자주식회사 웨이퍼 가장자리를 처리하기 위한 플라즈마 처리장치,플라즈마 처리장치용 절연판, 플라즈마 처리장치용하부전극, 웨이퍼 가장자리의 플라즈마 처리방법 및반도체소자의 제조방법
KR100532354B1 (ko) * 2004-05-31 2005-11-30 삼성전자주식회사 식각 영역 조절 장치 및 웨이퍼 에지 식각 장치 그리고웨이퍼 에지 식각 방법
US7404874B2 (en) * 2004-06-28 2008-07-29 International Business Machines Corporation Method and apparatus for treating wafer edge region with toroidal plasma
US20060042754A1 (en) * 2004-07-30 2006-03-02 Tokyo Electron Limited Plasma etching apparatus
JP4502198B2 (ja) * 2004-10-21 2010-07-14 ルネサスエレクトロニクス株式会社 エッチング装置およびエッチング方法
JP4502199B2 (ja) * 2004-10-21 2010-07-14 ルネサスエレクトロニクス株式会社 エッチング装置およびエッチング方法
KR101149332B1 (ko) * 2005-07-29 2012-05-23 주성엔지니어링(주) 플라즈마 식각 장치
KR101218114B1 (ko) * 2005-08-04 2013-01-18 주성엔지니어링(주) 플라즈마 식각 장치
US20070068623A1 (en) * 2005-09-27 2007-03-29 Yunsang Kim Apparatus for the removal of a set of byproducts from a substrate edge and methods therefor
US7909960B2 (en) * 2005-09-27 2011-03-22 Lam Research Corporation Apparatus and methods to remove films on bevel edge and backside of wafer
US8083890B2 (en) * 2005-09-27 2011-12-27 Lam Research Corporation Gas modulation to control edge exclusion in a bevel edge etching plasma chamber
JP4895167B2 (ja) * 2006-01-31 2012-03-14 東京エレクトロン株式会社 ガス供給装置,基板処理装置,ガス供給方法
US8231799B2 (en) * 2006-04-28 2012-07-31 Applied Materials, Inc. Plasma reactor apparatus with multiple gas injection zones having time-changing separate configurable gas compositions for each zone
US9184043B2 (en) * 2006-05-24 2015-11-10 Lam Research Corporation Edge electrodes with dielectric covers
US8100081B1 (en) * 2006-06-30 2012-01-24 Novellus Systems, Inc. Edge removal of films using externally generated plasma species
US7976671B2 (en) * 2006-10-30 2011-07-12 Applied Materials, Inc. Mask etch plasma reactor with variable process gas distribution
US7943007B2 (en) * 2007-01-26 2011-05-17 Lam Research Corporation Configurable bevel etcher
US8580078B2 (en) * 2007-01-26 2013-11-12 Lam Research Corporation Bevel etcher with vacuum chuck
US8137501B2 (en) * 2007-02-08 2012-03-20 Lam Research Corporation Bevel clean device
US8329593B2 (en) * 2007-12-12 2012-12-11 Applied Materials, Inc. Method and apparatus for removing polymer from the wafer backside and edge

Also Published As

Publication number Publication date
US7981307B2 (en) 2011-07-19
JP5462794B2 (ja) 2014-04-02
CN101816065B (zh) 2012-07-04
US9721782B2 (en) 2017-08-01
JP2010541287A (ja) 2010-12-24
TWI412077B (zh) 2013-10-11
US20090084758A1 (en) 2009-04-02
CN101816065A (zh) 2010-08-25
TW200926287A (en) 2009-06-16
US20110232566A1 (en) 2011-09-29
KR101562407B1 (ko) 2015-10-30
WO2009046032A1 (en) 2009-04-09

Similar Documents

Publication Publication Date Title
KR20100080816A (ko) 베벨 에지 주변의 가스 프로파일을 형상화하기 위한 방법 및 장치
US9735020B2 (en) System, method and apparatus for plasma etch having independent control of ion generation and dissociation of process gas
US11664236B2 (en) Method of etching film and plasma processing apparatus
KR101909556B1 (ko) 실리콘-및-질소-함유 필름들에 대한 건식-식각
KR20090026156A (ko) 반도체 기판을 균일하게 에칭하기 위한 가스 주입
JP2006210727A (ja) プラズマエッチング装置およびプラズマエッチング方法
KR20180025202A (ko) 피처리체를 처리하는 방법
US11462412B2 (en) Etching method
KR101160101B1 (ko) 자체-세정 드라이 에칭용 시스템, 방법 및 장치
US20210287909A1 (en) Integrated atomic layer passivation in tcp etch chamber and in-situ etch-alp method
US20170162366A1 (en) Film forming apparatus, recording medium, and film forming method
US20190362983A1 (en) Systems and methods for etching oxide nitride stacks
KR20180116151A (ko) 성막 방법
US10312101B2 (en) Substrate processing method and substrate processing apparatus
US10741367B2 (en) Methods for processing substrates using a movable plasma confinement structure
JP6680190B2 (ja) 成膜装置
KR101668866B1 (ko) 원자층 증착장치
CN118326370A (zh) 气体分配组件、装置及等离子体处理装置

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
FPAY Annual fee payment

Payment date: 20181001

Year of fee payment: 4