KR20100029086A - 기판 이송 장치 - Google Patents

기판 이송 장치 Download PDF

Info

Publication number
KR20100029086A
KR20100029086A KR1020097025511A KR20097025511A KR20100029086A KR 20100029086 A KR20100029086 A KR 20100029086A KR 1020097025511 A KR1020097025511 A KR 1020097025511A KR 20097025511 A KR20097025511 A KR 20097025511A KR 20100029086 A KR20100029086 A KR 20100029086A
Authority
KR
South Korea
Prior art keywords
arm
rotor
stator
rotatably coupled
arms
Prior art date
Application number
KR1020097025511A
Other languages
English (en)
Other versions
KR101676380B1 (ko
Inventor
로버트 티. 캐브니
크리스토퍼 호프마이스터
Original Assignee
브룩스 오토메이션 인코퍼레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 브룩스 오토메이션 인코퍼레이티드 filed Critical 브룩스 오토메이션 인코퍼레이티드
Publication of KR20100029086A publication Critical patent/KR20100029086A/ko
Application granted granted Critical
Publication of KR101676380B1 publication Critical patent/KR101676380B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B25HAND TOOLS; PORTABLE POWER-DRIVEN TOOLS; MANIPULATORS
    • B25JMANIPULATORS; CHAMBERS PROVIDED WITH MANIPULATION DEVICES
    • B25J11/00Manipulators not otherwise provided for
    • B25J11/0095Manipulators transporting wafers
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B25HAND TOOLS; PORTABLE POWER-DRIVEN TOOLS; MANIPULATORS
    • B25JMANIPULATORS; CHAMBERS PROVIDED WITH MANIPULATION DEVICES
    • B25J9/00Programme-controlled manipulators
    • B25J9/02Programme-controlled manipulators characterised by movement of the arms, e.g. cartesian coordinate type
    • B25J9/04Programme-controlled manipulators characterised by movement of the arms, e.g. cartesian coordinate type by rotating at least one arm, excluding the head movement itself, e.g. cylindrical coordinate type or polar coordinate type
    • B25J9/041Cylindrical coordinate type
    • B25J9/042Cylindrical coordinate type comprising an articulated arm
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B25HAND TOOLS; PORTABLE POWER-DRIVEN TOOLS; MANIPULATORS
    • B25JMANIPULATORS; CHAMBERS PROVIDED WITH MANIPULATION DEVICES
    • B25J9/00Programme-controlled manipulators
    • B25J9/10Programme-controlled manipulators characterised by positioning means for manipulator elements
    • B25J9/1005Programme-controlled manipulators characterised by positioning means for manipulator elements comprising adjusting means
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B25HAND TOOLS; PORTABLE POWER-DRIVEN TOOLS; MANIPULATORS
    • B25JMANIPULATORS; CHAMBERS PROVIDED WITH MANIPULATION DEVICES
    • B25J9/00Programme-controlled manipulators
    • B25J9/10Programme-controlled manipulators characterised by positioning means for manipulator elements
    • B25J9/106Programme-controlled manipulators characterised by positioning means for manipulator elements with articulated links
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B25HAND TOOLS; PORTABLE POWER-DRIVEN TOOLS; MANIPULATORS
    • B25JMANIPULATORS; CHAMBERS PROVIDED WITH MANIPULATION DEVICES
    • B25J9/00Programme-controlled manipulators
    • B25J9/10Programme-controlled manipulators characterised by positioning means for manipulator elements
    • B25J9/106Programme-controlled manipulators characterised by positioning means for manipulator elements with articulated links
    • B25J9/1065Programme-controlled manipulators characterised by positioning means for manipulator elements with articulated links with parallelograms
    • B25J9/107Programme-controlled manipulators characterised by positioning means for manipulator elements with articulated links with parallelograms of the froglegs type
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68707Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a robot blade, or gripped by a gripper for conveyance

Landscapes

  • Engineering & Computer Science (AREA)
  • Robotics (AREA)
  • Mechanical Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

기판 이송 장치는, 선형으로 분포되는 제 1 고정자, 및 제 1 암에 결합되는 제 1 회전자를 포함하는 제 1 무축 회전 모터; 선형으로 분포되는 제 2 고정자, 및 상기 제 1 암에 연결되는 제 2 암에 결합되는 제 2 회전자를 포함하는 제 2 무축 회전 모터; 및 상기 제 1 및 제 2 암들 중 적어도 하나에 결합되는 제 1 기판 지지부를 포함하며, 상기 제 1 및 제 2 고정자는 상기 제 1 및 제 2 암들과 상기 제 1 기판 지지부가 상기 고정자들의 안쪽에 위치하고 상기 제 1 및 제 2 무축 회전 모터들과 상기 제 1 및 제 2 암들의 각각 사이의 연결부에서의 모터 출력이 상기 제 1 및 제 2 암들의 주변에 배치되는 합력이도록 구성된다.

Description

기판 이송 장치{Substrate transport apparatus}
예시적인 실시예들은 기판 이송 장치에 관한 것이며, 더욱 구체적으로는 기판 이송 장치의 로봇 이송 암(robot transport arm)에 관한 것이다.
관련 출원의 상호 참조
본 출원은 2007년 5월 8일에 출원된 미국 가특허출원 제60/916,724호의 우선권을 주장하며, 2007년 5월 8일에 출원된 미국 가특허출원 제60/916,781호와 관련되며, 이의 개시 사항들은 전부 본 명세서에 참조로서 포함된다.
다양한 종류의 기판 이송 장치가 본 기술분야에 알려져 있다. 기판 이송 장치의 예들은 미국 특허 제5,404,894호, 제5,431,529호, 및 제5,765,983호에 개시된다. 미국 특허 제4,951,601호는 다수의 처리 챔버들 및 기판 이송 장치를 구비한 기판 처리 장치를 개시한다.
많은 기판 처리 어플리케이션들에서, 기판 이송 장치는 중앙 이송 챔버 내에 장착되는 기판 이송 로봇을 포함한다. 통상적으로, 이송 로봇은 암 조립체(arm assembly)에 전원을 공급하는 구동장치(drive)를 제어하는 컨트롤러를 갖는다. 암 조립체는 통상적으로 이송 챔버 안에서 기판 지지부(substrate support) 또는 엔드 이펙터(end effector) 상의 기판을 다양한 처리 챔버들 안으로 또는 밖으로 이송하 도록 동작한다.
일반적으로, 이송 및 처리 챔버들은 이송되고 처리될 때 기판들의 오염을 방지하기 위해 실질적으로 진공 상태로 유지된다. 필요하다면, 이송 및 처리 챔버들 내에 다른 분위기들이 유지될 수도 있다. 일부 처리 기술들은 높은 온도를 갖는 부식성 분위기 또는 일반적으로 이송 로봇 전자장치 및 구동 장체에 해로운 환경이 존재하는 분위기를 사용할 필요가 있을 수 있다. 이러한 경우, 이송 챔버의 해로운 환경 바깥에 컨트롤러 및 구동장치를 위치시키는 것이 바람직할 것이다. 또한, 구동장치 및 엔드 이펙터 간의 기계적 결합을 단순화하는 것도 바람직할 것이다. 또한, 이송 챔버의 벽을 통과하는 기계적 연결이 필요하지 않는 방식으로 구동장치를 엔드 이펙터에 결합하는 것이 바람직할 것이다.
일 예시적인 실시예에 따라서, 기판 이송 장치가 제공된다. 상기 기판 이송 장치는, 선형으로 분포되는 제 1 고정자, 및 제 1 암에 결합되는 제 1 회전자를 포함하는 제 1 무축 회전 모터; 선형으로 분포되는 제 2 고정자, 및 상기 제 1 암에 연결되는 제 2 암에 결합되는 제 2 회전자를 포함하는 제 2 무축 회전 모터; 및 상기 제 1 및 제 2 암들 중 적어도 하나에 결합되는 제 1 기판 지지부를 포함하며, 상기 제 1 및 제 2 고정자는 상기 제 1 및 제 2 암들과 상기 제 1 기판 지지부가 상기 고정자들의 안쪽에 위치하고 상기 제 1 및 제 2 무축 회전 모터들과 상기 제 1 및 제 2 암들의 각각 사이의 연결부에서의 모터 출력이 상기 제 1 및 제 2 암들의 주변에 배치되는 합력이도록 구성된다.
다른 예시적인 실시예에 따라서, 기판 이송 장치가 제공된다. 상기 기판 이송 장치는, 선형으로 분포되는 제 1 고정자, 및 제 1 암에 결합되는 제 1 회전자를 포함하는 제 1 무축 회전 모터; 선형으로 분포되는 제 2 고정자, 및 상기 제 1 암에 연결되는 제 2 암에 결합되는 제 2 회전자를 포함하는 제 2 무축 회전 모터; 및 상기 제 1 및 제 2 암들 중 적어도 하나에 결합되는 제 1 기판 지지부를 포함하며, 상기 제 1 고정자 및 상기 제 2 고정자는 상기 제 1 고정자 및 상기 제 2 고정자가 상기 제 1 및 제 2 암들을 실질적으로 둘러싸도록 배열된다.
또 다른 예시적인 실시예에 따라서, 기판 이송 장치가 제공된다. 상기 기판 이송 장치는, 하우징; 실질적으로 상기 하우징의 외주 벽들을 따라 선형으로 분포되는 제 1 고정자; 실질적으로 상기 하우징의 외주 벽들을 따라 선형으로 분포되는 제 2 고정자; 및 상기 하우징 내에 위치하는 회전 중심을 갖는 제 1 기판 이송 암을 포함하며, 상기 제 1 기판 이송 암은, 상기 회전 중심을 중심으로 회전가능하고 제 1 회전자를 형성하는 상부 암, 상기 회전 중심에서 벗어난 위치에서 상기 상부 암에 제 1 단부에서 회전가능하게 결합되고 제 2 회전자를 형성하는 포어암, 및 상기 포어암의 제 2 대향 단부에 회전가능하게 결합되는 제 1 기판 지지부를 가지며, 상기 제 1 고정자 및 상기 제 1 회전자는 제 1 모터를 형성하고 상기 제 2 고정자 및 상기 제 2 회전자는 제 2 모터를 형성하며, 상기 상부 암, 상기 포어암 및 상기 제 1 기판 지지부는 상기 제 1 및 제 2 고정자들의 안쪽에 위치하고, 상기 제 1 및 제 2 모터들과 상기 상부 암 및 상기 포어암의 각각 사이의 연결점에서의 상기 제 1 및 제 2 모터들의 모터 출력은 상기 상부 암 및 상기 포어암의 주변에 배치되는 합력이다.
예시적인 실시예들의 전술한 측면들 및 다른 특징들이 첨부한 도면들과 관련하여 다음의 설명에서 설명될 것이다.
도 1은 예시적인 실시예의 특징들을 포함하는 기판 처리 시스템의 개념적인 상부 평면도를 도시한다.
도 2는 예시적인 실시예에 따라서 기판 이송 장치의 측면도를 도시한다.
도 3a는 도 2에 도시된 실시예의 평면도를 도시한다.
도 3b는 예시적인 실시예에 따라서 암과 회전자(rotor) 간의 결합의 예를 도시한다.
도 4a 내지 4e는 각각 인출 상태(extended position)와 인입 상태(retracted position) 사이의 여러 위치로 배치된 엔드 이펙터와 도 3의 기판 이송 장치를 도시하는 평면도들이다.
도 5a 내지 5c는 각각 3가지 다른 위치로 배치된 기판 이송 장치의 엔드 이펙터를 도시하는 평면도들이다.
도 6은 기판 이송 장치의 고정자(stator)들이 이송 챔버 내에 배치되는 기판 이송 장치의 추가 예시적인 실시예를 도시한다.
도 7은 기판 이송 장치의 고정자들이 회전자들과 수직으로 배치되는 기판 이송 장치의 다른 예시적인 실시예를 도시한다.
도 8은 고정자들과 회전자들이 엔드 이펙터로부터 수직으로 오프셋(offset) 된 또 다른 예시적인 실시예를 도시한다.
도 9는 고정자들이 기판 처리 시스템의 하우징(housing) 안으로 통합되는 또 다른 예시적인 실시예를 도시한다.
도 10은 예시적인 실시예에 따라서 기판 이송 장치의 개념적인 상부 평면도를 도시한다.
도 11a는 다른 예시적인 실시예에 따라서 기판 이송 장치의 개념적인 상부 평면도를 도시한다.
도 11b는 또 다른 예시적인 실시예에 따라서 기판 이송 장치의 개념적인 상부 평면도를 도시한다.
도 12는 도 11a 및 11b의 기판 이송 장치의 측면도를 도시한다.
도 13은 도 10의 기판 이송 장치의 부분적인 측면도를 도시한다.
도 14는 또 다른 예시적인 실시예에 따라서 기판 이송 장치의 개념적인 상부 평면도를 도시한다.
도 15는 또 다른 예시적인 실시예에 따라서 기판 이송 장치의 개념적인 상부 평면도를 도시한다.
도 16은 도 14의 기판 이송 장치의 부분적인 측면도를 도시한다.
도 17은 기판 이송 장치의 또 다른 예시적인 실시예의 개념적인 상부 평면도를 도시한다.
도 18은 또 다른 예시적인 실시예에 따라서 이송 장치의 개념적인 상부 평면도를 도시한다.
도 19는 도 10의 기판 이송 장치의 측면도를 도시한다.
도 20은 예시적인 실시예에 따라서 이송 챔버들의 예시적인 구성을 도시한다.
도 21은 예시적인 실시예에 따라서 예시적인 이송 장치의 일부분을 개념적으로 도시한다.
도 1을 참조하면, 예시적인 실시예들의 특징들을 포함하는 기판 처리 시스템(100)의 개념적인 상부 평면도가 도시된다. 개시되는 실시예들이 도면에 도시된 실시예들을 참조로 설명될 것이지만, 개시되는 실시예들은 많은 대체가능한 형태로 구현될 수 있음을 이해해야 한다. 또한, 임의의 적절한 크기, 모양 또는 종류의 요소들 또는 물질들이 사용될 수 있다.
도 1에 도시된 바와 같이, 기판 처리 시스템(100)은 기판 이송 장치(105)를 포함하며, 다수의 처리 챔버들(110), 기판 카세트 승강기(cassette elevator)들 또는 로드락(load lock)들(115) 및 중앙 이송 챔버(120)를 포함할 수 있다. 기판 처리 시스템(100)은 오로지 예시적인 목적으로 도면들에서 클러스터형 처리 시스템(clustered processing system)으로 도시된다. 기판 처리 시스템(100)은 오직 기판 처리 시스템의 한 예이며, 상기 예시적인 실시예들이, 이들로 한정되는 것은 아니지만, 선형 처리 시스템들을 포함하는 임의의 다른 적절한 종류의 기판 처리 시스템에 동등하게 잘 적용될 수 있음을 이해해야 한다. 상기 예시적인 실시예들이 포함될 수 있는 적절한 처리 시스템들의 예들은, 이들로 한정되는 것은 아니지 만, "Linearly distributed Semiconductor Workpiece Processing Tool"이란 제목으로 2006년 5월 26일에 출원된 미국 특허 출원 제11/442,411호를 포함하며, 이의 개시 사항들은 전부 본 명세서에 참조로서 포함된다. 기판 이송 장치(105)는 적어도 부분적으로 이송 챔버(120) 내에 위치할 수 있다. 기판 이송 장치(105)는, 예컨대, 상기 로드락들(115) 중 어느 하나로부터, 예컨대, 처리를 위한 처리 챔버(110)로 기판을 이동시키도록 적응된다. 처리 챔버(110)가 기판 처리를 끝내면, 기판 이송 장치(105)는 상기 기판을 다른 처리 챔버(110)로 이동시키거나 상기 로드락들(115) 중 하나로 반송하는데 이용될 수 있다.
상기 예시적인 실시예들에서, 기판은 반도체 웨이퍼, 평평한 패널 디스플레이, 유리 패널, 또는 기판 처리 시스템(100)에 의한 처리에 적합한 임의의 다른 기판일 수 있다.
이송 챔버(120) 내에 진공이 유지될 수 있지만, 이송 챔버(120)가 기판들을 처리하기 위한 임의의 다른 원하는 분위기를 포함할 수 있다. 예를 들면, 상기 분위기들은, 이들로 한정되는 것은 아니지만, 제어된 공기 및 비활성 가스 분위기들을 포함할 수 있다. 기판 처리 시스템(100)은 이송 챔버(120) 내에 원하는 분위기를 생성하고 유지하기 위한 적절한 시스템들 및 배관(plumbing)(미 도시)을 포함할 수 있다. 예를 들면, 진공 펌프(미 도시)는 이송 챔버(120) 내에 원하는 진공 상태를 만들기 위해 적절한 배관을 사용하는 이송 챔버(120)에 연결될 수 있다. 상기 진공 펌프는 압력계들과 같은 적절한 모니터링 장치들(미 도시)을 사용하는 컨트롤러에 의해 조절될 수 있다. 대안적인 실시예들에서, 기판 이송 장치(105)는 외부 대기에 노출된 챔버 내에 위치될 수 있으며, 또는 제어된 공기 또는 비활성 가스를 상기 챔버 안으로 펌핑(pumping)하기 위한 에어 펌프(air pump)들을 포함할 수 있다.
도 2는 기판 이송 장치(105)의 일 예시적인 실시예의 측면도를 도시하며, 도 3a는 기판 이송 장치(105)의 일 예시적인 실시예의 평면도를 도시한다. 상기 예시적인 실시예들이 특정 이송 조립체(transfer assembly)들을 참조로 설명될 것이지만, 본원에서 설명되는 자기 구동 시스템(magnetic drive system)은 임의의 적절한 이송 조립체에 적용 또는 적응될 수 있으며 도면들에 도시된 상기 예시적인 이송 조립체들과 함께 사용되는 것으로 한정되지 않음을 이해해야 한다.
본 예에서, 기판 이송 장치(105)는, 제 1 고정자(200)라고도 지칭되는 제 1 권선 세트(winding set), 및 제 2 고정자(205)라고도 지칭되는 제 2 권선 세트를 포함하며, 이들 각각은 컨트롤러(240)에 전기적으로 연결된다. 컨트롤러(240)는 기판 이송 장치가 본원에 설명되는 바와 같이 동작하게 하기 위한 임의의 적절한 회로 및/또는 프로그램 명령들을 포함하는 임의의 적절한 컨트롤러일 수 있다. 일 실시예에서, 컨트롤러(240)는 미국 특허 출원 제11/178,615호에 설명되는 클러스터형 아키텍처의 일부분일 수 있으며, 이의 개시 사항들은 전부 본 명세서에 참조로서 포함된다. 도 3a에서 알 수 있다시피, 제 1 및 제 2 고정자들(200, 205)은 적어도 2개의 1차 권선들(245)을 포함할 수 있다. 고정자들(200, 205)은 이송 챔버 하우징(285)의 임의의 적절한 외형(contour)을 실질적으로 따르도록 구성될 수 있다. 상기 외형들은 챔버 벽들의 외부 표면, 챔버 벽들의 내부 표면, 또는 챔버 벽 들의(예컨대, 챔버 벽들 내부에 위치하거나, 챔버 벽들과 일체화된) 내부 일부분을 포함할 수 있다. 도 2에 도시된 예시적인 실시예들에서, 고정자들(200, 205)은 측벽들(285')의 외형을 실질적으로 따르도록 구성된다. 다른 예시적인 실시예들에서, 고정자들(200, 205)은 하우징(285)의 상부 및 하부 벽들(285'', 285''')의 외형을 실질적으로 따르도록 구성될 수 있다. 대안적인 실시예들에서, 고정자들은 이송 챔버(120) 내부 또는 외부에 위치한 임의의 적절한 구조물의 외형을 따르도록 구성될 수 있다. 예를 들면, 고정자들은 이송 챔버(120) 내부 또는 위부에서 임의의 적절한 구성을 가질 수 있는 고정자 지지부의 외형을 따를 수 있다.
상기 고정자들(200, 205)은 미국 특허 제5,720,590호, 제5,813,823호, 및 제5,899,658호에 설명되는 고정자들과 동일할 수 있음을 알아야 하며, 이들은 전부 본 명세서에 참조로서 포함된다. 예를 들면, 제 1 및 제 2 고정자들(200, 205)의 각각은 구동 하우징(285)에 부착될 수 있으며, 이송 챔버(120)의 내부 분위기와 단절될 수 있다(즉, 각각의 구동 하우징은 이의 각각의 회전자와 고정자 사이를 통과하는 부분을 가지며, 구동 하우징의 상기 부분과 회전자 사이에 충분한 틈새가 제공된다). 일 예에서, 이송 챔버(120) 외부에 위치한 고정자들(200, 205)에 의해 생성될 수 있는 자기장들은 이송 챔버(120) 내부에 위치한 제 1 및 제 2 회전자들(215, 220)에 회전 운동을 부여한다. 다른 예시적인 실시예들에서, 상기 고정자들은 제 1 및 제 2 회전자들(215, 220)에 회전 운동을 부여하기 위해, 이송 챔버(120)에 대해 임의의 적절한 위치에 위치할 수 있으며, 이에 대해서는 아래에서 설명될 것이다. 예를 들면, 고정자들(200, 205)은 실질적으로 이동 가능한 하우 징(297)의 외형을 따라 위치할 수 있으며, 이에 대해서는 아래에서 설명될 것이다.
본 예에서, 기판 이송 장치(105)는 제 1 회전자(215) 및 제 2 회전자(220)를 갖는다. 제 1 및 제 2 회전자들(215, 220)은 영구 자석 회전자들일 수 있으며, 이들 각각은 적어도 2개의 극(pole)을 갖는다. 도 3a에서 회전자들(215, 220)이 링 형태를 갖는 것으로 도시되었지만, 회전자들(215, 220)은 디스크, 별, 스포크 휠(spoked wheel)과 같은 임의의 다른 모양, 또는 회전자로 사용될 수 있는 임의의 적절한 모양을 가질 수 있음을 이해해야 한다.
컨트롤러(240)는 제 1 및 제 2 고정자들(200, 205)의 1차 권선들(245)에 전원을 공급하도록 동작할 수 있다. 제 1 고정자(200) 및 제 1 회전자(215)는 함께 제 1 모터(250)로 동작하며, 상기 제 1 모터(250)는 제 1 구동부로도 지칭될 수 있다. 제 1 고정자(200) 및 제 2 회전자(215)는 회전자(215) 또는 이송 조립체의 암에 토크(torque)를 가하는 축(shaft)이 없다는 점에서 제 1 무축(shaftless) 회전 구동장치 또는 모터를 형성할 수 있으며, 이에 대해서는 아래에서 설명될 것이다. 도 3a에서 알 수 있다시피, 고정자(200) 및/또는 회전자(215)는, 예컨대, 아치형(arcuate manner)으로 선형으로 분포될 수 있다. 대안적인 실시예들에서, 고정자(200) 및/또는 회전자(215)는 임의의 적절한 소정의 선형, 및/또는 곡선형 회전자 경로를 따르도록 임의의 적절한 방식으로 분포될 수 있다. 제 2 고정자(205) 및 제 2 회전자(220)는 함께 제 2 모터(260)로 동작하며, 상기 제 2 모터(260)는 제 2 구동부로도 지칭될 수 있다. 제 2 고정자(205) 및 제 2 회전자(220)는 고정자(200) 및 회전자(215)에 관하여 상술된 무축 회전 구동장치와 실질적으로 동일한 제 2 무축 회전 구동장치를 형성할 수 있다. 모터들(250, 260)은 자기 베어링(magnetic bearing)들을 포함할 수 있으며, 즉, 이들의 각각의 고정자(200, 205)에 의해 각각의 회전자(215, 220)에 가해지는 힘들은 종래 베어링들 및/또는 지지 축(support shaft)들의 필요 없이 정 위치로 각각의 회전자(215, 220)를 지지하는 기능을 할 수 있다. 대안적인 실시예들에서, 모터들(250, 260)은, 예컨대, 브러시리스(brushless) DC 모터들, 스테퍼(stepper) 모터들, 또는 종래 모터들과 같은 임의의 다른 적절한 종류일 수 있다.
본 예시적인 실시예에서, 제 1 및 제 2 모터들(250, 260)은 오로지 예시적인 목적으로 수직 정렬되어 서로 적층된 것으로 도시된다. 모터들(250, 260)은 서로에 대해 동축으로 위치할 수 있거나, 나란히 오프셋될 수 있거나, 임의의 각으로 위치할 수 있거나, 또는 서로에 대해 임의의 다른 공간 위치(orientation)를 가질 수도 있다.
모터 구성의 종류에 관계없이, 각각의 고정자(200, 205)는 각각의 관련 회전자(215, 220)에 자기 토크를 생성하며, 충분한 힘이 가해지는 경우, 상기 각각의 회전자(215, 220)가 회전하게 한다. 컨트롤러(240)는 회전자들(215 및 220)이 독립적으로 또는 동시에, 축방향으로 회전하도록, 고정자들(200, 205)에 전원을 인가할 수 있다. 또한, 컨트롤러(240)는 회전자(215)의 축 위치를 제어하기 위해 고정자(200)에 독립적으로 전원을 인가할 수 있으며, 회전자(220)의 축 위치를 제어하기 위해 고정자(205)에 독립적으로 전원을 인가할 수 있다. 고정자(200, 205) 및 회전자(215, 220)의 결합은 회전자들(215, 220)과 챔버 벽 및/또는 고정자 들(220,205) 사이에 적절한 공기 틈(air gap, AG)이 유지된다는 점에서 셀프-베어링 모터(self-bearing motor)와 실질적으로 유사할 수 있다.
또한, 도 3a에서 알 수 있다시피, 제 1 및 제 2 고정자들(200, 205)은 이들의 원주들 주위에 분산된 다수의 영구 자석들(270)을 가질 수 있다. 본 예시적인 실시예에서, 제 1 및 제 2 고정자들(220, 205) 상의 영구 자석들(270)은, 영구 자석들(270)과 제 1 및 제 2 회전자들(215, 220) 간의 자기력들이 전원이 없는 상태에서 기계적 지지 없이 통상의 수직 상태로 제 1 및 제 2 회전자들(215, 220)을 부양(suspend) 또는 유지하는 작용을 하도록 위치한다. 따라서, 전원이 제 1 및 제 2 고정자들(200, 205)에 인가되지 않을 때, 제 1 고정자(200)와 제 1 회전자(215) 간의 특정 공간 관계 및 제 2 고정자(205)와 제 2 회전자(220) 간의 특정 공간 관계가 유지될 수 있다.
일 예시적인 실시예에서, 도 2에서 알 수 있다시피, Z-구동 유닛(298)은 회전자들(215, 200)과 이들의 각각의 고정자들(200, 205)이 수직 방향으로 이동할 수 있도록 이송 시스템에 결합될 수 있다. 일 실시예에서, 회전자들은 이송 챔버 하우징(285) 내의 이동 가능한 챔버 또는 하우징(297)에 의해 하우징 될 수 있다. 이동 가능한 챔버(297)는 격리된 분위기(즉, 진공, 비활성 가스, 제어된 공기 등)를 가질 수 있다. 대안적인 실시예들에서, 이동 가능한 챔버(297)는 이송 챔버 하우징(285)과 분위기를 공유할 수 있다. Z-구동 유닛(298)은 이동 가능한 챔버(297)가 수직 또는 Z-방향으로 이동할 수 있도록 이동 가능한 챔버(297)에 결합될 수 있다. 임의의 적절한 시일(seal)들(296)이 이동 가능한 챔버(297)와 이송 챔버 하우징(285) 사이에 제공되어 이송 챔버(120) 안으로 또는 이송 챔버(120) 밖으로 가스가 누출하는 것을 방지할 수 있다. 시일들(296)은, 예컨대, 이동 가능한 챔버(287)의 이동을 허용하기 위해 벨로우즈 시일(bellows seal)과 같은 임의의 적절한 유연성 시일(flexible seal)들일 수 있다. 대안적인 실시예들에서, 시일들은 시일이 이동하는 동안 입자(particle)가 생성되는 것을 최소화할 수 있는 임의의 이동 가능한 시일일 수 있다.
Z-구동 유닛(298)은 이송 챔버(120) 및/또는 이동 가능한 챔버(297)의 내부 분위기로부터 격리될 수 있다. Z-구동 유닛(298)은, 이들로 한정되는 것은 아니지만, 기압(pneumatic), 유압(hydraulic), 자기(magnetic), 또는 기계 구동 유닛들을 포함하는 임의의 적절한 구동 유닛일 수 있다. 일 예시적인 실시예에서, 무정전 전원 공급기(uninterrupted power supply)가 Z-구동 유닛(297) (및/또는 고정자들)에 연결될 수 있으며, 그에 따라, 전원 공급 정지가 발생하는 경우에도, 이송 챔버 내의 기판 이송 장치(105) 또는 기판 이송 장치 상에 위치하는 기판(예컨대, 웨이퍼)이 손상입거나 이송 챔버 또는 이에 연결된 임의의 챔버들의 임의의 내부 부품들과 충돌하지 않을 것이다. 대안적인 실시예들에서, 임의의 적절한 기계, 자기 또는 전기 안전장치가 사용됨으로써, 전원 공급 정지 또는 기타 시스템 고장이 발생하더라도 기판 이송 장치 및/또는 기판 이송 장치 상에 위치한 기판이 손상 입는 것을 방지할 수 있다. 본원에 설명되는 임의의 또는 모든 예시적인 실시예들은 Z-구동 유닛을 포함할 수 있음을 알아야 한다.
다시 도 3a를 참조하면, 대안적인 실시예들에서, 고정자들(200, 205) 각각은 2차 권선들(310)을 포함할 수 있으며, 상기 2차 권선들(310)은 고정자들(200, 205)에 대한 회전자들(215, 220)의 각각의 수직 위치를 변경하기 위해 컨트롤러(240)에 의해 전원이 인가될 수 있다. 2차 권선들(310)은, 회전자들(215, 220)에 추가적인 자기력들이 생성됨으로써 수직 동전력(electromotive force)이 회전자들(215, 220)에 가해질 수 있도록, 위치하고 전원이 인가될 수 있다. 2차 권선들은 상술된 영구 자석들의 자기력들을 압도하도록 구성됨으로써, 회전자들(215, 220)의 수직 운동을 허용할 수 있다. 2차 권선들에 인가되는 전원 또는 자기장은 회전자들이 영구 자석으로부터 멀어질수록 더 작은 자기력이 2차 권선들에 가해지도록 할 수 있음을 이해할 것이다. 회전자(215) 상의 2차 권선들(310)은 회전자(220) 상의 2차 권선들(310)에 독립적으로 전원이 인가될 수 있으며, 그에 따라 회전자들(215, 220)의 수직 위치들을 독립적으로 제어할 수 있다. 대안적인 실시예들에서, 각각의 회전자(215, 220)의 2차 권선들(310)은 회전자들(215, 220)은 일치하여 수직으로 이동하도록 동시에 전원이 인가될 수 있다.
임의의 적절한 전달 또는 이송 조립체가 기판들을 이송 챔버(120)로부터 그리고 이송 챔버(120)로 이송하기 위해 제 1 및 제 2 회전자들(215, 220)에 결합될 수 있다. 도 2 및 3a에서 알 수 있다시피, 도시된 예시적인 실시예에서, 이송 조립체는 제 1 암(225)에 의해 제 1 회전자(215)에 결합되고 제 2 암(230)에 의해 제 2 회전자(220)에 결합되는 기판 지지부 또는 엔드 이펙터(210)를 포함할 수 있다. 본원에서 설명되는 이송 조립체들은 사실상 단지 예시적이라는 것을 알아야 하며, 임의의 적절한 이송 조립체가 상술된 회전자/고정자 구동 구성에 적응될 수 있음을 이해하여야 한다. 제 1 암(225)은 제 1 암(225)이 제 1 회전자(215)에 의해 정의되는 평면(275)과 평행한 평면에서 회전할 수 있게 하는 방식으로 제 1 회전자(215)에 적어도 회전가능하게 결합된다. 이와 유사한 방식으로, 제 2 암(230)은 제 2 암(230)이 제 2 회전자(220)에 의해 정의되는 평면(280)과 평행한 평면에서 회전할 수 있게 하는 방식으로 제 2 회전자(220)에 적어도 회전가능하게 결합된다. 본 예시적인 실시예에서, 제 1 및 제 2 암들(225, 230)은 각각 제 1 및 제 2 회전자들(215, 220)의 외주에 결합될 수 있다. 대안적인 실시예들에서, 제 1 및 제 2 암들(225, 230)은 임의의 적절한 위치에서 임의의 적절한 방식으로 제 1 및 제 2 회전자들(215, 220)에 결합될 수 있다. 이해할 수 있겠지만, 모터 출력은 받침점(fulcrum) 주위에 가해질 수 있는 지레작용 힘(leverage force)(F, F')이며, 상기 받침점은 본 예에서 회전자들(215, 220)의 중앙점일 수 있다. 도 3a에서 알 수 있다시피, 모터들에 의해 이들의 각각의 회전자들(215, 220)에 가해지는 힘(F, F')은 회전자들(215, 220)의 각각의 회전축에 대한 편심력(eccentric force)이다. 상기 편심 지레작용 힘들(F, F')은 오로지 예시적인 목적으로 (예컨대, 각각의 회전자들을 반대 방향으로 회전시키는) 반대 방향의 힘들로 도 3a에 도시되며, 이러한 힘들의 방향은 반전되거나, 회전자들이 동일한 방향으로 회전하도록 생성될 수 있음을 이해할 것이다. 본 예에서, 반대 방향의 힘들은 엔드 이펙터(210)가 인출 및 인입하게 하며, (동일한 속도로 동일한 방향으로 회전자들을 회전시키는) 동일한 방향의 힘들은 엔드 이펙터(210)의 실질적인 인출 또는 인입 없이 기판 이송 장치를 회전시키며, 이에 대해서는 아래에서 더욱 자세히 설명될 것이다. 이해할 수 있겠지만, 상이한 속도로 회전자들을 회전시키는, 동일한 방향으로 생성된 힘들은 엔드 이펙터(210)를 인출 또는 인입시킬 수 있으며, 동시에 기판 이송 장치를 회전시킬 수 있다.
도 3b는 제 1 또는 제 2 암(225, 230)을 제 1 또는 제 2 회전자(215, 220)에 각각 결합시키기 위해 사용될 수 있는 연결 조립체(linking assembly)(320)의 예를 도시한다. 본 예에서, 축 부재(shaft member)(325) 또는 다른 적절한 세장형 부재(elongated member)는 제 1 회전자(215)와 제 1 암(225)을 관통하여 연장되며, 고정 메커니즘(retaining mechanism)(330)을 이용하여 정 위치에 고정된다. 고정 메커니즘은 (예컨대, 너트/볼트, C-클립, 쐐기 핀(cotter pin) 등의) 임의의 적절한 기계적 또는 화학적 패스너(fastener)들과 같은 임의의 적절한 고정 메커니즘일 수 있다. (축 부재(325)에 통합될 수 있는) 부싱(bushing)(335) 또는 임의의 적절한 베어링 장치는 제 1 암(225)을 제 1 회전자(215)와 분리시키며, 제 1 암이 제 1 회전자(215)에 대해 회전할 수 있게 한다. 대안적인 실시예들에서, 축은 회전자(215)에 고정될 수 있으며, 암(225)은 베어링들을 이용하여 축 상에 장착될 수 있다. 대안적인 실시예들에서, 임의의 다른 종류의 결합이 사용될 수도 있다.
도 4a 내지 4e는 기판 이송 장치(105)의 일 예시적인 종류의 동작을 도시한다. 도 4a에 도시된 본 예시적인 이송 구성에서, 인출 상태의 엔드 이펙터(210), 제 1 암(225) 및 제 2 암(230)이 도시된다. 화살표들(400 및 405)로 도시된 바와 같이, 회전자(215)와 회전자(220)를 반대 축 방향으로 회전시킴으로써, 엔드 이펙터(210)는 인입한다. 만약 회전자(215)와 회전자(220)가 각각 반대 축 방향 들(400, 405)로 동시에 회전하도록 동작되면, 엔드 이펙터(210)는 선형 경로(410)를 따라 한 방향으로 인입할 수 있다. 도 4e에 도시된 바와 같이, 이러한 방식으로 회전자들(215, 220)을 계속 동작시키면, 엔드 이펙터(210)는 완전히 인입한 상태로 이동하게 된다. 화살표들(440 및 405)로 표시된 방향과 반대 방향으로 회전자들(215, 220)을 회전시키면, 엔드 이펙터(210)가 다시 인출된 상태를 향하여 선형 경로(410)를 따라 반대 방향으로 이동할 수 있음을 이해해야 한다.
도 5a 내지 5c는 기판 이송 장치(105)의 다른 예시적인 종류의 동작을 도시한다. 도 5a는 인입 상태의 엔드 이펙터(210), 제 1 암(225) 및 제 2 암(230)을 도시하며, 여기서 엔드 이펙터(210)는 방향(A)을 향하고 있다. 화살표들(500 및 510)로 표시된 바와 같이, 동일한 방향으로 회전자(215)와 회전자(220)를 동시에 회전시키면, 엔드 이펙터(210)는 임의의 원하는 방향을 향하도록 축 방향으로 회전될 수 있다. 회전자들(215, 220)이 화살표들(500, 510)로 표시된 방향과 반대 방향으로 동시에 회전된다면, 엔드 이펙터(210)는 반대 방향으로 회전할 것임을 이해하여야 한다. 상술된 바와 같이, 동일한 속도로 화살표들(500, 510)의 방향으로 회전자들을 회전시키면, 엔드 이펙터(210)의 임의의 실질적인 인출 또는 인입과 함께 기판 이송 장치(105)가 회전할 수 있다.
컨트롤러(240)는 도 4a 내지 4e 및 도 5a 내지 5c에 도시된 바와 같은 기판 이송 장치(105)의 운동들이 결합될 수 있도록 고정자(200) 및 고정자(205)에 전원을 공급할 수 있으며, 그에 따라 엔드 이펙터(210)가 이송 챔버(120), 처리 모듈들(110), 또는 로드락들(115)을 포함하는 기판 처리 시스템(100)(도 1)의 다양한 구성요소들 내에서 임의의 축 방향 위치에 위치할 수 있다.
이제 다시 도 2 및 3a를 참조하면, 제 1 및 제 2 회전자들(215, 220)은 각각 적어도 2개의 극을 갖는 영구 자석 회전자들일 수 있다. 제 1 및 제 2 고정자들(200, 205)은 적어도 2개의 1차 권선들(245)을 가질 수 있다. 본 실시예에서, 제 1 고정자(200)와 제 2 고정자(205)는 이송 챔버(120) 바깥에 위치하고, 제 1 회전자(215)와 제 2 회전자(22)는 이송 챔버(120) 내부에 위치한다. 따라서, 제 1 및 제 2 고정자들(200, 205)은 제 1 및 제 2 회전자들(215, 220)과 분리되며, 앞에서 본 명세서에 참조로서 통합된 미국 특허 제5,720,590호, 제5,813,823호, 및 제5,899,658호에서 설명된 바와 같이, 기판 처리 시스템(100)의 하우징(285)에 의해 이송 챔버(120)의 내부 분위기로부터 격리된다.
본 예시적인 실시예에서, 제 1 고정자(200) 및 제 1 회전자(215)는 서로에 대해 동심으로(concentrically) 위치하며, 제 2 고정자(205) 및 제 2 회전자(220)도 서로에 대해 동심으로 위치한다. 엔드 이펙터(210), 제 1 암(225) 및 제 2 암(230)은 회전자들(215, 220) 사이에 개재된다. 대안적인 실시예들에서, 회전자, 고정자 및 이송 조립체는 임의의 적절한 구성을 가질 수 있다.
도 6은 기판 이송 장치(605)의 추가 예시적인 실시예를 도시한다. 본 실시예에서, 고정자들(200, 205)은 이송 챔버(120) 내부에 위치한다. 본 예시적인 실시예에서는 이송 챔버(120)의 벽들을 관통하는 기계적인 연결이 없지만, 이송 챔버(120)의 벽들을 관통하여 고정자들(200, 205)과의 전기적 연결들이 만들어진다. 이러한 전기적 연결은, (예컨대, 유선 연결과 같은) 물리적 연결, 또는 예컨대, 인 덕턴스(inductance)를 통한, 비접촉 연결일 수 있다. 본 예시적인 실시예에서, 이송 챔버(120) 및/또는 기판 이송 장치(605)는, 예컨대, 자석들 및/또는 도 2를 참조로 상술된 바와 같은 Z-구동 유닛에 의해, 임의의 적절한 방식으로 지지될 수 있다.
도 7은 기판 이송 장치(705)의 다른 예시적인 실시예를 도시한다. 본 실시예에서, 고정자들(200, 205)은 이송 챔버(120)의 바깥에 위치하며, 이송 챔버(120)의 내부에 위치하는 회전자들(215, 220)과 수직으로 정렬된다. 엔드 이펙터(210), 제 1 암(225) 및 제 2 암(230)은 회전자들(215, 220) 사이에 개재된 상태를 유지한다. 다시, 본 예시적인 실시예에서, 이송 챔버 및/또는 기판 이송 장치(705) 및 이의 구동장치는, 예컨대, 자석들 및/또는 도 2를 참조로 상술된 바와 같은 Z-구동 유닛에 의해, 임의의 적절한 방식으로 처리 챔버들(110)과 정렬되고 적절하게 지지될 수 있다.
도 8은 기판 이송 장치(805)의 또 다른 예시적인 실시예를 도시한다. 본 예시적인 실시예에서, 회전자들(215, 220)은 이들의 각각의 고정자들(220, 205)에 대해 동심으로 위치하고 수평으로 정렬된다. 엔드 이펙터(210), 제 1 암(225) 및 제 2 암(230)은 회전자들(215, 220) 및 고정자들(200, 205)로부터 수직으로 오프셋 된다. 본 예시적인 실시예에서, 기판 이송 장치(805) 및/또는 이송 챔버(120)는 상술된 바와 같이 Z-방향으로 적절하게 지지될 수 있다. 대안적인 실시예들에서, 이송 챔버(120) 및/또는 기판 이송 장치(805)는 임의의 적절한 방식으로 적절하게 수직으로 지지될 수 있다.
도 9는 기판 이송 장치(905)의 또 다른 예시적인 실시예를 도시한다. 도 2에 도시된 예시적인 실시예와 유사하게, 제 1 고정자(200) 및 제 1 회전자(215)는 서로에 대해 동심으로 위치하고, 제 2 고정자(205) 및 제 2 회전자(220)도 서로에 대해 동심으로 위치한다. 엔드 이펙터(210), 제 1 암(225) 및 제 2 암(230)은 회전자들(215, 220) 사이에 개재된다. 본 예시적인 실시예에서, 제 1 고정자(200) 및 제 2 고정자(205)는 하우징(285) 내에 내장되거나 또는 통합된다. 도시된 바와 같이, 제 1 및 제 2 고정자(200, 205)는 하우징(285)의 벽(910) 내에 내장된다. 다시, 기판 이송 장치(905) 및/또는 이송 챔버(120)는 임의의 적절한 방식으로 수직으로 지지될 수 있다는 것을 알아야 한다. 예를 들면, 일 실시예에서, 도 2를 참조로 상술된 Z-구동 유닛(298)은 이송 챔버(120) 및/또는 기판 이송 장치(905)에 적절하게 결합될 수 있다. 대안적인 실시예들에서, 기판 이송 장치(905)는, 예컨대, 회전자들과 고정자들 간의 자기 상호작용에 의해 수직으로 지지될 수 있다.
자기 물질 및 비자기(nonmagnetic) 물질의 적절한 디자인 및 사용으로 인하여, 모터 회전자들을 포함하는 모든 움직이는 구성 요소들을 이송 챔버(120) 내부에 장착하고, 모터 고정자들과 같은 자기 코일들을 이송 챔버(120) 바깥에 위치시키거나 (예컨대, 하우징의 벽들 내에 또는 하우징의 벽들과 통합하여 위치시키거나 하우징의 벽들 안으로 리세스(recess) 시킴으로써) 자기 코일들을 챔버 하우징(285) 내에 내장하는 것이 가능하다. 예를 들면, 이송 챔버 하우징은 비자기 물질로 만들어질 수 있으며, 그에 따라 자기 고정자들이 하우징(285)의 바깥에 장착되거나 하우징(285) 내에 내장되면서도 기능하게 할 수 있다. 고정자들을 하우징 의 바깥에 또는 내부에 위치시킴으로써, 잘 알려진 가스 제거(outgas) 문제들, 및 진공 환경 내에 활성 전자석(active electromagnet)들을 갖는 시스템들의 성능을 저하시키는 전기적 피드스루(feedthrough)들을 제거할 수 있다.
예컨대, 도 9 및 12와 같은 도면들에서 알 수 있다시피, 이송 챔버(120)의 하우징(285)은 진공 영역 또는 챔버의 내부와 대기 영역 또는 챔버의 외부를 분리시키는 비자기 배리어(non-magnetic barrier)를 제공할 수 있다. 따라서, 이송 챔버(120)는, 예컨대, 회전자(1106)와 고정자(1009) 사이를 통과하는 하우징(285)의 일부분을 가질 수 있다. 따라서, 도 12에 도시된 바와 같은 충분한 틈새 또는 공기 틈(AG)이 회전자(1106)와 챔버 하우징(285) 사이에 제공될 수 있다. 공기 틈(AG)은 임의의 적절한 방식으로 유지될 수 있다. 예를 들면, 도 12에 도시된 예시적인 구성에서, 공기 틈은 축(1201')을 이용함으로써 유지된다. 다른 예시적인 실시예들에서, 이송 장치의 구동 시스템은 자기 베어링 구동 시스템으로 구성될 수 있으며, 여기서 공기 틈은 예컨대 고정자들과 회전자들 간의 자기력들에 의해 유지된다.
이제, 도 10, 13 및 19를 참조하면, 기판 이송 장치(1000)의 다른 예시적인 실시예가 도시된다. 본 예시적인 실시예에서, 이송 장치(1000)는 고정자들(1006, 1007)(고정자들(1006, 1007)은 도 19에서 가장 잘 도시됨), 제 1 및 제 2 회전자 링크(1004, 1005), 제 1 및 제 2 암 링크(1002, 1003) 및 기판 지지부 또는 엔드 이펙터(210D)를 포함한다. 본 예시적인 실시예는 회전자가 링 모양이 아니라 링크 또는 스포크(spoke) 형태라는 점을 제외하고는 도 2 및 3a에 도시된 것과 유사하 며, 이에 관해서는 아래에서 설명될 것이다. 링크(link)들(1002-1005)이 실질적으로 일직선의 구성을 갖는 것으로 도면들에서 도시되었지만, 대안적인 실시예들에서, 링크들(1002-1005)은, 이들로 한정되는 것은 아니지만, 곡선 또는 다른 기하학 모양을 포함하는 임의의 적절한 모양 또는 구성을 가질 수 있음을 알아야 한다.
고정자들(1006 및 1007)은 상술된 바와 같이 자기 베어링 효과를 나타내는 영구 자석들(270)이 없다는 점을 제외하고는 고정자들(200 및 205)과 실질적으로 유사할 수 있다. 다시, 고정자들(1006, 1007)은, 상술된 바와 같이, 이송 챔버(120) 내에 위치하거나 이송 챔버(120)의 분위기로부터 격리될 수 있음을 알아야 한다. 고정자들(1006 및 1007)은 1차 권선들을 가질 수 있으며, 상기 1차 권선들에 전원이 인가되면 회전자 링크들(1004, 1005)에 자기 토크를 가하며, 이에 대해서는 아래에서 설명될 것이다. 본 예시적인 실시예에서, 고정자들(1006, 1007)은, 예컨대 고정자(1006)가 고정자(1007) 위에 위치하는 방식으로, 서로 동심으로 적층된 것으로 도시된다. 대안적인 실시예들에서, 고정자들은 임의의 적절한 구성을 가질 수 있다.
본 예시적인 실시예에서, 예시적인 제 1 및 제 2 회전자 링크들(1004, 1005)은 이송 챔버(120)의 중앙(C)을 중심으로 피봇할 수 있다. 대안적인 실시예들에서, 제 1 및 제 2 회전자 링크들은 이송 챔버 내의 임의의 원하는 위치를 중심으로 피봇할 수도 있다. 제 1 및 제 2 회전자 링크들(1004, 1005)은 축(1201)에 회전가능하게 장착될 수 있으며, 이는 도 13에 가장 잘 도시된다. 축(1201)은 이송 챔버(120)의 중앙(C)에 위치할 수 있다. 대안적인 실시예들에서, 축(1201)이 이송 챔버 내의 임의의 원하는 위치에 위치할 수 있도록 이송 장치가 구성될 수도 있다. 베어링 지지 슬리브(bearing support sleeve)(1302)는 축(1201)에 장착될 수 있다. 베어링 지지 슬리브(1302)는 축(1201) 위에 미끄러져 끼워(slip fit)지거나 가압하여 끼워(press fit)질 수 있다. 대안적인 실시예들에서, 베어링 슬리브를 축에 끼우는 것은 임의의 적절한 방식으로 이루어질 수 있다. 본 예에서, 베어링(1301A)은 베어링 슬리브(1302)의 상부에 끼워지며, 베어링(1301B)은 베어링 슬리브(1302)의 하부에 끼워진다. 베어링들(1301A, 1301B)은 수직 및/또는 방사상 하중들을 지지하기 위한 임의의 적절한 베어링일 수 있다. 베어링들(1301A, 1301B)은 베어링 지지 슬리브(1302)에 가압하여 끼워질 수 있다. 대안적인 실시예들에서, 베어링을 지지 슬리브에 끼우는 것은 임의의 적절한 방식으로 이루어질 수 있다. 다른 대안적인 실시예들에서, 축(1201)은 스플라인 축(spline shaft)일 수 있으며, 베어링 지지 슬리브는 선형 스플라인 가이드(linear spline guide)들(1304)을 가질 수 있으며, 상기 선형 스플라인 가이드들은 베어링 지지 슬리브가 회전하는 것을 방지하는 동시에 스플라인 축을 따라 기판 이송 장치(100)가 수직으로 이동하게 할 수 있다. 또 다른 대안적인 실시예들에서, 베어링들은 베어링 지지 슬리브 없이 축에 장착되거나 또는 고정될 수 있다.
제 1 회전자 링크(1004)의 근위 단부(proximate end)는, 예컨대, 베어링(1301A)을 통해 축(1201)에 회전가능하게 장착될 수 있으며, 제 2 회전자 링크(1005)의 근위 단부는 베어링(1301B)을 통해 축(1201)에 회전가능하게 장착될 수 있다. 회전자 링크들의 근위 단부들은 임의의 적절한 방식으로 베어링에 연결될 수 있다. 대안적인 실시예들에서, 제 1 및 제 2 회전자 링크들(1004, 1005)은 임의의 적절한 방식으로 축(1201)에 회전가능하게 장착될 수 있다. 커버(cover)(1303) 또는 캡(cap)은 베어링들에 의해 생성될 수 있는 임의의 입자들이 이송 챔버(120) 안으로 방출되는 것을 방지하기 위해 축/베어링 조립체 위에 제공될 수 있다. 대안적인 실시예들에서, 예컨대, 진공 장치 또는 팬과 같은, 임의의 적절한 입자 봉쇄 장치(particle containment device)가 사용될 수 있다. 제 1 및 제 2 회전자 링크들(1004, 1005)의 원위 단부들은, 본 예에서, 이송 챔버(120)의 중앙(C)과 일치하는 축(1201)으로부터 챔버(120)의 외부 벽들을 향하여 방사상으로 연장된다. 대안적인 실시예들에서, 축(1201)은 이송 챔버의 중앙(C)로부터 떨어져 위치할 수 있다. 자석들(1001A, 1001B)은 각각 회전자 링크들(1004, 1005)의 원위 단부들에 장착될 수 있다. 자석들(1001A, 1001B)은 예컨대 2개의 폴들을 갖는 영구 자석들일 수 있다. 상기 자석들은, 이들로 한정되는 것은 아니지만, 아크 세그먼트(arc segment) 또는 플래튼(platen), 블록 및/또는 디스크를 포함하는 임의의 적절한 모양을 가질 수 있다. 대안적인 실시예들에서, 임의의 적절한 종류 및/또는 모양의 자석들이 사용될 수 있다. 회전자 링크(1004)와 자석(1001A)은 고정자(1006)와 상호작용하여 제 1 모터를 형성할 수 있으며, 회전자 링크(1005)와 자석(1001B)은 고정자(1007)와 상호작용하여 제 2 모터를 형성할 수 있다. 모터들은 분할(segment)된 3상 모터들일 수 있으며, 그에 따라 모터의 일부분들이 독립적으로 제어되어 동일한 모터 전기자(armature)에 상이한 링키지(linkage)들을 작용할 수 있다. 대안적인 실시예들에서, 모터들은 임의의 적절한 개수의 상(phase)들을 가질 수 있다. 컨트롤러(240)와 같은 컨트롤러가 도 2의 고정자들(200, 2005)에 대해 상술된 바와 같은 고정자들(1006, 1007)의 권선들에 전원을 공급하기 위해 사용될 수 있다.
본 예시적인 실시예에서, 제 1 암 링크(1003)의 근위 단부는 제 1 회전자 링크(1004)의 원위 단부에 회전가능하게 연결되며, 제 1 암 링크(1003)의 원위 단부는 엔드 이펙터(210D)에 회전가능하게 연결된다. 제 2 암 링크(1002)의 근위 단부는 제 2 회전자 링크(1005)의 원위 단부에 회전가능하게 연결되며, 제 2 암 링크(1002)의 원위 단부는 엔드 이펙터(210D)에 회전가능하게 연결된다. 암 링크들(1002, 1003)은, 예컨대, 도 3b에 도시된 바와 같은 핀(pin) 또는 볼트(bolt) 연결과 같은 임의의 적절한 연결 수단에 의해 회전자 링크들(1004, 1005)에 회전가능하게 연결될 수 있다. 암 링크들(1002, 1003)은, 예컨대 핀 또는 볼트 연결을 사용하여 실질적으로 동일한 방식으로 엔드 이펙터에 연결될 수 있다. 암 링크들(1002, 1003)과 엔드 이펙터(210D)는 기판 이송 장치(1000)가 이의 인출 및 인입 상태로부터 이동함에 따라 엔드 이펙터(210D)의 길이 축이 인출/인입 축(1020)을 따라 유지되도록 연결될 수 있다.
도 10에 도시된 기판 이송 장치(1000)의 동작은 도 4a 내지 4e 및 도 5a 내지 5c를 참조로 상술된 것과 실질적으로 동일하다. 그러나, 링 모양의 회전자들을 갖는 구동장치 대신에, 본 예시적인 실시예의 회전자들은 링크들(1004, 1005)의 형태를 갖는다. 예를 들면, 각각의 고정자(1006, 1007)는 링크들(1004, 1005)의 각각에 대해, 받침점 주위에 가해지는 편심 자기 지레작용 힘(eccentric magnetic leverage force)을 생성하며, 상기 받침점은 본 예에서 축(1201')이 위치하는 중앙점(C)일 수 있다. 편심 지레작용 힘들(F, F')은 오로지 예시적인 목적으로 도 10에 도시되며, 상기 힘들의 방향은 반전될 수 있음을 이해해야 한다. 상기 지레작용 힘은 각각의 회전자 링크(1004, 1005)에 토크를 생성하며, 충분한 힘이 인가되는 경우, 각각의 회전자(1004, 1005)를 회전시킬 수 있다. 컨트롤러(240)는 회전자 링크들(1004 및 1005)이 독립적으로 또는 동시에, 축방향으로 회전하도록, 고정자들(1006, 1007)에 전원을 인가할 수 있다. 또한, 컨트롤러(240)는 회전자(1004)의 축 위치를 제어하기 위해 고정자(1006)에 독립적으로 전원을 인가할 수 있으며, 회전자(1005)의 축 위치를 제어하기 위해 고정자(1007)에 독립적으로 전원을 인가할 수 있다. 회전자들(1004, 1005)이 반대 방향으로 회전하도록 전원이 공급되면, 즉, 예를 들어, 회전자 링크(1004)가 시계방향으로 회전하고 회전자 링크(1005)가 반시계방향으로 회전하면, 엔드 이펙터는 인출 상태를 향하여 선형 경로(1020)를 따라 이동할 수 있으며, 반대의 경우도 가능하다.
일 예시적인 구성에서, 고정자들(1006, 1007)의 각각은 2차 권선들을 포함할 수 있으며, 상기 2차 권선들은 고정자들(1006, 1007)에 대한 회전자 링크들(1004, 1005)의 각각의 수직 위치를 변경하기 위해 컨트롤러(240)에 의해 전원이 인가될 수 있다. 본 예에서, 상기 회전자들은 축(1201)을 따라 수직으로 자유롭게 이동할 수 있다. 2차 권선들은, 회전자 링크들에 추가적인 자기력들을 생성함으로써 수직 동전력(electromotive force)이 회전자들(1006, 1007)에 가해지고, 그에 따라 회전자들(1006, 1007)이 축(1201) 상의 선형 스플라인 가이드들(1304)을 따라 떠오르도 록, 위치하고 전원이 인가될 수 있다. 대안적인 실시예들에서, 회전자(1006)의 2차 권선들은 회전자(1007)의 2차 권선들과 독립적으로 전원이 인가됨으로써, 회전자들(1006, 1007)의 수직 위치를 독립적으로 제어할 수 있다. 다른 대안적인 실시예들에서, 상기 2차 권선들은 셀프 베어링 모터를 형성하여 회전자들과 하우징의 벽들 사이에 충분한 공기 틈을 유지하고 원하는 높이로 회전자들을 지지할 수 있다. 무정전 전원 공급기가 2차 권선들에 연결될 수 있으며, 그에 따라, 전원이 공급 정지되는 동안에도, 회전자들/이송 장치 구동 시스템이 다른 것과 충돌하는 것을 방지할 수 있다. 다른 예시적인 구성들에서, 구동장치(298)와 유사한 Z-구동장치가 기판 이송 장치의 수직 운동을 제공하기 위해 축(1201)에 결합될 수 있다.
도면들에서 고정자들(1006, 1007)이 하우징(285) 안에 통합된 것으로 도시되어 있지만, 고정자들(1006, 1007)은, 예컨대, 도 2 및 6 내지 8에 도시된 구성들과 같은 다른 구성들을 가질 수 있는 것을 이해해야 한다.
예컨대, 도 10, 13 및 19에 도시된 바와 같이 기판 이송 장치가 축 상에 지지되는 다른 예시적인 실시예들에서, 엔드 이펙터(210D)와 암 링크들(1002, 1003)은 각각의 암 링크의 결합이 서로 상호작용하도록 회전가능하게 결합될 수 있다. 예를 들면, 도 21에서 알 수 있다시피, 다른 예시적인 이송 장치(2100)가 도시된다. 이송 장치는 도 10, 13 및 19를 참조로 상술된 이송 장치와 실질적으로 유사할 수 있다. 그러나, 본 예시적인 실시예에서, 암 링크들(2102, 2103)의 각각의 원위 단부들은, 예컨대, 톱니(2110), 또는 상기 엔드 이펙터가 화살표(2120) 방향으로 방사상으로 이동됨에 따라 상기 엔드 이펙터(210D)가 인출/인입 경로(1020)를 따라 방사상 또는 길이 방향으로 정렬을 유지하도록 구성된 다른 적절한 맞물림(meshing) 특징부들을 포함한다. 또한, 상기 톱니는 암 링크(2102)가 암 링크(2103)를 구동하도록 암 링크(2103)의 운동을 암 링크(2102)와 연결시키는 기능을 할 수 있다. 도 21에서 알 수 있다시피, 본 예시적인 실시예에서, 오직 회전자 링크(1005)만이 이의 원위 단부에 부착된 자석들(1001B)을 가진다(대안적인 실시예들에서, 상기 자석들은 회전자 링크(1004) 상에 위치할 수 있다). 암 링크들(2102, 2103) 간의 맞물림 연결(meshing engagement)은 오직 하나의 모터(1006, 1001B)만을 이용하여 상기 기판 이송 장치가 인입 및 인출될 수 있게 한다.
이제, 도 11a, 11b 및 12를 참조하면, 듀얼(dual) 엔드 이펙터 이송 장치(1100, 1200)가 도시된다. 듀얼 엔드 이펙터 이송 장치(1100, 1200)는 예컨대 2개의 이송 장치(도 11a의 1000', 1100', 또는 도 11b의 1000'', 1100'')(도 11b)를 가질 수 있다. 상기 듀얼 엔드 이펙터 이송 장치에서, 하나의 이송 장치가 다른 이송 장치 위에 위치할 수 있으며, 예컨대, 도 11a에서, 이송 장치(1100')는 이송 장치(1000') 위에 위치하는 것으로 도시된다. 이송 장치들(1000', 1100', 1000'' 및 1100'')은 상술된 이송 장치(1000)와 실질적으로 유사하다. 따라서 동일한 특징부들에는 동일한 참조 번호가 부여될 것이다. 도 11a, 11b 및 12에 도시된 예시적인 실시예들에서 회전자들은 축(1201') 상에 지지되는 것으로 설명되고 있지만, 상기 회전자들은 도 2 내지 9를 참조로 위에서 설명된 바와 같이 셀프-베어링 방식으로 지지될 수 있음을 이해해야 한다. 또한, 도 11a, 11b 및 12의 예시적인 구동 시스템은 도 2를 참조로 위에서 설명된 바와 같은 Z-구동 유닛을 포함할 수 있으 며, 여기서 Z-구동 유닛은 이송 챔버 및/또는 축(1201')에 결합될 수 있음을 알아야 한다.
이송 챔버(120)는 도 12에 도시된 것과 실질적으로 유사한 하우징(285) 안으로 통합 또는 내장되는 상부 모터 링(1201) 및 하부 모터 링(1200)을 가질 수 있다. 상부 및 하부 모터 링들(1201, 1200)의 각각은 회전자 링크들(1004', 1005', 1106, 1107)을 기계적으로 구동하기 위한 2개의 고정자들(1008, 1009 및 1006, 1007)을 포함할 수 있다. 고정자들(1006 내지 1009)이 하우징(285) 안으로 통합된 것으로 도 12에 도시되어 있지만, 대안적인 실시예들에서, 고정자들은 도 2 및 6 내8에 도시된 것과 실질적으로 동일한 방식을 구성될 수 있다. 회전자 링크들(1004', 1005', 1106, 1107)은 도 13에 도시되고 이송 장치(100)에 대해 설명된 것과 실질적으로 동일한 방식으로 축(1201')에 장착될 수 있다.
상부 이송 장치(1100')의 회전자 링크들(1106, 1107)은 상부 모터 링(2101)에 의해 구동될 수 있으며, 여기서, 예컨대, 회전자 링크(1107)는 고정자(1008)에 의해 구동되고, 회전자 링크(1106)는 고정자(1009)에 의해 구동된다. 하부 이송 장치(1000')의 회전자 링크들(1004', 1005')은 하부 모터 링(1200)에 의해 구동될 수 있으며, 여기서, 예컨대, 회전자 링크(1004')는 고정자(1006)에 의해 구동되고, 회전자 링크(1005')는 고정자(1007)에 의해 구동된다. 회전자 링크들(1106, 1107 및 1004', 1005')은 이송 장치(1000)에 대해 설명된 것과 실질적으로 동일한 방식으로, 이들의 각각의 고정자들에 의해 구동될 수 있다.
이제, 도 11a 및 12를 참조하면서, 이송 장치(1100)의 동작이 설명될 것이 다. 이송 장치(1000') 및 이송 장치(1100')는, 예컨대 컨트롤러(240)와 같은 컨트롤러에 의해, 개별적으로 또는 일치하여 인출 또는 인입될 수 있다. 각각의 이송 장치(1000', 1100')의 동작은 상술된 이송 장치(1000)의 동작과 실질적으로 유사하다. 예를 들면, 상부 모터 링의 고정자(1008)에 전원이 가해지면, 회전자 링크(1107)에 자기 토크를 생성하는 편심 지레작용 힘을 가하게 되며, 그에 따라, 회전자 링크(1107)는, 예컨대, 이송 챔버(120)의 중앙(C)을 중심으로 시계방향 또는 반시계 방향으로 회전될 수 있다. 유사하게, 상부 모터 링의 고정자(1009)에 전원이 가해지면, 회전자 링크(1106)에 자기 토크를 가하게 되면, 그에 따라 회전자 링크(1106)는 대응하는 시계방향 또는 반시계방향으로 회전될 수 있다. 회전자 링크들(1106, 1107)은 각각 이들의 원위 단부들에 자석들(1101C, 1101D)을 가진다. 회전자 링크들(1106, 1007)이 회전함에 따라, 이들의 원위 단부들이 서로 모이거나 서로 떨어지게 되면서, 암들(1108, 1109)의 근위 단부들도 서로 모이거나 서로 떨어지게 되며, 이에 따라, 엔드 이펙터(210B)는 축(1020')을 따라 인출 또는 인입하게 된다. 도 11a에서 알 수 있다시피, 엔드 이펙터들(210A', 210B')은 모두 동일한 방향으로 인출 및 인입할 수 있으며, 즉, 엔드 이펙터들(210A', 210B')은 모두 처리 시스템(100)의 동일한 처리 챔버(100) 또는 로드락(115)을 향할 수 있다. 이것은 로드락, 처리 챔버 또는 임의의 다른 원하는 위치로부터 또는 로드락, 처리 챔버 또는 임의의 다른 원하는 위치로 기판을 빠르게 교환할 수 있게 한다.
유사하게, 도 11b에 도시된 이송 장치(1200)의 이송 장치들(1100' 및 1000'')은 상술된 이송 장치(1100)의 이송 장치들(1100', 1000'')과 실질적으로 동 일한 방식으로 동작한다. 그러나, 이송 장치들(1100', 1000'')은 동일한 방향을 향하고 있지만, 이송 장치들(1100', 1000'')은 반대 방향을 향할 수 있으며, 그에 따라 엔드 이펙터들(210A'', 210B'')은 서로 실질적으로 약180도 떨어져 인출 및 인입된다. 예를 들면, 컨트롤러(240)는 상부 및 하부 모터 링들(1201, 1200) 및 이들의 각각의 고정자들(1006, 1007, 1008, 1009)에 독립적으로 또는 일치하여 전원이 공급됨으로써, 각각의 이송 장치(1100', 1000'')가 개별적으로 또는 일치하여 인출 또는 인입될 수 있도록 구성될 수 있다. 대안적인 실시예들에서, 이송 장치들의 각각은 상술된 것과 실질적으로 유사한 방식으로 이송 챔버의 중앙(C) 또는 임의의 다른 원하는 위치를 중심으로, 개별적으로 또는 일치하여, 시계방향 또는 반시계방향으로 회전될 수 있다.
대안적인 실시예들에서, 도 11b를 참조하면, 이송 장치들(1100' 및 1000'')의 각각은 축(1201')을 중심으로 독립적으로 회전할 수 있으며, 그에 따라, 엔드 이펙터들(210A'' 및 210B'')은 동일한 방향으로, 반대 방향으로, 서로에 대해 임의의 적절한 각도 관계로 인출 및 인입할 수 있다. 예를 들면, 이송장치들(1100', 1000'')은 (도 11a에 도시된 바와 같이) 동일한 방향을 향하도록 독립적으로 회전될 수 있으며, 또는 이송장치들(1100', 1000'')은 이송장치들(1100', 1000'')이 서로에 대해 직각(또는 임의의 적절한 각도)인 경로들을 따라 인출/인입하도록 독립적으로 회전될 수 있다.
이제, 도 14 및 16을 참조하면서, 예시적인 실시예에 따르는 다른 이송 장치(1400)가 설명될 것이다. 본 예의 이송 장치(1400)는 오로지 예시적인 목적으로 SCARA 타입의 이송 장치인 것으로 도시되며, 본원에 설명되는 이송 장치 구동 시스템은 임의의 적절한 이송 암/장치에 적용될 수 있음을 알아야 한다. SCARA 이송 장치는 고정자들(1006', 1007'), 상부 암(1402), 포어암(forearm)(1405) 및 엔드 이펙터 또는 기판 홀더(210C)를 가질 수 있다.
고정자들(1006', 1007')은 이송 장치들(1100 및 1200)을 참조로 설명된 고정자들(1006, 1007)과 실질적으로 유사하다. 또한, 고정자들(1006', 1007')은 고정자들(1006, 1007)에 대해 위에서 상술된 것과 실질적으로 동일한 방식으로, 예컨대, 컨트롤러(240)에 의해 제어될 수 있다.
상부 암(1402)은 숄더 조인트(shoulder joint)(1401)에서, 도 2 및 3을 참조로 위에서 상술된 것과 실질적으로 동일한 디스크 또는 회전자의 중앙(C)에 회전가능하게 장착될 수 있다. 대안적인 실시예들에서, 상부 암(1402)은 도 2 및 3을 참조로 위에서 상술된 디스크 또는 회전자일 수 있으며, 여기서, 예컨대 상기 상부 암은 편심된 위치에서 상기 디스크에 회전가능하게 결합된다. 다른 대안적인 실시예들에서, 상기 상부 암은 임의의 적절한 구성을 가질 수 있다. 상부 암(1402) 및 이의 각각의 고정자는 셀프-베어링 모터를 형성할 수 있다. 대안적인 실시예들에서, 상기 상부 암은 이송 챔버의 중앙에 장착되고 임의의 적절한 방식으로 지지될 수 있다. 또 다른 대안적인 실시예들에서, SCARA 이송 장치는 상기 이송 장치가 이송 챔버 내의 임의의 원하는 위치에 위치할 수 있도록 구성될 수 있다. 포어암(1405)은, 예컨대, 엘보우 조인트(elbow joint)(1404)에서 상부 암(1402)에 회전가능하게 장착된다. 상부 암(1402) 및 포어암(1405)은 상부 암(1402)에 장착된 지 지 축(support shaft)(1601)을 통해 회전가능하게 연결될 수 있다. 지지 축(1601)은 임의의 적절한 구성을 가질 수 있으며 포어암(1405)을 관통하도록 연장될 수 있다. 지지 축(1601)은 포어암(1405)을 지지하는 동시에, 엘보우 조인트(1404)를 중심으로 포어암(1405)이 회전 운동을 할 수 있도록 적절한 베어링들을 가질 수 있다. 대안적인 실시예들에서, 상부 암 및 포어암은 임의의 적절한 방식으로 연결될 수 있다. 엔드 이펙터(210C)는 포어암 및 상부 암에 대해 위에서 설명한 것과 실질적으로 동일한 방식으로 리스트 조인트(wrist joint)(1406)에서 포어암(1405)에 회전가능하게 장착될 수 있다.
본 예시적인 실시예에서, 고정자들(1006', 1007')은, 도 16에서 알 수 있으며 위에서 설명된 바와 같이, 이송 챔버 하우징(285) 안으로 통합 또는 내장될 수 있으며, 실질적으로 기판 이송 장치(1400)를 둘러싼 링(ring)을 형성할 수 있다. 대안적인 실시예들에서, 상기 고정자는 기판 이송 장치(1400)에 대해서 임의의 적절한 모양들을 형성할 수 있다. 고정자들(1006', 1007')은 도 16에 도시된 바와 같이 서로 동심으로 적층될 수 있다. 대안적인 실시예들에서, 상기 고정자들은 예컨대, 도 2 및 6 내지 8에 도시된 구성과 같은 임의의 다른 원하는 구성을 가질 수 있다. 본 예시적인 실시예들에서, 상부 암(1402)은 이송 챔버(120)의 중앙(C)으로부터 챔버(120)의 벽들 또는 하우징(285)을 향하여, 그리고 고정자들(1006', 1007')을 향하여 방사상으로 연장된다. 자석들(1403A)은 상부 암(1402)이 회전자로 기능할 수 있도록 상부 암(1402)의 원위 단부 또는 엘보우 단부에 고정적으로 장착될 수 있다. 상부 암(1402) 및 자석들(1403A)은, 예컨대, 고정자(1007')와 상 호작용하여 제 1 모터를 형성한다. 또한, 자석들(1403B)은 상부 암(1405)이 회전자로 기능할 수 있도록 상부 암(1405)의 원위 단부 또는 엘보우 단부에 고정적으로 장착될 수 있다. 상부 암(1405) 및 자석들(1403B)은, 예컨대, 고정자(1006')와 상호작용하여 제 2 모터를 형성한다. 자석들(1403A, 1403B)은 이송 장치들(1000, 1100 및 1200)에 대해서 위에서 설명된 것과 실질적으로 유사할 수 있다.
엔드 이펙터(210C)는 리스트 조인트(1406)에서 포어암(1405)의 원위 단부에 회전가능하게 장착된다. 엔드 이펙터(210C)는 상술된 바와 같이 포어암(1405)이 상부 암(1402)에 장착되는 방식과 실질적으로 동일한 방식으로 포어암(1405)에 장착될 수 있다. 엔드 이펙터(210C)는 기판의 진공 그립핑(gripping)을 사용하는 패들(paddle) 타입 엔드 이펙터, 또는 능동(active) 또는 수동(passive) 에지 그립핑(edge gripping)을 갖는 포크형(forked) 엔드 이펙터일 수 있다. 대안적인 실시예들에서, 임의의 적절한 엔드 이펙터 및 기판 그립핑 방법이 사용될 수 있다. 엔드 이펙터(210C)는 이송 장치(1400)가 인출 상태에서 인입 상태로, 그리고 인입 상태에서 인출 상태로 이동하더라도 엔드 이펙터(210C)의 길이 축은 방사상 인출 또는 인입 축(1020''')을 따라 계속 위치하도록 구성될 수 있다.
일 예시적인 실시예에서, 상기 암은 오직 상부 암만이 회전자로 기능하는 종속 구성(slaved configuration)을 가질 수 있다. 예를 들면, 상부 암과 포어암 내에 위치하는 숄더 풀리(shoulder pulley), 엘보우 풀리(elbow pulley) 및 리스트 풀리(wrist pulley)(미 도시)가 존재할 수 있다. 숄더, 엘보우 및 리스트 풀리들은 각각 상기 숄더(1401), 상기 엘보우(1404) 및 리스트(1406)에 위치하는 이들의 회전 중심을 가질 수 있다. 숄더 풀리는, 예컨대, 이송 챔버 내의 고정점(stationary point)에 고정적으로 연결될 수 있으며, 그에 따라, 상부 암이 상기 숄더를 중심으로 회전할 때, 상기 숄더 풀리는 고정적 또는 정적 상태를 유지할 수 있다. 엘보우 풀리는 2개의 풀리들로 구성될 수 있으며, 그 중 한 풀리는 상기 숄더 풀리에 구동가능하게 연결되는 아이들 풀리(idle pulley)이며, 다른 풀리는 상기 아이들 풀리에 고정적으로 연결되는 구동 풀리(drive pulley)이다. 엘보우 구동 풀리(elbow drive pulley)는 상기 리스트 풀리에 구동가능하게 연결될 수 있으며, 상기 리스트 풀리는 상기 엔드 이펙터(210C)에 고정적으로 연결된다. 이송 장치(1400)가 인출 또는 인입함에 따라, 상기 엘보우 풀리가 상기 상부 암(1402)의 회전을 통해 상기 숄더 풀리에 의해 구동되고, 다시, 상기 엔드 이펙터의 길이 축이 방사상 인출 축(1020''')을 따라 계속 위치하게 하는 방식으로 상기 리스트 풀리를 구동하도록, 상기 풀리들이 구성될 수 있다.
다시, 도 14 및 16을 참조하면서, 예시적인 SCARA 이송 장치(1400)의 동작이 설명될 것이다. 도 14에 도시된 이송 장치(1400)의 동작은 도 10에 대하여 위에서 논의된 것과 실질적으로 동일하다. 그러나, 챔버(120)의 중앙(C)을 중심으로 회전하는 2개의 회전자 링크들을 갖는 대신에, 본 예에서는, 오직 상부 암(1402)만이 챔버(120)의 중앙(C)을 중심으로 회전하며, 포어암(1405)은 상기 엘보우(1404)를 중심으로 회전한다. 예를 들면, 고정자(1007')에 전원이 인가되면, 고정자(1007')는 상부 암(1402)에 토크를 생성하는 편심 자기 지레작용 힘을 생성하며, 이것은 충분한 힘이 인가되는 경우, 상부 암(1402)이 중앙점(C)을 중심으로 시계방향 또는 반시계방향으로 회전하게 한다. 포어암(1402)은 고정자(1006')에 의해 생성되는 자기 토크에 의해 상기 엘보우(1404)를 중심으로 유사한 방식으로 회전한다.
상부 암(1402)과 포어암(1405)이 고정자들(1006', 1007')에 의해 이들에 가해지는 자기 토크를 통해 반대 방향으로 회전하게 되면, 즉, 예컨대, 상부 암(1402)이 시계 방향으로 화전하고 포어암(1405)이 반시계 방향으로 회전하면, 엔드 이펙터(210C)는 인출 상태를 향하여 선형 경로(1020''')을 따라 이동할 수 있으며, 그 반대도 마찬가지이다. 대안적으로, 전체 이송 장치(1400)는 오직 상부 암(1402)만이 회전하도록, 고정자(1007')에 전원을 인가하는 컨트롤러를 통해 시계 방향 또는 반시계 방향으로 챔버(120)의 중앙(C) 또는 숄더(1401)를 중심으로 회전할 수 있다. 오직 상부 암(1402)만이 회전하면, 포어암(1405)과 엔드 이펙터(210C)는 이들의 상대적인 위치를 계속 유지할 수 있으며, 자연히 상부 암(1402)과 함께 회전할 수 있다. 대안적인 실시예들에서, 고정자들(1006', 1007')에 전원이 인가될 때, 숄더(1401)를 중심으로 이송 장치(1400)를 유닛으로서 회전시킬 수 있도록, 고정자들(1006', 1007')이 구성될 수 있다.
상술된 바와 같이, 고정자들(1006', 1007')은 2차 권선들을 포함할 수 있으며, 상기 2차 권선들은 컨트롤러(240)에 의해 전원이 공급됨으로써, 상부 암(1402) 및 포어암(1405)의 수직 위치를 변경할 수 있으며, 그에 따라 이송 장치(1400)의 수직 위치를 변경할 수 있다. 대안적인 실시예들에서, 상기 이송 장치의 수직 위치는, 예컨대, 선형 모터에 의해, 임의의 적절한 방식으로 제어 또는 변경될 수 있다. 다른 대안적인 실시예들에서, 축(1601)은 상기 축을 따라 포어암(1405)이 수 직으로 이동할 수 있도록 구성될 수 있으며, 그에 따라 2차 권선들이 상부 암에 대한 포어암의 수직 운동을 일으킬 수 있다.
이제, 도 15를 참조하면, 다른 예시적인 SCARA 타입의 이송 장치(1400')이 도시된다. 본 예시적인 실시예는 상기 SCARA 타입의 이송 장치(1400)와 실질적으로 유사하지만, 이송 장치(1400)에 대해 위에서 설명된 바와 같이, 자석들이 엘보우에서 포어암 상에 위치하지 않는다. 오히려, 자석들(1403B')은 포어암 구동 부재(1501)의 원위 단부 상에 위치할 수 있다. 포어암 구동 부재(1501)는 상부 암(1402')이 장착되는 방식과 실질적으로 동일한 방식으로 숄더(1401') 주위에 회전가능하게 장착될 수 있으며, 상기 숄더(1401')는 본 예에서 챔버(120)의 중앙과 일치할 수 있다. 상부 암(1402')은 상부 암(1402)에 대해 위에서 설명된 방식과 실질적으로 동일한 방식으로 장착될 수 있다. 또한, 예컨대, 포어암 구동 부재(1501)가 회전할 때 숄더 풀리(1504)가 포어암 구동 부재(1501)와 함께 회전하도록, 숄더 풀리(1504)는 숄더(1401')에 장착될 수 있으며 포어암 구동 부재(1501)에 고정적으로 연결될 수 있다. 엘보우 풀리(1505)는 포어암(1405')의 회전축을 중심으로 엘보우 조인트(1404')에 장착될 수 있다. 예컨대, 엘보우 풀리(1505)가 회전할 때, 포어암(1405')이 엘보우 풀리(1505)와 함께 회전하도록, 엘보우 풀리(1505)는 포어암(1405')에 고정적으로 장착될 수 있다. 엘보우 풀리(1505)는 예컨대 구동 벨트, 밴드(들) 또는 체인(1410)에 의해 숄더 풀리(1504)에 구동가능하게 연결될 수 있다. 대안적인 실시예들에서, 임의의 적절한 구동 장치가 사용될 수 있다. 숄더 풀리(1504), 엘보우 풀리(1505) 및 벨트(1410)는 상부 암(1402') 및 포어 암(1405') 내에 포함될 수 있으며, 그에 따라 발생할 수도 있는 임의의 입자들이 챔버(120) 안으로 누출하는 것을 방지할 수 있다. 대안적인 실시예들에서, 숄더 풀리, 엘보우 풀리 및 벨트는 임의의 적절한 위치에서 장착될 수 있다. 다른 대안적인 실시예들에서, 포어암(1405') 및/또는 엔드 이펙터(210C)는 상술된 바와 같이 풀리 시스템을 통해 상부 암(1402')에 종속적일 수 있다.
도 15에 도시된 이송 장치(1400')의 동작은, 포어암(1405')이 이송 장치(1400)에 관하여 위에서 설명된 바와 같이 포어암 상에 장착된 자석들(1403B)이 아니라 포어암 구동 부재(1501)에 의해 구동된다는 점을 제외하고는 이송 장치(1400)의 동작과 실질적으로 동일하다. 예를 들면, 포어암 구동 부재(1501)가 고정자(1006')에 의해 생성되는 편심 자기 지례작용 힘 및 이로 인해 생성된 토크에 의해 회전되는 경우, 숄더 풀리(1504)도 회전한다. 다음으로, 숄더 풀리(1504)는 엘보우 풀리(1505)를 회전시킨다. 숄더 풀리(1504)는 예컨대 벨트(1410)에 의해 엘보우 풀리(1505)에 구동가능하게 연결될 수 있다. 다음으로, 엘보우 풀리(1505)는 포어암(1405')을 회전시킨다. 상부 암(1402') 및 포어암 구동 부재(1501)가 동시에 기동하면, 엔드 이펙터(210C)는 이송 장치(1400)에 대하여 위에서 설명된 방식과 실질적으로 동일한 방식으로 축(1020'''')을 따라 인출 또는 인입하게 된다. 엔드 이펙터(210C)가 인출되더라도 엔드 이펙터가 인출/인입 축(1020'''')을 따라 길이 방향으로(예컨대, 앞에서 뒤로) 계속 배향하도록 엔드 이펙터는 종속 운동(slaved movement)을 할 수 있음을 알아야 한다.
도 17 및 18에서 알 수 있다시피, 다른 예시적인 실시예들에서, 이송 장 치(1400, 1400')는 이송 챔버의 중앙 또는 숄더에 회전가능하게 장착되는 제 2 SCARA 타입의 이송 장치(1400'', 1400''')를 가질 수 있다. 도면들에 도시된 SCARA 타입의 이송 장치들은 단지 본원에 개시되는 구송 시스템의 예시적인 어플리케이션이며 상기 구동 시스템은 임의의 특정 암/이송 장치 구성으로 한정되지 않음을 알아야 한다. 이송 장치들(1400'', 1400''')은 도 12에 도시되고 이송 장치(1100)에 대해 논의된 방식과 실질적으로 유사한 방식으로 이송 챔버 내에 장착될 수 있다. 이송 장치들(1400'', 1400''')은 이송 장치들(1400, 1400')에 대해 위에서 설명된 방식과 실질적으로 동일한 방식으로 동작할 수 있다. 이것은 듀얼 SCARA 암 이송 장치 및 기판의 빠른 교환을 가능하게 할 것이다. 듀얼 SCARA 암들은, 도 11b를 참조로 위에서 상술된 방식과 실질적으로 유사한 방식으로, 챔버의 중앙을 중심으로 독립적으로 회전하거나 일치하여 회전할 수 있다.
이제, 도 20을 참조하면, 본원에서 설명되는 동축 자기 구동 시스템을 포함하는 이송 챔버들(2001, 2002)이 모듈 유닛으로서 서로 결합된 것으로 도시된다. 이송 챔버들은 예컨대 로드락 또는 터널(tunnel)(2050)에 의해 임의의 적절한 방식으로 서로 결합될 수 있다. 이송 챔버들(2001, 2002)의 각각은 이들 각각이 자신의 내부 분위기를 가질 수 있도록 서로 단절될 수 있다. 다른 예시적인 실시예들에서, 이송 챔버들(2001, 2002)은 서로 단절되지 않을 수도 있다. 위에서 설명된 이송 챔버들은 일반적인 원형 모양을 갖지만, 이송 챔버는, 이들로 한정되는 것은 아니지만, 도 20에 도시된 정사각형 모양을 포함하는 임의의 적절한 모양을 가질 수도 있음을 이해해야 한다. 본 예시적인 실시예에서, 상부 암(2020)이 각각의 회 전자들과 고정자들을 통해 회전함에 따라, 포어암(2030)과 엔드 이펙터(2040)가 처리 모듈(PM), 다른 이송 챔버 또는 임의의 다른 적절한 영역으로 인출되도록, 이송 조립체(2010)는 예컨대 벨트들 및 풀리들을 이용하는 종속 이송 시스템(slaved transfer system)일 수 있다. 이송 조립체(2010)가 SCARA 타입의 조립체인 것으로 도시되어 있지만, 예컨대, 도 1 내지 19를 참조로 위에서 설명된 조립체들과 같은, 임의의 적절한 이송 조립체가 사용될 수 있음을 알아야 한다. 이송 조립체(2010)의 회전자들 및 고정자들은, 각각의 회전자(예컨대, 링크들(2020, 2030, 2040))가 이송 조립체의 인출 및 인입을 위해 회전될 수 있도록, 이송 챔버들(2001, 2002) 내에 적절하게 위치할 수 있다. 예를 들면, 상술된 바와 같이, 고정자들은 이송 챔버 벽들 내에, 이송 챔버 내에, 또는 이송 챔버 외부에 위치할 수 있다. 대안적인 실시예들에서, 회전자 및 고정자들은 이송 조립체(2010) 자신의 바닥 또는 하우징 내에 위치할 수 있다.
위의 예시적인 실시예들에서 설명된 바와 같이, 다양한 이송 장치들을 구동하는 것 외에, 대안적인 실시예들에서, 이송 구동 모터들은 챔버를 가열(bake out)하기 위한 가열 구성요소들로서 기능할 수도 있다. 본 대안적인 실시예에서, 상기 모터는 이송 장치들의 이동을 위한 제어 모드와 챔버를 가열하기 위한 가열 모드를 가질 수 있다.
또 다른 대안적인 실시예들에서, 예컨대, 도 3a에 도시된 바와 같은 센서들(299)과 같은 홀 센서(hall sensor)들이 이송 모터들 내부에 위치할 수 있다. 이러한 홀 센서들의 감도(resolution)는 상기 이송 장치들을 위해 위치 피드백 장 치로서 사용될 수 있다.
도면들에 도시되는 각각의 예시적인 실시예는, 다양한 예시적인 실시예들에서 사용된 여러 가지 회전자들(예컨대, 원형 회전자, 스포크 형태의 회전자 등)에 관계없이, 도 4a 내지 4e 및 5a 내지 5c를 참조로 위에서 설명된 운동들을 할 수 있다. 따라서, 각각의 예시적인 실시예는 이송 챔버(120), 처리 모듈들(110), 또는 로드락들(115)을 포함하는 기판 처리 시스템(100)(도 1)의 다양한 구성요소들 내부의 임의의 위치로, 또는 임의의 위치로부터 기판을 축 방향으로 이송할 수 있다. 본 예시적인 실시예들은 이송 챔버(120)의 벽들을 관통하는 기계적인 연결을 요구하지 않는다는 점에서 유리하다.
특정 위치의 기판을 포지셔닝(positioning)하기에 적합한 임의의 다른 링키지, 암들, 또는 엔드 이펙터 구성이 위의 예시적인 실시예들에서 사용될 수 있음에 주의하는 것은 중요하다.
일 예시적인 실시예에 따라서, 기판 이송 장치가 제공된다. 상기 기판 이송 장치는, 하우징; 실질적으로 상기 하우징의 외주 벽들을 따라 선형으로 분포되는 제 1 고정자; 실질적으로 상기 하우징의 외주 벽들을 따라 선형으로 분포되는 제 2 고정자; 및 상기 하우징 내에 위치하는 회전 중심을 중심으로 회전할 수 있는 제 1 기판 이송 암을 포함하며, 상기 제 1 기판 이송 암은, 상기 회전 중심을 중심으로 회전가능하고 제 1 회전자를 형성하는 상부 암, 상기 회전 중심을 중심으로 회전가능하게 결합되는 제 2 회전자, 상기 회전 중심에서 벗어난 위치에서 상기 상부 암에 제 1 단부에서 회전가능하게 결합되고 제 2 회전자에 구동가능하게 결합되는 포 어암, 및 상기 포어암의 제 2 대향 단부에 회전가능하게 결합되는 제 1 기판 지지부를 가지며, 상기 제 1 고정자 및 상기 제 1 회전자는 제 1 모터를 형성하고 상기 제 2 고정자 및 상기 제 2 회전자는 제 2 모터를 형성하며, 상기 제 1 고정자 및 상기 제 2 고정자는, 상기 제 1 및 제 2 모터들과 상기 제 1 및 제 2 회전자들의 각각 사이의 연결부에서의 모터 출력이 상기 상부 암의 주변에 배치되는 합력이도록 구성된다.
다른 예시적인 실시예에 따라서, 기판 이송 장치가 제공된다. 상기 기판 이송 장치는, 프레임; 실질적으로 상기 프레임의 주변 근처에 선형으로 분포되는 제 1 고정자; 실질적으로 상기 프레임의 주변 근처에 선형으로 분포되는 제 2 고정자; 및 제 1 기판 이송 암을 포함하며, 상기 제 1 기판 이송 암은, 상기 프레임 내에 위치하는 회전 중심을 중심으로 회전가능하며, 각각 원위 단부를 갖는 제 1 및 제 2 회전자들, 각각 상기 제 1 및 제 2 회전자들의 각각의 원위 단부에 제 1 단부에서 회전가능하게 결합되는 제 1 및 제 2 암 링크들, 및 상기 제 1 및 제 2 링크들의 각각의 제 2 단부에 회전가능하게 결합되는 제 1 기판 지지부를 가지며, 상기 제 1 및 제 2 고정자들은 상기 제 1 및 제 2 회전자들에 합력을 가하도록 구성되고, 상기 합력은 상기 제 1 및 제 2 암 링크들의 주변에 위치한다.
위에서 설명된 실시예들은 컨트롤러 및 고정자들이 이송 챔버 바깥에 위치할 수 있다는 점에서 유리하다. 이송 챔버의 바깥 환경은 부식성 대기를 포함하거나, 높은 온도일 수 있으며, 또는 일반적으로 유해한 환경을 포함할 수 있기 때문에, 낮은 오염 가능성을 달성할 수 있다는 것을 알아야 한다. 기판들을 이송하는 기 계적인 측면들도 일반적으로 더 적은 암들, 링크들, 및 구성요소들을 포함하도록 단순화될 수 있기 때문에, 이동하기에 적은 중량, 기판 이송 장치의 더 빠른 이동 속도, 높은 정확도 및 제어가 가능하다. 이러한 모든 요소들은 기판 처리 처리량(throughput)을 향상시키는데 기여한다.
본원에 설명되는 예시적인 실시예들은 독립적으로 또는 임의의 적절한 조합으로 사용될 수 있음을 이해해야 한다. 또한, 전술한 설명은 오직 본 발명을 설명하기 위한 것임을 이해해야 한다. 본 발명의 범위로부터 벗어남 없이 본 기술분야의 당업자들은 다양한 대체물들 및 변형들을 고안해낼 수 있다. 따라서 본 발명은 첨부된 청구범위 내에 속하는 모든 대체물, 변형 및 변경을 포함하는 것으로 의도된다.

Claims (33)

  1. 제 1 고정자(stator) 및 제 1 회전자(rotor)를 포함하는 제 1 무축 회전 모터(shaftless rotary motor)로서, 상기 제 1 고정자는 선형으로 분포되고, 상기 제 1 회전자는 제 1 암에 결합되는 제 1 무축 회전 모터;
    제 2 고정자 및 제 2 회전자를 포함하는 제 2 무축 회전 모터로서, 상기 제 2 고정자는 선형으로 분포되고, 상기 제 2 회전자는 제 2 암에 결합되며, 상기 제 2 암은 상기 제 1 암에 연결되는 제 2 무축 회전 모터; 및
    상기 제 1 및 제 2 암들 중 적어도 하나에 결합되는 제 1 기판 지지부(substrate support)를 포함하며,
    상기 제 1 및 제 2 암들과 상기 제 1 기판 지지부가 상기 고정자들의 안쪽에 위치하고, 상기 제 1 및 제 2 무축 회전 모터들과 상기 제 1 및 제 2 암들의 각각 사이의 연결부(connection)에서의 모터 출력(motor output)이 상기 제 1 및 제 2 암들의 주변(periphery)에 배치되는 합력(resultant force)이도록, 상기 제 1 및 제 2 고정자가 구성되는 것을 특징으로 하는 기판 이송 장치.
  2. 제 1 항에 있어서,
    하우징을 더 포함하며, 상기 제 1 및 제 2 고정자들은 실질적으로 상기 하우징의 주변(periphery) 근처에 선형으로 분포되는 것을 특징으로 하는 기판 이송 장치.
  3. 제 2 항에 있어서,
    상기 제 1 및 제 2 고정자들은 상기 하우징의 벽들 안으로 통합되는 것을 특징으로 하는 기판 이송 장치.
  4. 제 1 항에 있어서,
    상기 제 1 및 제 2 암들의 각각은,
    회전 중심 및 원위 단부를 갖는 제 1 회전가능 암 링크(arm link); 및
    상기 제 1 암 링크의 상기 원위 단부에 회전가능하게 결합되는 제 2 암 링크를 포함하며,
    상기 제 1 및 제 2 암들의 각각의 상기 제 1 암 링크들은 상기 제 1 및 제 2 회전자들의 각각을 포함하며, 상기 제 1 및 제 2 암들의 상기 제 2 암 링크들의 각각은 상기 제 1 기판 지지부에 회전가능하게 결합되는 것을 특징으로 하는 기판 이송 장치.
  5. 제 4 항에 있어서,
    상기 제 1 및 제 2 암들의 각각의 상기 제 1 회전가능 암 링크는 링(ring) 또는 디스크 형태인 상기 제 1 및 제 2 회전자들의 각각을 포함하며, 상기 원위 단부는 상기 링 또는 디스크의 외주(periphery)인 것을 특징으로 하는 기판 이송 장치.
  6. 제 5 항에 있어서,
    상기 제 1 및 제 2 암들의 각각의 상기 링 또는 디스크는 상기 제 1 및 제 2 고정자들의 각각과 함께 셀프 베어링 모터(self bearing motor)를 형성하는 것을 특징으로 하는 기판 이송 장치.
  7. 제 4 항에 있어서,
    상기 제 1 및 제 2 암들의 각각의 상기 제 1 회전가능 암 링크는 세장형(elongated) 링크 부재의 형태인 상기 제 1 및 제 2 회전자들의 각각을 포함하며, 상기 원위 단부는 상기 회전 중심의 반대쪽인 것을 특징으로 하는 기판 이송 장치.
  8. 제 1 항에 있어서,
    상기 제 1 암은 상기 제 1 회전자를 포함하고 상기 제 1 암의 회전 중심에 위치한 근위 단부를 가지며,
    상기 제 2 암은 상기 제 2 회전자를 포함하고 엘보우 조인트(elbow joint)에서 상기 제 1 암의 원위 단부에 근위 단부에서 회전가능하게 결합되며,
    상기 제 1 기판 지지부는 상기 제 2 암의 원위 단부에 회전가능하게 결합되며,
    상기 합력(resultant force)은 실질적으로 상기 엘보우 조인트에서 상기 제 1 및 제 2 고정자들의 각각에 의해 상기 제 1 및 제 2 암들에 가해지는 것을 특징으로 하는 기판 이송 장치.
  9. 제 8 항에 있어서,
    상기 제 1 기판 지지부는 상기 기판 이송 장치의 인출(extension) 및 인입(retraction)의 축과 실질적으로 길이방향으로 계속 정렬되도록 구성되는 것을 특징으로 하는 기판 이송 장치.
  10. 제 1 항에 있어서,
    프레임(frame)을 더 포함하며,
    상기 제 1 암은 상기 제 1 회전자를 포함하고, 상기 프레임 내에서 암 지지부(arm support) 상에 회전가능하게 결합되는 근위 단부, 및 원위 단부를 가지며,
    상기 제 2 회전자는 상기 암 지지부에 회전가능하게 결합되는 근위 단부, 및 원위 단부를 가지며,
    상기 제 2 암은 엘보우 조인트에서 상기 제 1 암의 상기 원위 단부에 회전가능하게 결합되는 근위 단부를 가지고, 상기 제 2 암은 상기 제 2 회전자에 구동가능하게 결합되며,
    상기 제 1 기판 지지부는 상기 제 2 암의 원위 단부에 회전가능하게 결합되며,
    상기 합력은 실질적으로 상기 엘보우 조인트에서 그리고 실질적으로 상기 제 2 회전자의 상기 원위 단부에서 상기 제 1 및 제 2 고정자들의 각각에 의해 상기 제 1 암에 가해지는 것을 특징으로 하는 기판 이송 장치.
  11. 제 10 항에 있어서,
    상기 제 1 기판 지지부는 상기 기판 이송 장치의 인출/인입의 축과 실질적으로 길이방향으로 계속 정렬되도록 구성되는 것을 특징으로 하는 기판 이송 장치.
  12. 제 1 항에 있어서,
    제 3 고정자 및 제 3 회전자를 포함하는 제 3 무축 회전 모터로서, 상기 제 3 고정자는 선형으로 분포되고, 상기 제 3 회전자는 제 3 암에 결합되는 제 3 무축 회전 모터;
    제 4 고정자 및 제 4 회전자를 포함하는 제 4 무축 회전 모터로서, 상기 제 4 고정자는 선형으로 분포되고, 상기 제 4 회전자는 제 4 암에 결합되며, 상기 제 4 암은 상기 제 3 암에 연결되는 제 4 무축 회전 모터; 및
    상기 제 3 및 제 4 암들 중 적어도 하나에 결합되는 제 2 기판 지지부를 더 포함하며,
    상기 제 3 및 제 5 암들과 상기 제 2 기판 지지부가 상기 고정자들의 안쪽에 위치하고, 상기 제 3 및 제 4 무축 회전 모터들과 상기 제 3 및 제 24암들의 각각 사이의 연결부(connection)에서의 모터 출력(motor output)이 상기 제 1 및 제 2 암들의 주변(periphery)에 배치되는 합력(resultant force)이도록, 상기 제 3 및 제 4 고정자가 구성되는 것을 특징으로 하는 기판 이송 장치.
  13. 제 12 항에 있어서,
    프레임을 더 포함하며,
    상기 제 1, 제 2, 제 3 및 제 4 고정자들은 실질적으로 상기 프레임의 주변(periphery) 근처에 선형으로 분포되는 것을 특징으로 하는 기판 이송 장치.
  14. 제 12 항에 있어서,
    상기 제 1, 제 2, 제 3 및 제 4 암들의 각각은,
    회전 중심 및 원위 단부를 갖는 제 1 회전가능 암 링크; 및
    상기 제 1 암 링크의 상기 원위 단부에 회전가능하게 결합되는 제 2 암 링크를 포함하며,
    상기 제 1, 제 2, 제 3 및 제 4 암들의 각각의 상기 제 1 암 링크들은 상기 제 1, 제 2, 제 3, 및 제 4 회전자들의 각각을 포함하며,
    상기 제 1 및 제 2 암들의 상기 제 2 암 링크들의 각각은 상기 제 1 기판 지지부에 회전가능하게 결합되며,
    상기 제 3 및 제 5 암들의 상기 제 2 암 링크들의 각각은 상기 제 2 기판 지지부에 회전가능하게 결합되는 것을 특징으로 하는 기판 이송 장치.
  15. 제 14 항에 있어서,
    상기 제 1, 제 2, 제 3 및 제 4 암들의 각각의 상기 제 1 회전가능 암 링크는 링 또는 디스크 형태인 상기 제 1, 제 2, 제 3 및 제 4 회전자들의 각각을 포함하며, 상기 원위 단부는 상기 링 또는 디스크의 외주(periphery)인 것을 특징으로 하는 기판 이송 장치.
  16. 제 14 항에 있어서,
    상기 제 1, 제 2, 제 3 및 제 4 암들의 각각의 상기 링 또는 디스크는 상기 제 1, 제 2, 제 3 및 제 4 고정자들의 각각과 함께 셀프 베어링 모터(self bearing motor)를 형성하는 것을 특징으로 하는 기판 이송 장치.
  17. 제 12 항에 있어서,
    상기 제 1, 제 2, 제 3 및 제 4 암들의 각각의 상기 제 1 회전가능 암 링크는 세장형 링크 부재의 형태인 상기 제 1, 제 2, 제 3 및 제 4 회전자들의 각각을 포함하며, 상기 원위 단부는 상기 회전 중심의 반대쪽인 것을 특징으로 하는 기판 이송 장치.
  18. 제 12 항에 있어서,
    상기 제 1 암은 상기 제 1 회전자를 포함하고 상기 제 1 암의 회전 중심에 위치한 근위 단부, 및 원위 단부를 가지며,
    상기 제 2 암은 상기 제 2 회전자를 포함하고 제 1 엘보우 조인트(elbow joint)에서 상기 제 1 암의 상기 원위 단부에 근위 단부에서 회전가능하게 결합되며,
    상기 제 1 기판 지지부는 상기 제 2 암의 원위 단부에 회전가능하게 결합되며,
    상기 제 3 암은 상기 제 3 회전자를 포함하고 상기 제 3 암의 회전 중심에 위치한 근위 단부를 가지며,
    상기 제 4 암은 상기 제 4 회전자를 포함하고 제 2 엘보우 조인트(elbow joint)에서 상기 제 3 암의 원위 단부에 근위 단부에서 회전가능하게 결합되며,
    상기 제 2 기판 지지부는 상기 제 4 암의 원위 단부에 회전가능하게 결합되며,
    상기 합력(resultant force)은 상기 제 1 및 제 2 엘보우 조인트의 각각에서 상기 제 1, 제 2, 제 3 및 제 4 고정자들의 각각에 의해 상기 제 1, 제 2, 제 3 및 제 4 암들에 가해지는 것을 특징으로 하는 기판 이송 장치.
  19. 제 12 항에 있어서,
    프레임을 더 포함하며,
    상기 제 1 암은 상기 제 1 회전자를 포함하고, 상기 프레임 내에서 암 지지부(arm support) 상에 회전가능하게 결합되는 근위 단부를 가지며,
    상기 제 2 회전자는 상기 암 지지부에 회전가능하게 결합되는 근위 단부를 가지며,
    상기 제 2 암은 제 1 엘보우 조인트에서 상기 제 1 암의 원위 단부에 회전가능하게 결합되는 근위 단부를 가지고, 상기 제 2 암은 상기 제 2 회전자에 구동가능하게 결합되며,
    상기 제 1 기판 지지부는 상기 제 2 암의 원위 단부에 회전가능하게 결합되며,
    상기 제 3 암은 상기 제 3 회전자를 포함하고, 상기 암 지지부에 회전가능하게 결합되는 근위 단부를 가지며,
    상기 제 4 회전자는 상기 암 지지부에 회전가능하게 결합되는 근위 단부를 가지며,
    상기 제 4 암은 제 2 엘보우 조인트에서 상기 제 3 암의 원위 단부에 회전가능하게 결합되는 근위 단부를 가지고, 상기 제 4 암은 상기 제 4 회전자에 구동가능하게 결합되며,
    상기 제 2 기판 지지부는 상기 제 4 암의 원위 단부에 회전가능하게 결합되며,
    상기 합력은 실질적으로 상기 제 1 및 제 2 엘보우 조인트의 각각에서 그리고 실질적으로 상기 제 2 및 제 4 회전자의 상기 원위 단부에서 상기 제 1 및 제 2 암에 배치되는 것을 특징으로 하는 기판 이송 장치.
  20. 제 12 항에 있어서,
    상기 제 1 기판 지지부 및 상기 제 2 기판 지지부는 실질적으로 반대 방향으 로 인출 및 인입되는 것을 특징으로 하는 기판 이송 장치.
  21. 제 12 항에 있어서,
    상기 제 1 기판 지지부 및 상기 제 2 기판 지지부는 실질적으로 같은 방향으로 인출 및 인입되는 것을 특징으로 하는 기판 이송 장치.
  22. 제 12 항에 있어서,
    상기 제 1, 제 2, 제 3 및 제 4 암들의 각각은,
    회전 중심 및 원위 단부를 갖는 제 1 회전가능 암 링크; 및
    상기 제 1 암 링크의 상기 원위 단부에 회전가능하게 결합되는 제 2 암 링크를 포함하며,
    상기 제 1, 제 2, 제 3 및 제 4 암들의 각각의 상기 제 1 암 링크들은 상기 제 1, 제 2, 제 3 및 제 4 회전자들의 각각을 포함하며, 상기 제 1 및 제 2 암들의 상기 제 2 암 링크들의 각각은 상기 제 1 기판 지지부에 회전가능하게 결합되고, 상기 제 3 및 제 4 암들의 상기 제 2 암 링크들의 각각은 상기 제 2 기판 지지부에 회전가능하게 결합되는 것을 특징으로 하는 기판 이송 장치.
  23. 제 1 항에 있어서,
    상기 제 1 및 제 2 고정자들은 상기 제 1 및 제 2 암들을 수직으로 이동시키도록 구성되는 것을 특징으로 하는 기판 이송 장치.
  24. 제 1 고정자 및 제 1 회전자를 포함하는 제 1 무축 회전 모터로서, 상기 제 1 고정자는 선형으로 분포되고, 상기 제 1 회전자는 제 1 암에 결합되는 제 1 무축 회전 모터;
    제 2 고정자 및 제 2 회전자를 포함하는 제 2 무축 회전 모터로서, 상기 제 2 고정자는 선형으로 분포되고, 상기 제 2 회전자는 제 2 암에 결합되며, 상기 제 2 암은 상기 제 1 암에 연결되는 제 2 무축 회전 모터; 및
    상기 제 1 및 제 2 암들 중 적어도 하나에 결합되는 제 1 기판 지지부를 포함하며,
    상기 제 1 고정자 및 상기 제 2 고정자는 상기 제 1 고정자 및 상기 제 2 고정자가 상기 제 1 및 제 2 암들을 실질적으로 둘러싸도록 배열되는 것을 특징으로 하는 기판 이송 장치.
  25. 하우징(housing);
    실질적으로 상기 하우징의 외주 벽들을 따라 선형으로 분포되는 제 1 고정자;
    실질적으로 상기 하우징의 외주 벽들을 따라 선형으로 분포되는 제 2 고정자; 및
    상기 하우징 내에 위치하는 회전 중심을 갖는 제 1 기판 이송 암을 포함하며,
    상기 제 1 기판 이송 암은,
    상기 회전 중심을 중심으로 회전가능하고 제 1 회전자를 형성하는 상부 암;
    상기 회전 중심에서 벗어난 위치에서 상기 상부 암에 제 1 단부에서 회전가능하게 결합되고 제 2 회전자를 형성하는 포어암(forearm); 및
    상기 포어암의 제 2 대향 단부에 회전가능하게 결합되는 제 1 기판 지지부를 가지며,
    상기 제 1 고정자 및 상기 제 1 회전자는 제 1 모터를 형성하고 상기 제 2 고정자 및 상기 제 2 회전자는 제 2 모터를 형성하며,
    상기 상부 암, 상기 포어암 및 상기 제 1 기판 지지부는 상기 제 1 및 제 2 고정자들의 안쪽에 위치하고,
    상기 제 1 및 제 2 모터들과 상기 상부 암 및 상기 포어암의 각각 사이의 연결점(connection point)에서의 상기 제 1 및 제 2 모터들의 모터 출력은 상기 상부 암 및 상기 포어암의 주변(periphery)에 배치되는 합력인 것을 특징으로 하는 기판 이송 장치.
  26. 제 25 항에 있어서,
    상기 포어암은 상기 회전 중심에서 벗어난 위치를 중심으로 회전가능한 제 1 포어암 부재, 및 상기 회전 중심을 중심으로 회전가능한 제 2 포어암 부재를 포함하며,
    상기 제 1 포어암 부재는 상기 제 2 포어암 부재에 구동가능하게 결합되고, 상기 합력은 상기 제 1 포어암 부재를 회전시키기 위해 상기 제 2 포어암 부재에 배치되는 것을 특징으로 하는 기판 이송 장치.
  27. 제 25 항에 있어서,
    실질적으로 상기 하우징의 외주 벽들을 따라 선형으로 분포되는 제 3 고정자;
    실질적으로 상기 하우징의 외주 벽들을 따라 선형으로 분포되는 제 4 고정자; 및
    상기 하우징 내에 위치하는 회전 중심을 갖는 제 2 기판 이송 암을 더 포함하며,
    상기 제 2 기판 이송 암은,
    상기 회전 중심을 중심으로 회전가능하고 제 3 회전자를 형성하는 상부 암;
    상기 회전 중심에서 벗어난 위치에서 상기 상부 암에 제 1 단부에서 회전가능하게 결합되고 제 4 회전자를 형성하는 포어암(forearm); 및
    상기 포어암의 제 2 대향 단부에 회전가능하게 결합되는 제 2 기판 지지부를 가지며,
    상기 제 3 고정자 및 상기 제 3 회전자는 제 3 모터를 형성하고 상기 제 4 고정자 및 상기 제 4 회전자는 제 4 모터를 형성하며,
    상기 제 2 기판 이송 암의 상기 상부 암, 상기 포어암 및 상기 제 2 기판 지지부는 상기 제 3 및 제 4 고정자들의 안쪽에 위치하고,
    상기 제 3 및 제 4 모터들과 상기 상부 암 및 상기 포어암의 각각 사이의 연결점(connection point)에서의 상기 제 3 및 제 4 모터들의 모터 출력은 상기 상부 암 및 상기 포어암의 각각의 주변(periphery)에 배치되는 합력인 것을 특징으로 하는 기판 이송 장치.
  28. 하우징;
    실질적으로 상기 하우징의 외주 벽들을 따라 선형으로 분포되는 제 1 고정자;
    실질적으로 상기 하우징의 외주 벽들을 따라 선형으로 분포되는 제 2 고정자; 및
    상기 하우징 내에 위치하는 회전 중심을 중심으로 회전할 수 있는 제 1 기판 이송 암을 포함하며,
    상기 제 1 기판 이송 암은,
    상기 회전 중심을 중심으로 회전가능하고 제 1 회전자를 형성하는 상부 암;
    상기 회전 중심을 중심으로 회전가능하게 결합되는 제 2 회전자;
    상기 회전 중심에서 벗어난 위치에서 상기 상부 암에 제 1 단부에서 회전가능하게 결합되고 제 2 회전자에 구동가능하게 결합되는 포어암; 및
    상기 포어암의 제 2 대향 단부에 회전가능하게 결합되는 제 1 기판 지지부를 가지며,
    상기 제 1 고정자 및 상기 제 1 회전자는 제 1 모터를 형성하고 상기 제 2 고정자 및 상기 제 2 회전자는 제 2 모터를 형성하며,
    상기 제 1 고정자 및 상기 제 2 고정자는, 상기 제 1 및 제 2 모터들과 상기 제 1 및 제 2 회전자들의 각각 사이의 연결부에서의 모터 출력이 상기 상부 암의 주변(periphery)에 배치되는 합력이도록 구성되는 것을 특징으로 하는 기판 이송 장치.
  29. 제 28 항에 있어서,
    실질적으로 상기 하우징의 외주 벽들을 따라 선형으로 분포되는 제 3 고정자;
    실질적으로 상기 하우징의 외주 벽들을 따라 선형으로 분포되는 제 4 고정자; 및
    상기 회전 중심을 중심으로 회전할 수 있는 제 2 기판 이송 암을 더 포함하며,
    상기 제 2 기판 이송 암은,
    상기 회전 중심을 중심으로 회전가능하고 제 3 회전자를 형성하는 상부 암;
    상기 회전 중심을 중심으로 회전가능하게 결합되는 제 4 회전자;
    상기 회전 중심에서 벗어난 위치에서 상기 상부 암에 제 1 단부에서 회전가능하게 결합되고 제 4 회전자에 구동가능하게 결합되는 포어암; 및
    상기 포어암의 제 2 대향 단부에 회전가능하게 결합되는 제 2 기판 지지부를 가지며,
    상기 제 3 고정자 및 상기 제 3 회전자는 제 3 모터를 형성하고 상기 제 4 고정자 및 상기 제 4 회전자는 제 4 모터를 형성하며,
    상기 제 3 고정자 및 상기 제 4 고정자는, 상기 제 3 및 제 4 모터들과 상기 제 3 및 제 4 회전자들의 각각 사이의 연결부에서의 모터 출력이 상기 제 2 기판 이송 암의 상기 상부 암의 주변(periphery)에 배치되는 합력이도록 구성되는 것을 특징으로 하는 기판 이송 장치.
  30. 제 28 항에 있어서,
    상기 상부 암은 실질적인 링 모양을 포함하고 상기 제 1 고정자와 셀프 베어링 모터를 형성하는 것을 특징으로 하는 기판 이송 장치.
  31. 제 28 항에 있어서,
    상기 상부 암은, 상기 회전 중심에 위치한 축(shaft)에 의해 회전가능하게 지지되는 세장형 링크 부재를 포함하는 것을 특징으로 하는 기판 이송 장치.
  32. 프레임;
    실질적으로 상기 프레임의 주변(periphery) 근처에 선형으로 분포되는 제 1 고정자;
    실질적으로 상기 프레임의 주변(periphery) 근처에 선형으로 분포되는 제 2 고정자; 및
    제 1 기판 이송 암을 포함하며,
    상기 제 1 기판 이송 암은,
    상기 프레임 내에 위치하는 회전 중심을 중심으로 회전가능하며, 각각 원위 단부를 갖는 제 1 및 제 2 회전자들;
    각각 상기 제 1 및 제 2 회전자들의 각각의 원위 단부에 제 1 단부에서 회전가능하게 결합되는 제 1 및 제 2 암 링크들; 및
    상기 제 1 및 제 2 링크들의 각각의 제 2 단부에 회전가능하게 결합되는 제 1 기판 지지부를 가지며,
    상기 제 1 및 제 2 고정자들은 상기 제 1 및 제 2 회전자들에 합력을 가하도록 구성되고, 상기 합력은 상기 제 1 및 제 2 암 링크들의 주변(peripheral)에 위치하는 것을 특징으로 하는 기판 이송 장치.
  33. 제 32 항에 있어서,
    실질적으로 상기 프레임의 주변(periphery) 근처에 선형으로 분포되는 제 3 고정자;
    실질적으로 상기 프레임의 주변(periphery) 근처에 선형으로 분포되는 제 4 고정자; 및
    제 2 기판 이송 암을 더 포함하며,
    상기 제 2 기판 이송 암은,
    상기 회전 중심을 중심으로 회전가능하며, 각각 원위 단부를 갖는 제 3 및 제 4 회전자들;
    각각 상기 제 3 및 제 4 회전자들의 각각의 원위 단부에 제 1 단부에서 회전가능하게 결합되는 제 3 및 제 4 암 링크들; 및
    상기 제 3 및 제 4 링크들의 각각의 제 2 단부에 회전가능하게 결합되는 제 2 기판 지지부를 가지며,
    상기 제 3 및 제 4 고정자들은 상기 제 3 및 제 4 회전자들에 합력을 가하도록 구성되고, 상기 합력은 상기 제 3 및 제 4 암 링크들의 주변(peripheral)에 위치하는 것을 특징으로 하는 기판 이송 장치.
KR1020097025511A 2007-05-08 2008-05-08 기판 이송 장치 KR101676380B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US91672407P 2007-05-08 2007-05-08
US60/916,724 2007-05-08
PCT/US2008/005881 WO2008140727A1 (en) 2007-05-08 2008-05-08 Substrate transport apparatus

Publications (2)

Publication Number Publication Date
KR20100029086A true KR20100029086A (ko) 2010-03-15
KR101676380B1 KR101676380B1 (ko) 2016-11-15

Family

ID=40002539

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020097025511A KR101676380B1 (ko) 2007-05-08 2008-05-08 기판 이송 장치

Country Status (4)

Country Link
JP (1) JP5318856B2 (ko)
KR (1) KR101676380B1 (ko)
TW (1) TWI450356B (ko)
WO (1) WO2008140727A1 (ko)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9186799B2 (en) 2011-07-13 2015-11-17 Brooks Automation, Inc. Compact direct drive spindle
CN116054517A (zh) * 2021-10-26 2023-05-02 励富创瑞士控股有限公司 节能无刷微启动发电机

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20040003561A (ko) * 2002-07-03 2004-01-13 삼성전자주식회사 복수의 챔버를 가진 반도체 제조장치
KR100505960B1 (ko) * 1997-01-21 2005-08-05 브룩스 오토메이션 인코퍼레이티드 관절 아암을 구비한 기판이송장치
JP2006109655A (ja) * 2004-10-07 2006-04-20 Nsk Ltd ダイレクトドライブモータ

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE4409992A1 (de) * 1994-03-23 1995-09-28 Skf Textilmasch Komponenten Einzelmotorischer Antrieb eines schaftlosen Spinnrotors einer Offenend-Spinnmaschine
KR0129582B1 (ko) * 1994-06-23 1998-04-06 김주용 다중 기판 전달 장치
US6299404B1 (en) * 1995-10-27 2001-10-09 Brooks Automation Inc. Substrate transport apparatus with double substrate holders
JP4151855B2 (ja) * 1997-09-17 2008-09-17 株式会社エフオーアイ 真空チャンバ
TW466576B (en) * 1999-06-15 2001-12-01 Ebara Corp Substrate processing apparatus

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100505960B1 (ko) * 1997-01-21 2005-08-05 브룩스 오토메이션 인코퍼레이티드 관절 아암을 구비한 기판이송장치
KR20040003561A (ko) * 2002-07-03 2004-01-13 삼성전자주식회사 복수의 챔버를 가진 반도체 제조장치
JP2006109655A (ja) * 2004-10-07 2006-04-20 Nsk Ltd ダイレクトドライブモータ

Also Published As

Publication number Publication date
TW200913114A (en) 2009-03-16
WO2008140727A1 (en) 2008-11-20
JP2010527148A (ja) 2010-08-05
JP5318856B2 (ja) 2013-10-16
TWI450356B (zh) 2014-08-21
KR101676380B1 (ko) 2016-11-15

Similar Documents

Publication Publication Date Title
US8747050B2 (en) Substrate transport apparatus
US10406679B2 (en) Unequal link SCARA arm
US8777547B2 (en) Systems, apparatus and methods for transporting substrates
US8680803B2 (en) Substrate processing apparatus with motors integral to chamber walls
KR101917335B1 (ko) 동일축 구동 진공 로봇
US7704036B2 (en) Drive source and transportation robot
US9245783B2 (en) Vacuum robot with linear translation carriage
KR20110013345A (ko) 자성 스핀들 베어링들을 포함하는 로봇 드라이브
WO2008124108A1 (en) Substrate transport apparatus with multiple independently movable articulated arms
KR20010092771A (ko) 공동 회전축 상에 다중 아암을 구비한 기판이송장치
JP4445075B2 (ja) 真空モータ及び搬送装置
TW200536689A (en) Carrying device, method of controlling the same, and vacuum processing device
KR20110052462A (ko) Z 동작 및 관절 아암을 갖는 선형 진공 로봇
KR101676380B1 (ko) 기판 이송 장치
US20140103752A1 (en) Hybrid Motor
TW201233511A (en) Coaxial harmonic drive vacuum robot
US20230085667A1 (en) Substrate transfer systems and methods of use thereof
JPS62230513A (ja) 移送装置
JP2012016215A (ja) アクチュエータ及び基板搬送ロボット
JPH04264749A (ja) ウエハ移送ロボット
JP2012016214A (ja) アクチュエータ及び基板搬送ロボット
JPH0297268A (ja) 磁気浮上式搬送アーム
JPS61295853A (ja) 真空装置用無接触式回転導入装置

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
A107 Divisional application of patent
E601 Decision to refuse application
J201 Request for trial against refusal decision
J301 Trial decision

Free format text: TRIAL DECISION FOR APPEAL AGAINST DECISION TO DECLINE REFUSAL REQUESTED 20150226

Effective date: 20160728

Free format text: TRIAL NUMBER: 2015101001059; TRIAL DECISION FOR APPEAL AGAINST DECISION TO DECLINE REFUSAL REQUESTED 20150226

Effective date: 20160728

S901 Examination by remand of revocation
GRNO Decision to grant (after opposition)
GRNT Written decision to grant