KR20100019414A - Technique for atomic layer deposition - Google Patents

Technique for atomic layer deposition Download PDF

Info

Publication number
KR20100019414A
KR20100019414A KR1020097020540A KR20097020540A KR20100019414A KR 20100019414 A KR20100019414 A KR 20100019414A KR 1020097020540 A KR1020097020540 A KR 1020097020540A KR 20097020540 A KR20097020540 A KR 20097020540A KR 20100019414 A KR20100019414 A KR 20100019414A
Authority
KR
South Korea
Prior art keywords
atoms
substrate surface
silicon
substrate
atomic layer
Prior art date
Application number
KR1020097020540A
Other languages
Korean (ko)
Inventor
비크람 싱흐
해롤드 엠 퍼싱
에드먼드 제이 윈더
제프리 에이. 홉우드
안소니 레나우
Original Assignee
베리안 세미콘덕터 이큅먼트 어소시에이츠, 인크.
노스이스턴 유니버시티
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 베리안 세미콘덕터 이큅먼트 어소시에이츠, 인크., 노스이스턴 유니버시티 filed Critical 베리안 세미콘덕터 이큅먼트 어소시에이츠, 인크.
Publication of KR20100019414A publication Critical patent/KR20100019414A/en

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/24Deposition of silicon only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/452Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/4554Plasma being used non-continuously in between ALD reactions

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Metallurgy (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Electromagnetism (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

A technique for atomic layer deposition is disclosed. In one particular exemplary embodiment,, the technique may be realized by an apparatus for atomic layer. deposition. The apparatus may comprise. a process chamber having a substrate platform to hold at least one substrate. The apparatus may also comprise a supply of a precursor substance, wherein the precursor substance comprises atoms of at least one first. species and atoms of at least one second species, and wherein the supply provides the precursor substance to saturate a surface of the at least one substrate. The apparatus may further comprise a plasma source of metastable atoms of at least one third species, wherein the metabstable atoms are capable of desbrbing the atoms of the at least one second species from the saturated surface of the at least one substrate to form one or more atomic layers of the at least one first species.

Description

원자층 증착을 위한 기술{TECHNIQUE FOR ATOMIC LAYER DEPOSITION}TECHNIQUE FOR ATOMIC LAYER DEPOSITION}

본 발명은 일반적으로 반도체 제조에 관한 것으로, 더 상세하게는 원자층 증착을 위한 기술에 관한 것이다.FIELD OF THE INVENTION The present invention generally relates to semiconductor fabrication, and more particularly to techniques for atomic layer deposition.

현대 반도체 제조는 고품질 박막 구조의 정밀성, 원자-수준 증착에 대한 필요를 낳았다. 이러한 필요에 대하여, 통칭하여 '원자층 증착(ALD)' 또는 '원자층 에피택시(ALE)'라고 알려진 다수의 필름 성장 기술이 최근에 발전되어 왔다. ALD 기술은 원자층의 정확성을 갖고 균일하고 컨포멀한(conformal) 필름을 증착할 수 있다. 전형적 ALD 공정은 순차적 자기 제어방식의 표면반응을 사용하여 단층막 두께 레짐(monolayer thickness regime)에서 필름 성장의 조절을 달성하게 한다. 필름 정합성(conformity) 및 균일성에 대한 우수한 잠재력 때문에, ALD는 고유전상수(high-k) 게이트 옥사이드(gate oxide), 저장 캐패시터(storage capacitor) 유전체, 및 미세 전자 장치(microelectronic devices)에서의 구리 확산 장벽(copper diffusion barriers) 등과 같은 진보된 어플리케이션에서 선택하는 기술이 되었다. 사실, ALD 기술은 나노미터(nm) 또는 서브-나노미터 크기의 박막 구조의 정밀한 제어로부터 이익을 얻는 임의의 진보된 어플리케이션에 유용할 수 있다.Modern semiconductor manufacturing has created a need for precision, atomic-level deposition of high quality thin film structures. For this need, a number of film growth techniques, collectively known as 'Atomic Layer Deposition (ALD)' or 'Atomic Layer Epitaxy (ALE'), have recently been developed. ALD technology can deposit uniform and conformal films with atomic layer accuracy. A typical ALD process uses sequential self-regulated surface reactions to achieve control of film growth in a monolayer thickness regime. Because of its excellent potential for film conformity and uniformity, ALD is a high-k gate oxide, storage capacitor dielectric, and copper diffusion barrier in microelectronic devices. It has become the technology of choice for advanced applications such as copper diffusion barriers. In fact, ALD technology may be useful for any advanced application that benefits from precise control of nanometer (nm) or sub-nanometer sized thin film structures.

오늘날까지, 그러나, 대부분 기존 증착 기술은 고유의 단점을 가져 반도체 산업에서 대량생산에 안심하고 적용되지 못해왔다. 예를 들어, '분자 빔 에피택시' (MBE)로 알려진 증착기술은 셔터 조절 개별 분출셀(effusion cells)을 사용하여 상이한 종의 원자를 기판 표면으로 보내고, 기판 표면상에서 이 원자들이 서로 반응하여 원하는 단층막을 형성한다. 고체 소스(solid-source) MBE 공정에서, 분출셀은 성분 원자(ingredient atom)의 열이온 방출을 위하여 상당히 고열까지 가열되어야만 한다. 더욱이, 극고진공(extremely high vacuum)이 유지되어야만 하는데, 성분 원자가 기판에 도달하기 전에 성분 원자 사이의 충돌이 없도록 하기 위해서이다. 고온 및 고진공이 필요함에도 불구하고, MBE 필름 성장률은 대량생산 목적으로는 상당히 낮다.To date, however, most existing deposition techniques have inherent disadvantages and have not been reliably applied to mass production in the semiconductor industry. For example, a deposition technique known as 'molecular beam epitaxy' (MBE) uses shutter-controlled individual effusion cells to send different species of atoms to the substrate surface, and these atoms react with each other on the substrate surface to produce the desired A monolayer film is formed. In a solid-source MBE process, the ejection cell must be heated to a fairly high temperature to release the heat ions of the ingredient atom. Moreover, extremely high vacuum must be maintained in order to ensure that there is no collision between the component atoms before they reach the substrate. Despite the need for high temperature and high vacuum, MBE film growth rates are quite low for mass production purposes.

다른 ALD 기술이 온도 변조 원자층 에피택시(temperature-modulated atomic layer epitaxy)(ALE)로 알려져 있다. 이 기술에 따라 실리콘 필름을 성장시키기 위하여, 다음 단계가 반복된다. 먼저, 실란(silane, SiH4)의 단층막이 180℃ 내지 400℃ 사이의 비교적 저온에서 기판 표면에 증착된다. 그 후에, 기판 온도는 약 550℃ 까지 올라 수소 원자를 탈착시키고, 실리콘의 단층막을 남긴다. 이 기술은 제어된 층대층(layer-by-layer) 필름 성장을 성취하지만, 반복되는 온도 스파이크에 대한 필요 때문에 대형 웨이퍼에 걸친 균일성 및 층에서 층으로의 반복성을 유지하기 어렵다. 또한, 기판을 고온으로 가열하면 전공정 단계에서 기판에 형성된 섬세한 구조를 손상시키거나 파괴할 수 있다.Another ALD technique is known as temperature-modulated atomic layer epitaxy (ALE). In order to grow a silicon film according to this technique, the following steps are repeated. First, a monolayer film of silane (SiH 4 ) is deposited on the substrate surface at a relatively low temperature between 180 ° C and 400 ° C. Subsequently, the substrate temperature rises to about 550 ° C. to desorb the hydrogen atoms, leaving a single layer film of silicon. This technique achieves controlled layer-by-layer film growth, but it is difficult to maintain uniformity across layers and repeatability from layer to layer because of the need for repeated temperature spikes. In addition, heating the substrate to a high temperature may damage or destroy the delicate structure formed on the substrate in the preprocessing step.

하나의 기존 ALD 기술은 이온 충돌(ion bombardment)을 사용하여 초과 수소 원자를 탈착시킨다. 이 기술에 따르면, 디실란(disilane)(Si2H6) 가스가 기판 표면에서 디실란 단층막을 형성하는데 사용될 수 있다. 기판 표면은 그 후에 헬륨 또는 아르곤 이온과 충돌되어 디실란 단층막으로부터 초과 수소 원자를 탈착시켜 실리콘 단층막을 형성한다. 아마도 과도한 에너지의 이온 충돌(~50 eV 이온 에너지) 때문에, 필름 성장률은 상당히 낮고(사이클당 0.15 단층막 이하), 강한 에너지의 이온 플럭스는 본질적으로 라인-오브-사이트(line-of-sight) 공정인데, 상기 공정은 따라서 고도로 컨포멀한 증착에 대한 원자층 증착의 잠재력을 양보할 수 있다. 또한, 강한 에너지의 이온은 또한 결정결함(crystalline defects)을 야기할 수 있는데, 이 결함은 증착후 어닐링(post-deposition annealing)을 필요로 할 수 있다.One conventional ALD technique uses ion bombardment to desorb excess hydrogen atoms. According to this technique, disilane (Si 2 H 6 ) gas can be used to form a disilane monolayer on the substrate surface. The substrate surface then collides with helium or argon ions to desorb excess hydrogen atoms from the disilane monolayer to form a silicon monolayer. Perhaps due to excessive energy ion bombardment (~ 50 eV ion energy), the film growth rate is quite low (less than 0.15 monolayer per cycle), and the strong energy ion flux is essentially a line-of-sight process. The process can thus yield the potential of atomic layer deposition for highly conformal deposition. In addition, strong energy ions can also cause crystalline defects, which may require post-deposition annealing.

또한, ALD-증착된 박막, 특히 3-D 구조(예: FinFETs)에서 컨포멀한 도핑은 공정 기술자들에게 해결해야할 과제로 남아있다. 기존 이온 주입 기술은 도펀트를 3-D로 컨포멀하게 뒤덮인 구조에 도입하는데 바람직하지 않은데, 그것은 도펀트 분배의 균일성을 성취하는 것이 어려울 뿐 아니라 임플란트 후 어닐(post-implant anneal)로부터 초래될 수 있는 잠재적인 손상 때문이기도 하다.In addition, conformal doping in ALD-deposited thin films, especially 3-D structures such as FinFETs, remains a challenge for process technicians. Existing ion implantation techniques are undesirable for introducing dopants into conformally covered structures in 3-D, which is not only difficult to achieve uniformity of dopant distribution but can also result from post-implant anneal. It is also due to potential damage.

상술한 점에 비추어, 상술한 결함 및 결점을 극복하는 원자층 증착 해결책을 제공하는 것이 바람직할 것이다.In view of the foregoing, it would be desirable to provide an atomic layer deposition solution that overcomes the deficiencies and drawbacks described above.

원자층 증착에 대한 기술이 개시된다. 하나의 특정 전형적인 실시예에서, 상기 기술은 원자층 증착용 장치에 의해 실현될 수 있다. 상기 장치는 적어도 하나의 기판을 지지하는 기판 플랫폼을 구비하는 공정 챔버를 포함할 수 있다. 상기 장치는 또한 전구체(precursor) 물질의 공급기(supply)를 포함할 수 있으며, 상기 전구체 물질은 적어도 하나의 제1종(species)의 원자들 및 적어도 하나의 제2종의 원자들을 포함하고, 상기 공급기는 상기 전구체 물질을 공급하여 상기 적어도 하나의 기판 표면을 포화시킨다. 상기 장치는 적어도 하나의 제3종의 준안정(metastable) 원자들의 플라즈마 소스를 추가로 포함하고, 상기 준안정 원자들은 상기 적어도 하나의 기판의 포화된 표면으로부터 상기 적어도 하나의 제2종의 원자들을 탈착시켜 상기 적어도 하나의 제1종의 하나 이상의 원자층을 형성할 수 있다.Techniques for atomic layer deposition are disclosed. In one particular exemplary embodiment, the technique can be realized by an apparatus for atomic layer deposition. The apparatus may include a process chamber having a substrate platform for supporting at least one substrate. The apparatus may also include a supply of precursor material, the precursor material comprising at least one atom of at least one first species and at least one atom of the second kind, A feeder feeds the precursor material to saturate the at least one substrate surface. The apparatus further comprises a plasma source of at least one third type of metastable atoms, wherein the metastable atoms are further capable of removing the at least one second type of atoms from the saturated surface of the at least one substrate. Desorption may form one or more atomic layers of the at least one first species.

다른 특정 전형적인 실시예에서, 상기 기술은 원자층 증착 방법으로서 실현될 수 있다. 상기 방법은 적어도 하나의 제1종의 원자들 및 적어도 하나의 제2종의 원자들을 갖는 전구체 물질로 기판 표면을 포화시킴으로써, 기판 표면상에 전구체 물질의 단층막을 형성하도록 하는 단계를 포함할 수 있다. 상기 방법은 또한 기판 표면을 제3종의 플라즈마-생성 준안정 원자들에 노출시키는 단계를 포함할 수 있는데, 상기 준안정 원자는 기판 표면으로부터 적어도 하나의 제2종의 원자들을 탈착시켜 적어도 하나의 제1종의 원자층을 형성하도록 한다. 원자층 증착 방법은 다중 증착 사이클을 포함하여 복수의 제1종 원자층을 형성하도록 할 수 있고, 여기서 각 증착 사이클은 상술한 단계를 반복하여 하나의 제1종 원자층을 형성한다.In another particular exemplary embodiment, the technique can be realized as an atomic layer deposition method. The method may include saturating the substrate surface with a precursor material having at least one first type of atoms and at least one second type of atoms, thereby forming a monolayer film of precursor material on the substrate surface. . The method may also include exposing the substrate surface to a third species of plasma-generating metastable atoms, wherein the metastable atoms desorb at least one second species of atoms from the substrate surface. The atomic layer of the first kind is formed. The atomic layer deposition method may include forming multiple atomic layers of multiple types, including multiple deposition cycles, where each deposition cycle repeats the steps described above to form one atomic layer of type 1.

다른 특정 전형적인 실시예에서, 상기 기술은 원자층 증착을 위한 장치에 의해 실현될 수 있다. 상기 장치는 적어도 하나의 기판을 지지하는 기판 플랫폼을 구비하는 공정 챔버를 포함할 수 있다. 상기 장치는 또한 디실란(Si2H6) 공급기를 포함할 수 있고, 상기 공급기는 적어도 하나의 기판 표면을 포화시키기에 충분한 양의 디실란을 공급한다. 상기 장치는 또한 헬륨 공급기를 포함할 수 있다. 상기 장치는 공정 챔버에 결합된 플라즈마 챔버를 추가로 포함할 수 있고, 상기 플라즈마 챔버는 헬륨 공급기에 의하여 공급된 헬륨으로부터 헬륨 준안정 원자를 생성한다. 상기 준안정 원자는 적어도 하나의 포화된 기판 표면으로부터 수소 원자를 탈착시킬 수 있으며, 그것에 의해 실리콘의 하나 이상의 원자층을 형성한다.In another particular exemplary embodiment, the technique can be realized by an apparatus for atomic layer deposition. The apparatus may include a process chamber having a substrate platform for supporting at least one substrate. The apparatus may also include a disilane (Si 2 H 6 ) feeder, which supplies a sufficient amount of disilane to saturate at least one substrate surface. The device may also include a helium supply. The apparatus may further comprise a plasma chamber coupled to the process chamber, wherein the plasma chamber generates helium metastable atoms from helium supplied by a helium supply. The metastable atoms can desorb hydrogen atoms from at least one saturated substrate surface, thereby forming one or more atomic layers of silicon.

또 다른 특정 전형적인 실시예에서, 상기 기술은 컨포멀한 도핑 방법으로서 실현될 수 있다. 상기 방법은 하나 이상의 증착 사이클로 기판 표면에 박막을 형성하는 단계를 포함할 수 있고, 상기 하나 이상의 증착 사이클들 각각에서, 적어도 하나의 제1종의 원자들 및 적어도 하나의 제2종의 원자들을 갖는 전구체 물질이 공급되어 기판 표면을 포화시키고, 그 후, 적어도 하나의 제2종의 원자들은 포화된 기판 표면으로부터 탈착되어 적어도 하나의 제1종의 하나 이상의 원자층을 형성한다. 상기 방법은 또한, 하나 이상의 다중 증착 사이클에서, 전구체 물질 공급의 적어도 일부를 도펀트 전구체로 치환함으로써, 적어도 하나의 제1종의 하나 이상의 원자층을 도핑하는 단계를 포함할 수 있다.In another particular exemplary embodiment, the technique can be realized as a conformal doping method. The method may include forming a thin film on a substrate surface in one or more deposition cycles, each having at least one first type of atoms and at least one second type of atoms in each of the one or more deposition cycles. The precursor material is supplied to saturate the substrate surface, and then the at least one second kind of atoms are desorbed from the saturated substrate surface to form at least one atomic layer of the at least one first kind. The method may also include doping at least one atomic layer of at least one first species by substituting at least a portion of the precursor material supply with a dopant precursor in one or more multiple deposition cycles.

본 발명이 이제 첨부된 도면들에서 예시된 바와 같이 전형적인 실시예들을 참조하여 더 상세히 설명될 것이다. 본 발명이 전형적인 실시예를 참조하여 이하에서 설명되는 반면, 본 발명은 이에 한정되지 않는다는 것이 이해되어야 한다. 여기에 개시된 내용에 접근할 수 있는 당해 기술 분야의 통상적인 지식을 갖는 자라면 다른 분야의 사용뿐만 아니라 추가적 이행, 변형 및 실시예들을 인식할 것이며, 이것들은 본원에서 개시한 본 발명의 범위에 속하며 이것들과 관련하여 본 발명이 상당히 유용할 것이다. The invention will now be described in more detail with reference to exemplary embodiments as illustrated in the accompanying drawings. While the invention is described below with reference to exemplary embodiments, it is to be understood that the invention is not so limited. Those of ordinary skill in the art having access to the disclosure herein will recognize additional implementations, modifications, and embodiments, as well as other uses, which are within the scope of the invention disclosed herein. In connection with these the present invention will be quite useful.

본 발명의 완전한 이해를 돕기 위하여, 첨부된 도면에 대하여 참조 번호가 부여되는데, 여기서 동일한 요소는 동일한 숫자로 참조된다. 도면은 본 발명을 한정하도록 해석되어서는 아니되며, 단지 예시용으로만 의도된다. In order to facilitate a thorough understanding of the present invention, reference numerals are given to the accompanying drawings in which like elements are referred to by like numerals. The drawings are not to be construed as limiting the invention, but are intended for illustrative purposes only.

도 1은 본 발명의 일 실시예에 따른 전형적인 원자층 증착 사이클을 예시하는 블록 다이어그램이다.1 is a block diagram illustrating an exemplary atomic layer deposition cycle in accordance with one embodiment of the present invention.

도 2는 본 발명의 일 실시예에 따른 전형적인 원자층 증착 사이클을 예시하는 블록 다이어그램이다.2 is a block diagram illustrating an exemplary atomic layer deposition cycle in accordance with one embodiment of the present invention.

도 3은 본 발명의 일 실시예에 따른 원자층 증착을 위한 전형적인 시스템을 예시하는 블록 다이어그램이다.3 is a block diagram illustrating an exemplary system for atomic layer deposition in accordance with an embodiment of the present invention.

도 4는 본 발명의 일 실시예에 따른 원자층 증착을 위한 전형적인 방법을 도시하는 플로우 차트이다.4 is a flow chart illustrating an exemplary method for atomic layer deposition in accordance with an embodiment of the present invention.

기존 원자층 증착 기술과 관련한 상술한 문제를 해결하기 위하여, 본 발명의 실시예들은 ALD 및 인시투(in situ) 도핑 기술을 도입한다. 준안정 원자를 사용하여 초과 원자를 탈착시킬 수 있다. 준안정 원자는 예를 들어 플라즈마 챔버에서 생 성될 수 있다. 예시적인 목적으로, 다음의 설명이 헬륨 준안정 원자를 사용하여 도핑되거나 도핑되지 않은 실리콘을 증착하는 방법 및 장치에 촛점을 맞춘다. 동일한 또는 유사한 기술로, 다른 종의 박막이 또한 헬륨 또는 기타 준안정 원자를 사용하여 성장될 수 있음이 이해되어야 한다.In order to solve the above-mentioned problems with existing atomic layer deposition techniques, embodiments of the present invention introduce ALD and in situ doping techniques. Metastable atoms can be used to desorb excess atoms. Metastable atoms can be generated, for example, in a plasma chamber. For illustrative purposes, the following description focuses on a method and apparatus for depositing doped or undoped silicon using helium metastable atoms. By the same or similar technique, it should be understood that other species thin films can also be grown using helium or other metastable atoms.

도 1은 본 발명의 일 실시예에 따른 전형적인 원자층 증착 사이클(100)을 도시하는 블록 다이어그램이다. 전형적인 원자층 증착 사이클(100)은 포화 단계(ㄴsaturation phase, 10) 및 탈착 단계(desorption, 12)를 포함할 수 있다.1 is a block diagram illustrating an exemplary atomic layer deposition cycle 100 in accordance with one embodiment of the present invention. A typical atomic layer deposition cycle 100 may include a saturation phase 10 and a desorption phase 12.

포화 단계(10)에서, 기판(102)은 디실란(Si2H6) 가스에 노출될 수 있다. 실리콘 필름 성장을 위하여, 기판 표면은, 예를 들어, 실리콘, 실리콘-온-인슐레이터(silicon-on-insulator, SOI) 및/또는 이산화규소를 포함한다. 디실란 가스는 실리콘 전구체로서 기능하고, 기판 표면을 포화시키도록 충분히 높은 도오즈(dose)가 공급되어 기판 표면상에 디실란 단층막(104)을 형성한다. 그러나 본원 전체를 통하여, 용어 '포화'는 기판 표면이 이러한 표면을 '포화'시키도록 사용된 물질에 의해 부분적으로만 덮여있는 상황을 배제하지 않는다. 공정 환경뿐만 아니라 기판(102)은 신중하게 선택된 온도에서 유지되어 전구체 가스가 기판 표면상에서 응축(condense)되거나 분해(decompose)되는 것을 방지할 수 있다. 이 실시예에서, 기판(102)은 180℃와 400℃ 사이의 온도로 가열되어 유지되나, 기타 온도 범위내로 기판(102)을 가열 및 유지하는 것도 본 발명의 범위에 속한다.In the saturation step 10, the substrate 102 may be exposed to disilane (Si 2 H 6 ) gas. For silicon film growth, the substrate surface includes, for example, silicon, silicon-on-insulator (SOI) and / or silicon dioxide. The disilane gas functions as a silicon precursor and is supplied with a sufficiently high dose to saturate the substrate surface to form a disilane monolayer film 104 on the substrate surface. However, throughout this application, the term 'saturation' does not exclude the situation where the substrate surface is only partially covered by the material used to 'saturate' this surface. The substrate 102 as well as the process environment can be maintained at a carefully selected temperature to prevent the precursor gas from condensing or decomposing on the substrate surface. In this embodiment, the substrate 102 is maintained heated to a temperature between 180 ° C. and 400 ° C., but it is also within the scope of the present invention to heat and maintain the substrate 102 within other temperature ranges.

탈착 단계(12)에서, 기판(102)은 전구체 단층막으로부터 초과 원자를 탈착시 키기에 충분한 에너지를 갖는 준안정 원자에 노출될 수 있다. 이 실시예에 따르면, 헬륨 준안정 원자는 포화 단계(10)에서 형성된 디실란 단층막(104)으로부터 부분적으로 또는 완전히 초과 수소 원자를 탈착시키는데 사용될 수 있다. 헬륨 준안정 원자는 예를 들어 유도 결합 플라즈마 내에서 헬륨 가스로부터 생성될 수 있다. 각 헬륨 준안정 원자는 약 20 eV의 내부 에너지를 가질 수 있는데, 상기 에너지는 실리콘 원자와 수소 원자 사이의 결합을 깨는데 사용될 수 있다. 몇몇 실시예들에 따르면, 불활성 기체(헬륨, 아르곤 등)의 준안정 및 기타 여기 상태는 기판 표면에서 탈착 반응을 간접적으로 구동할 수 있는 광자(photon)를 배출하려는 경향이 있다. 초과 수소 원자가 제거된 후에, 실리콘 단층막(106)이 기판 표면상에 형성될 수 있다. 몇몇 실시예들에 따르면, 초과 수소 원자가 모두 제거되지는 않을 수 있다. 따라서, 탈착 단계(12)의 끝에서, 실리콘 단층막(106)의 표면은 댕글링 본드(dangling bonds)와 수소로 종단된 실리콘 원자의 혼합일 수 있다. In the desorption step 12, the substrate 102 may be exposed to metastable atoms having sufficient energy to desorb excess atoms from the precursor monolayer. According to this embodiment, helium metastable atoms can be used to partially or completely desorb excess hydrogen atoms from the disilane monolayer 104 formed in the saturation step 10. Helium metastable atoms can be generated, for example, from helium gas in an inductively coupled plasma. Each helium metastable atom can have an internal energy of about 20 eV, which can be used to break the bond between a silicon atom and a hydrogen atom. According to some embodiments, the metastable and other excited states of inert gases (helium, argon, etc.) tend to emit photons that can indirectly drive the desorption reaction at the substrate surface. After the excess hydrogen atoms are removed, a silicon monolayer film 106 can be formed on the substrate surface. According to some embodiments, not all of the excess hydrogen atoms may be removed. Thus, at the end of the desorption step 12, the surface of the silicon monolayer film 106 may be a mixture of dangling bonds and silicon atoms terminated with hydrogen.

포화 단계(10) 및 탈착 단계(12) 사이에서, 기판 표면은 하나 이상의 불활성 가스(예: 헬륨 또는 아르곤)로 퍼지(purge)되어 초과 반응 가스는 물론 부산물(by-product)(예: 수소)을 제거할 수 있다. 포화 단계(10) 및 탈착 단계(12)를 통하여 완료된 사이클은 하나의 '증착 사이클'이라고 칭해질 수 있다. 한번에 하나의 단층막(또는 단편 단층막)씩 증착 사이클(100)이 반복되어 순수 실리콘 박막(예: 결정(crystalline), 다결정(polycrystalline), 비결정 타입, 등)을 형성할 수 있다.Between saturation step 10 and desorption step 12, the substrate surface is purged with one or more inert gases (e.g. helium or argon) to allow excess reactant gases as well as by-products (e.g. hydrogen). Can be removed. The cycle completed through the saturation step 10 and the desorption step 12 may be referred to as one 'deposition cycle'. The deposition cycle 100 may be repeated one monolayer (or fragment monolayer) at a time to form a pure silicon thin film (eg, crystalline, polycrystalline, amorphous type, etc.).

본 발명의 실시예에 따르면, 이온보다는 준안정 원자를 사용하여 전구체 물질로 포화된 기판 표면으로부터 초과 원자를 탈착시키는 것이 이점이 있다. 탈착 목적으로 준안정 원자가 플라즈마에서 생성되는 경우, 플라즈마에서 생성된 전하 입자(charged particles)(예: 전자 및 이온)가 기판 표면에 도달하는 것을 방지하여, 이러한 전하 입자에 기인한 이방성(anisotropic) 필름 특성(properties)이 감소되거나 최소화될 수 있도록 할 수 있다. 다수의 수단(measures)이 전하 입자가 기판 표면상에 형성된 ALD 필름에 영향을 주는 것을 방지하기 위하여 취해질 수 있다. 예를 들어, 하나 이상의 장치(예: 배플(baffle) 또는 스크린)가 플라즈마 소스와 기판 사이에 삽입될 수 있다. 이러한 장치들은 추가로 바이어스되어 원치않는 전하 입자를 여과시킬 수 있다. 또한, 전자기장이 전하 입자를 편향시키도록 설치될 수 있다. 다른 실시예에 따르면, 기판 표면의 배향(orientation)이 조절되어 전하 입자의 입사 유입량(incident influx)을 최소화할 수 있다. 예를 들어, 기판 플랫폼이 뒤집히거나 플라즈마 소스의 시선(line of sight)으로부터 돌려질 수 있다. 또한, 플라즈마 소스는 전하 입자의 상당 부분이 산란 또는 충돌에 기인하여 기판 표면에 도달하지 못하도록 기판으로부터 거리를 두고 위치할 수 있다.According to embodiments of the present invention, it is advantageous to desorb excess atoms from the substrate surface saturated with precursor material using metastable atoms rather than ions. When metastable atoms are generated in the plasma for desorption purposes, anisotropic films resulting from such charged particles are prevented by preventing charged particles (e.g., electrons and ions) generated in the plasma from reaching the substrate surface. Properties can be reduced or minimized. Numerous measures can be taken to prevent the charge particles from affecting the ALD film formed on the substrate surface. For example, one or more devices (eg baffles or screens) may be inserted between the plasma source and the substrate. Such devices can be further biased to filter out unwanted charge particles. In addition, an electromagnetic field may be installed to deflect the charged particles. According to another embodiment, the orientation of the substrate surface may be adjusted to minimize incident influx of charged particles. For example, the substrate platform may be turned over or turned away from the line of sight of the plasma source. In addition, the plasma source may be located at a distance from the substrate such that a significant portion of the charged particles do not reach the substrate surface due to scattering or collision.

도 2를 참조하면, 본 발명의 다른 실시예에 따른 전형적인 원자층 증착 사이클(200)을 도시하는 블록 다이어그램이 도시되어 있다. 이 실시예에 따르면, 도 1에 예시된 ALD 공정이 단일종(singel-species) 박막을 증착(deposit)하기 위해서 뿐만 아니라 박막에 불순물을 도입하거나, 다종(multi-species) 및/또는 교대층(alternate-layered) 필름을 형성하기 위해서도, 모두 잘 제어되는 방법으로 사용될 수 있다. 예를 들어, 도핑되지 않은 실리콘 필름과 별도로, 도핑된 실리콘 필름이 또한 약간 변형된 ALD 공정에 기초하여 성장될 수 있다. 이 변형 ALD 공정에 따르면, 하나 이상의 증착 사이클(100)이 하나 이상의 증착 사이클(200)로 대체될 수 있다.With reference to FIG. 2, shown is a block diagram illustrating a typical atomic layer deposition cycle 200 in accordance with another embodiment of the present invention. According to this embodiment, the ALD process illustrated in FIG. 1 introduces impurities to the thin film as well as deposits single-species thin films, or multi-species and / or alternating layers ( To form alternate-layered films, all can be used in a well controlled manner. For example, apart from undoped silicon films, doped silicon films can also be grown based on slightly modified ALD processes. According to this modified ALD process, one or more deposition cycles 100 may be replaced with one or more deposition cycles 200.

증착 사이클(200)의 포화 단계(20)에서, 도펀트 전구체 가스는 실리콘 전구체 가스 대신 또는 실리콘 전구체 가스와 함께 제공될 수 있다. 도 2에 도시된 전형적인 실시예에서, 도펀트 전구체는 디보란(B2H6)인데, 디보란은 기판(102) 표면에 흡착(또는 '화학흡착')하여 디보란 단층막(204)을 형성할 수 있다. 이 경우에, 하지(underlying) 표면은 이전 증착 사이클(100)에서 증착된 실리콘 단층막을 포함할 수 있다. 디보란 단층막(204)은 부분적으로 또는 완전히 하지 표면을 덮을 수 있다.In the saturation step 20 of the deposition cycle 200, the dopant precursor gas may be provided instead of or with the silicon precursor gas. In the exemplary embodiment shown in FIG. 2, the dopant precursor is diborane (B 2 H 6 ), which diborane adsorbs (or “chemosorbs”) onto the surface of the substrate 102 to form a diborane monolayer 204. can do. In this case, the underlying surface may comprise a silicon monolayer deposited in a previous deposition cycle 100. The diborane monolayer 204 may partially or completely cover the underlying surface.

증착 사이클(200)의 탈착 단계(22)에서, 기판(102)은 상술한 바와 같이 헬륨 준안정 원자에 노출될 수 있다. 헬륨 준안정 원자는 디보란 단층막(204)으로부터 초과 수소 원자를 탈착시켜, 부분적 또는 완전한 붕소(boron) 단층막(206)을 남길 수 있다.In the desorption step 22 of the deposition cycle 200, the substrate 102 may be exposed to helium metastable atoms as described above. The helium metastable atom may desorb excess hydrogen atoms from the diborane monolayer 204, leaving a partial or complete boron monolayer 206.

증착 사이클(200)로 대체되는 증착 사이클(100)의 수를 조절하고, 포화 단계(20)에 공급되는 디보란 가스의 도오즈를 조절함으로써, 실리콘 필름 내에 원하는 붕소 도펀트 밀도 프로파일이 달성될 수 있다. 이 인시투 도핑 기술은 이온 주입보다는 도펀트 원자의 컨포멀 증착에 의존하기 때문에, FinFET과 같은 3-D 구조의 복잡한 표면에 걸쳐 균일한 도펀트 분포를 달성할 수 있다. 또한, 이온 주입된 도펀트 원자에 필요한 후-증착(post-deposition) 고온 확산(high-temperature diffusion) 공정이 필요하지 않다. 대신에, 어닐링이 필요하지 않거나 저온 어닐링만이 필요한데, 이것은 도펀트종의 확산 감소 및 따라서 매우 급격한(또는 '상자형(box-like)') 도펀트 프로파일을 가져온다. 이와 같이, 본 발명의 실시예는 500℃ 아래의 온도에서 구현될 수 있는데, 이 온도는 반도체 산업의 '열적 버짓(thermal budget)' 내에 있는 것이다.By controlling the number of deposition cycles 100 replaced by the deposition cycles 200 and controlling the dose of diborane gas supplied to the saturation stage 20, the desired boron dopant density profile in the silicon film can be achieved. . Because this in-situ doping technique relies on conformal deposition of dopant atoms rather than ion implantation, it is possible to achieve uniform dopant distribution over complex surfaces of 3-D structures such as FinFETs. Furthermore, no post-deposition high-temperature diffusion process is required for the ion implanted dopant atoms. Instead, no annealing is required or only low temperature annealing is required, which results in a reduced diffusion of dopant species and thus a very rapid (or 'box-like') dopant profile. As such, embodiments of the present invention may be implemented at temperatures below 500 ° C., which are within the 'thermal budget' of the semiconductor industry.

본 발명의 실시예에 따른 원자층 증착은 기판 표면 조성(composition)에 따른 선택적 공정일 수 있다. 예를 들어, 도 1에 예시된 공정은 실리콘 또는 SOI 표면상에 실리콘 단층막을 증착할 수 있지만 이산화규소(SiO2) 표면상에는 할 수 없다. 따라서, 이산화규소는 기판 표면의 선택된 부분을 차폐하는 마스킹 층(masking layer)으로서 사용될 수 있다.Atomic layer deposition according to an embodiment of the present invention may be a selective process depending on the substrate surface composition (composition). For example, the process illustrated in FIG. 1 may deposit a silicon monolayer on silicon or SOI surfaces but not silicon dioxide (SiO 2 ) surfaces. Thus, silicon dioxide can be used as a masking layer to shield selected portions of the substrate surface.

상기 실시예에서 헬륨 준안정 원자만이 사용되었지만, 다른 종의 원자도 또한 증착 공정에 선택될 수 있다는 것이 이해되어야 한다. 이러한 종의 선택은 이들 준안정 또는 여기 상태의 수명 및 에너지에 기초할 수 있다. 표 1은 ALD 공정의 증착 단계에서 사용될 수 있는 준안정 원자의 후보종(candidate species) 리스트를 제공한다.Although only helium metastable atoms were used in the above examples, it should be understood that other species of atoms may also be selected for the deposition process. The selection of these species can be based on the lifetime and energy of these metastable or excited states. Table 1 provides a list of candidate species of metastable atoms that can be used in the deposition step of the ALD process.

Bell 수명(s)Life (s) 에너지(eV)Energy (eV) HeHe 80008000 19.819.8 NeNe 2424 1717 ArAr 4040 1212 KrKr 3030 1010 XeXe 4343 8.48.4

디보란 가스와 별도로, 기타 도펀트 전구체가 또한 원하는 도펀트 원자를 ALD-형성된 박막으로 도입하는 데 사용될 수 있다는 것이 이해되어야 한다. 붕소(B), 비소(As), 인(P), 인듐(In) 및 안티모니(Sb)와 같은 도펀트 원자를 도입하기 위한 적절한 도펀트 전구체는 다음 화합물 류(class)를 포함할 수 있으나 이에 한정되는 것은 아니다: 할로겐화물(예: BF3), 알콕사이드(예: B(OCH3)3), 알킬(예: In(CH3)3), 수소화물(예: AsH3, PH3), 시클로펜타디에닐, 알킬이미드, 알킬아미드(예: P[N(CH3)2]3) 및 아미디네이트.Apart from the diborane gas, it should be understood that other dopant precursors may also be used to introduce the desired dopant atoms into the ALD-formed thin film. Suitable dopant precursors for introducing dopant atoms such as boron (B), arsenic (As), phosphorus (P), indium (In) and antimony (Sb) may include, but are not limited to, the following compound classes: Are not: halides (eg BF 3 ), alkoxides (eg B (OCH 3 ) 3 ), alkyls (eg In (CH 3 ) 3 ), hydrides (eg AsH 3 , PH 3 ), cyclo Pentadienyl, alkylimides, alkylamides such as P [N (CH 3 ) 2 ] 3 ) and amidinates.

또한, 도펀트-함유 단층막이 ALD-유사 공정을 통하여 증착되는 인시투 도핑 기술은 플라즈마-강화 ALD 공정에 한정되지 않는다. 이 인시투 도핑 기술은 준안정 원자를 사용할 필요도 없다. 예를 들어, 열 ALD 공정이 또한 도펀트-함유 단층막을 형성하도록 사용될 수 있다. 사실, 이 인시투 도핑 개념(concept)은 임의의 ALD 공정에 적용가능한데, 이 공정에서 도핑되어야 할 박막의 단층들을 증착시키는 하나 이상의 증착 사이클이 도펀트-함유 단층막을 증착시키는 하나 이상의 증착 사이클로 대체되거나, 도핑되어야 할 박막이 도펀트-함유 단층막과 실질적으로 동시에 증착될 수 있다.In addition, the in-situ doping technique in which the dopant-containing monolayer film is deposited through an ALD-like process is not limited to the plasma-enhanced ALD process. This in-situ doping technique also eliminates the need for metastable atoms. For example, a thermal ALD process can also be used to form the dopant-containing monolayer film. In fact, this in-situ doping concept is applicable to any ALD process in which one or more deposition cycles for depositing monolayers of thin films to be doped are replaced with one or more deposition cycles for depositing dopant-containing monolayer films, The thin film to be doped may be deposited substantially simultaneously with the dopant-containing monolayer film.

도 3은 본 발명의 일 실시예에 따른 원자층 증착을 위한 전형적인 시스템(300)을 예시하는 블록 다이어그램이다.3 is a block diagram illustrating an exemplary system 300 for atomic layer deposition in accordance with an embodiment of the present invention.

상기 시스템(300)은 공정 챔버(302)를 포함할 수 있는데, 전형적으로 상기 챔버는 예를 들어 터보 펌프(306), 기계 펌프(308) 및 기타 필요한 진공 밀봉 부품들을 구비하여 고진공 기저압력(예: 10-7 - 10-6 torr)일 수 있다. 공정 챔버(302) 내부에, 적어도 하나의 기판(30)을 지지하는 기판 플랫폼(310)이 있을 수 있다. 상기 기판 플랫폼(310)은 하나 이상의 온도 관리 장치를 설치하여 기판(30)의 온도를 조정하고 유지하도록 할 수 있다. 기판 플랫폼(30)의 기울임(tilting) 또는 회전(rotation)이 또한 허용될 수 있다. 공정챔버(302)에는 석영 결정 미량천칭(quartz crystal microbalance) 및/또는 RHEED(반사 고에너지 전자 회절) 기구와 같은 하나 이상의 필름 성장 모니터링 장치가 추가로 장착될 수 있다.The system 300 may include a process chamber 302, which typically includes a turbo pump 306, a mechanical pump 308, and other necessary vacuum sealing components to provide a high vacuum base pressure (eg, : 10 -7-10 -6 torr). Inside the process chamber 302, there may be a substrate platform 310 that supports at least one substrate 30. The substrate platform 310 may install one or more temperature management devices to adjust and maintain the temperature of the substrate 30. Tilting or rotation of the substrate platform 30 may also be allowed. Process chamber 302 may be further equipped with one or more film growth monitoring devices, such as quartz crystal microbalance and / or RHEED (reflective high energy electron diffraction) instruments.

상기 시스템(300)은 또한 상기 공정챔버(302)와 결합되거나 상기 챔버의 부분일 수 있는 플라즈마 챔버(304)를 포함할 수 있다. 무선주파수(RF: radio frequency) 전력 공급 장치(312)가 사용되어 플라즈마 챔버(304) 내부에 유도적으로 결합된 플라즈마(32)를 생성시킬 수 있다. 예를 들어, 적절한 압력으로 공급된 헬륨 가스는 RF 파워에 의해 여기되어 헬륨 플라즈마를 생성할 수 있는데, 헬륨 플라즈마는 헬륨 준안정 원자를 생성한다.The system 300 may also include a plasma chamber 304 that may be coupled to or part of the process chamber 302. A radio frequency (RF) power supply 312 may be used to generate the plasma 32 inductively coupled within the plasma chamber 304. For example, helium gas supplied at an appropriate pressure can be excited by RF power to produce a helium plasma, which produces helium metastable atoms.

상기 시스템(300)은 디실란 공급기(314), 디보란 공급기(316), 아르곤 공급기(318) 및 헬륨 공급기(320)와 같은 다수의 가스 공급기를 추가로 포함할 수 있다. 각 가스 공급기는 흐름-조절 밸브를 포함하여 원하는 대로 개별 유량을 설정할 수 있다. 또는, 가스가 예를 들어, 밸브, 고정된 부피(fixed volume)의 작은 챔버 및 제2 밸브의 직렬 연결에 의하여 시스템 내로 계량될 수 있다. 작은 챔버는 우선 제1 밸브를 여는 것에 의해 원하는 압력으로 채워진다. 제1 밸브를 닫은 후, 가스의 고정된 부피는 제2 밸브를 여는 것에 의해 챔버로 방출된다. 디실란 공급기(314) 및 디보란 공급기(316)는 제1 입구(inlet)(322)를 통하여 공정 챔버(302)에 결합될 수 있고, 각각의 실리콘 및 붕소 전구체 가스의 충분한 양을 공급하여 기판(30)을 포화시킬 수 있다. 아르곤 공급기(318) 및 헬륨 공급기(320)는 제2 입구(324)를 통하여 플라즈마 챔버(304)에 결합될 수 있다. 아르곤 공급기(318)는 아르곤(또는 기타 불활성 가스)을 공급하여 상기 시스템(300)을 퍼지하도록 할 수 있다. 헬륨 공급기(320)는 헬륨 준안정 원자의 플라즈마 생성용 헬륨 가스를 공급할 수 있다. 추가로, 플라즈마 챔버(304) 및 공정 챔버(302) 사이에 스크린 또는 배플 장치(326)가 있을 수 있다. 바이어스되거나 바이어스되지 않은 스크린 또는 배플 장치(326)는 플라즈마 챔버(304)에 생성된 전하 입자의 적어도 일부가 기판(30)에 도달하는 것을 방지하도록 할 수 있다.The system 300 may further include a plurality of gas supplies, such as a disilane feeder 314, a diborane feeder 316, an argon feeder 318, and a helium feeder 320. Each gas supply can include a flow-control valve to set individual flow rates as desired. Alternatively, gas can be metered into the system, for example, by series connection of a valve, a small chamber of fixed volume and a second valve. The small chamber is first filled to the desired pressure by opening the first valve. After closing the first valve, a fixed volume of gas is released to the chamber by opening the second valve. The disilane feeder 314 and the diborane feeder 316 may be coupled to the process chamber 302 through a first inlet 322, supplying a sufficient amount of the respective silicon and boron precursor gas to the substrate. (30) can be saturated. Argon supply 318 and helium supply 320 may be coupled to plasma chamber 304 through second inlet 324. Argon supply 318 may supply argon (or other inert gas) to purge the system 300. The helium supplier 320 may supply a helium gas for plasma generation of helium metastable atoms. In addition, there may be a screen or baffle device 326 between the plasma chamber 304 and the process chamber 302. The biased or unbiased screen or baffle device 326 may prevent at least some of the charge particles generated in the plasma chamber 304 from reaching the substrate 30.

도 4는 본 발명의 일 실시예에 따른 원자층 증착을 위한 전형적인 방법을 예시하는 플로우 차트이다.4 is a flow chart illustrating an exemplary method for atomic layer deposition in accordance with one embodiment of the present invention.

단계(402)에서, 도 3에 기재된 것과 같은 증착 시스템은 고진공(HV) 상태로 펌프될 수 있다. 진공 조건은 현재 공지되었거나 후에 개발되는 임의의 진공 기술로 성취될 수 있다. 진공 설비는 예를 들어 기계 펌프, 터보 펌프 및 크라이오 펌프 중 하나 이상을 포함할 수 있다. 진공 수준은 바람직하게는 적어도 10-7 - 10-6 토르이지만, 기타 압력에서 진공 수준을 유지하는 것도 본 발명의 범위에 속한다. 예를 들어, 더 높은 필름 순도를 원한다면, 훨씬 더 높은 기저 진공도가 요구될 수 있다. 저-순도 필름에 대해서는, 더 낮은 압력이 허용될 수 있다.In step 402, a deposition system as described in FIG. 3 may be pumped to a high vacuum (HV) state. Vacuum conditions can be achieved with any vacuum technique now known or later developed. The vacuum installation may for example comprise one or more of a mechanical pump, a turbo pump and a cryopump. The vacuum level is preferably at least 10 -7-10 -6 torr, but maintaining the vacuum level at other pressures is also within the scope of the present invention. For example, if a higher film purity is desired, even higher base vacuum may be required. For low-purity films, lower pressures may be acceptable.

단계(404)에서, 기판은 원하는 온도로 예비 가열될 수 있다. 기판 온도는 기판 종류, ALD 반응종, 원하는 성장률 등에 기초하여 결정될 수 있다.In step 404, the substrate may be preheated to the desired temperature. Substrate temperature may be determined based on substrate type, ALD reactive species, desired growth rate, and the like.

단계(406)에서, 디실란(및 있다면 그것의 캐리어 가스)과 같은 실리콘 전구체가 기판이 있는 공정 챔버로 유입될 수 있다. 실리콘 전구체 가스는 기판 표면을 포화시키기에 충분한 유량 또는 압력으로 공급될 수 있다. 디실란의 흐름은 예를 들어 수초 또는 수십 초 동안 지속될 수 있다. 디실란의 단층막은 기판 표면을 부분적으로 또는 완전히 덮을 수 있다. At step 406, a silicon precursor, such as disilane (and its carrier gas, if any) may be introduced into the process chamber where the substrate is located. The silicon precursor gas may be supplied at a flow rate or pressure sufficient to saturate the substrate surface. The flow of disilane can last for a few seconds or even tens of seconds. The monolayer film of the disilane may partially or completely cover the substrate surface.

단계(408)에서, 표면 포화 후에, 실리콘 전구체가 꺼질 수(turn off) 있고 증착 시스템은 하나 이상의 불활성 가스로 퍼지되어 초과 실리콘 전구체를 제거하도록 할 수 있다.In step 408, after surface saturation, the silicon precursor may be turned off and the deposition system may be purged with one or more inert gases to remove excess silicon precursor.

단계(410)에서, 헬륨 플라즈마가 켜질 수(turn on) 있다. 다시 말하면, 헬륨 가스가 플라즈마 챔버로부터 공정 챔버로 흐를 수 있다. 헬륨 플라즈마는 유도 결합된 플라즈마(ICP)이거나 다수의 기타 플라즈마 타입중 임의의 것일 수 있는데, 이는 헬륨 원자에 충분한 자극(excitation)을 공급하여 헬륨 준안정 원자를 생성하도록 한다. 공정챔버 내의 기판은 헬륨 준안정 원자에 노출되어, 헬륨 준안정 원자들이 그 위에 흡착된 실리콘 전구체와 반응하여 비-실리콘 원자를 탈착시키도록 할 수 있다. 예를 들어, 디실란 단층막에 대하여, 헬륨 준안정 원자는 초과 수소 원자를 제거하여 원하는 실리콘 단층막을 형성하도록 도울 수 있다. 기판 표면을 준안정 원자에 노출시키는 것은 예를 들어 수 초 또는 수 십초 동안 지속될 수 있다.In step 410, the helium plasma can be turned on. In other words, helium gas may flow from the plasma chamber into the process chamber. The helium plasma may be an inductively coupled plasma (ICP) or any of a number of other plasma types, which supply sufficient excitation to the helium atoms to produce helium metastable atoms. The substrate in the process chamber may be exposed to helium metastable atoms, causing the helium metastable atoms to react with the silicon precursor adsorbed thereon to desorb non-silicon atoms. For example, for disilane monolayers, helium metastable atoms can help remove excess hydrogen atoms to form the desired silicon monolayer film. Exposing the substrate surface to metastable atoms can last for a few seconds or even tens of seconds.

단계(412)에서, 헬륨 플라즈마가 꺼지고 증착 시스템이 하나 이상의 불활성 가스로 다시 퍼지될 수 있다.In step 412, the helium plasma may be turned off and the deposition system may be purged again with one or more inert gases.

단계(414)에서, 실리콘 필름의 도핑이 필요한지가 결정될 수 있다. 도핑이 필요하고 도펀트를 도입할 적절한 시간이라면, 공정은 단계(416)로 갈 수 있다. 그렇지 않으면, 공정은 실리콘의 다음 단층막 증착을 시작하기 위해 단계(406)로 돌아가고 및/또는 실리콘의 부분 단층막 증착을 종료할 수 있다.At step 414, it may be determined whether doping of the silicon film is required. If doping is required and is a suitable time to introduce the dopant, the process may go to step 416. Otherwise, the process may return to step 406 to begin the next monolayer deposition of silicon and / or terminate the partial monolayer deposition of silicon.

단계(416)에서, 디보란(및 있다면 그것의 캐리어 가스)과 같은 도펀트 전구체 가스가 공정 챔버로 유입될 수 있다. 도펀트 전구체 가스는 기판 표면을 포화시키기에 충분한 유량 또는 압력으로 공급될 수 있다. 디보란의 흐름은 예를 들어 수 초 또는 수십 초 동안 지속될 수 있다. 디보란의 단층막은 기판 표면을 부분적으로 또는 완전히 덮을 수 있다. At step 416, a dopant precursor gas, such as diborane (and its carrier gas, if any) may be introduced into the process chamber. The dopant precursor gas may be supplied at a flow rate or pressure sufficient to saturate the substrate surface. The flow of diborane can last for a few seconds or even tens of seconds. Diborane's monolayer can partially or completely cover the substrate surface.

단계(418)에서, 표면 포화 후에, 도펀트 전구체는 꺼질 수 있고 증착 시스템은 하나 이상의 불활성 가스로 퍼지되어 초과 도펀트 전구체를 제거하도록 할 수 있다.In step 418, after surface saturation, the dopant precursor may be turned off and the deposition system may be purged with one or more inert gases to remove excess dopant precursor.

단계(420)에서, 헬륨 플라즈마를 켜져 헬륨 준안정 원자를 생성할 수 있다. 공정 챔버에서 기판은 다시 헬륨 준안정 원자에 노출되어, 헬륨 준안정 원자가 그 위에 흡착된 도펀트 전구체와 반응하여 비-도펀트 원자를 탈착시킬 수 있다. 예를 들어, 디보란 단층막에 대하여, 헬륨 준안정 원자는 초과 수소 원자를 제거하여 원하는 부분적 또는 완전한 붕소 단층막을 형성하도록 도울 수 있다. 기판 표면을 준안정 원자에 노출시키는 것은 예를 들어 수 초 또는 수 십초 동안 지속될 수 있다.In step 420, helium plasma may be turned on to generate helium metastable atoms. In the process chamber the substrate is again exposed to helium metastable atoms, where helium metastable atoms can react with the dopant precursor adsorbed thereon to desorb non-dopant atoms. For example, for diborane monolayers, helium metastable atoms can help remove excess hydrogen atoms to form the desired partial or complete boron monolayer. Exposing the substrate surface to metastable atoms can last for a few seconds or even tens of seconds.

단계(422)에서, 헬륨 플라즈마는 꺼질 수 있고 증착 시스템이 하나 이상의 불활성 가스로 다시 퍼지될 수 있다.At step 422, the helium plasma may be turned off and the deposition system may be purged again with one or more inert gases.

상술한 406 내지 412의 공정단계 및/또는 416 내지 422의 공정단계는 원하는 도펀트 프로파일을 갖는 하나 이상의 단층막을 구비하는 원하는 실리콘 필름을 수득할 때까지 반복될 수 있다.The process steps 406-412 described above and / or the process steps 416-422 can be repeated until a desired silicon film is obtained having one or more monolayer films having a desired dopant profile.

상기 실시예에서 실리콘 필름의 증착 및/또는 도핑에 대해서만 개시하였지만, 본 발명의 실시예는 다른 재료 또는 종의 박막을 증착하거나 도핑하도록 용이하게 적용될 수 있다는 것이 이해되어야 한다. 예를 들어, 다음의 종을 함유하는 ALD 박막이 또한 증착되거나 도핑될 수 있다: 게르마늄(Ge), 탄소(C), 갈륨(Ga), 비소(As), 인듐(In), 알루미늄(Al) 또는 인(P). 생성된 박막은 탄소 또는 게르마늄과 같은 단일종을 함유하거나 Ⅲ-Ⅴ 화합물(예: GaAs, InAlP)과 같은 화합물을 함유할 수 있다. 이 목적을 위하여, 상응하는 종을 함유하는 전구체 물질이 사용될 수 있다. 전구체 물질에 대한 후보는 다음을 포함할 수 있으나, 이에 한정되지 않는다: 수소화물(예: SiH4, Si2H6, GeH4) 또는 할로겐화(halogenated) 수소화물(예: SiHCl3), 할로겐화 탄화수소(예: CHF3), 알킬(예: 트리메틸 알루미늄 - Al(CH3)3, 또는 디메틸 에틸 알루미늄 - CH3CH2-Al(CH3)2), 또는 할로겐화물(예: CCl4 또는 CCl2F2).Although only the deposition and / or doping of silicon films in the above embodiments has been described, it should be understood that embodiments of the present invention may be readily applied to deposit or dope thin films of other materials or species. For example, an ALD thin film containing the following species may also be deposited or doped: germanium (Ge), carbon (C), gallium (Ga), arsenic (As), indium (In), aluminum (Al) Or phosphorus (P). The resulting thin film may contain a single species such as carbon or germanium or may contain compounds such as III-V compounds (eg GaAs, InAlP). For this purpose, precursor materials containing the corresponding species can be used. Candidates for precursor materials may include, but are not limited to: hydrides (eg SiH 4 , Si 2 H 6 , GeH 4 ) or halogenated hydrides (eg SiHCl 3 ), halogenated hydrocarbons (E.g. CHF 3 ), alkyl (e.g. trimethyl aluminum-Al (CH 3 ) 3 , or dimethyl ethyl aluminum-CH 3 CH 2 -Al (CH 3 ) 2 ), or halide (e.g. CCl 4 or CCl 2 F 2 ).

본 발명은 본원에 개시된 특정 실시예들에 의하여 범위가 한정되지 않는다. 실제로, 본원에 개시된 것에 추가하여, 기타 다양한 실시예 및 변형이 전술한 설명 및 첨부된 도면으로부터 당업자들에게 명백할 것이다. 따라서, 이러한 기타 실시예 및 변형은 본 발명의 범위에 속하도록 의도된다. 더욱이, 본 발명은 본원에서 특정 목적을 위해 특정한 환경에서 특정한 구현예의 맥락에서 개시되었지만, 당업자들은 이의 유용성이 거기에 한정되지 않는다는 것과 본 발명이 수많은 목적을 위해 수많은 환경에서 유리하게 수행될 수 있음을 이해할 것이다. 따라서, 다음의 청구항들은 본원에 개시된 본 발명의 전체 범위와 사상에 비추어 해석되어야만 한다. The present invention is not to be limited in scope by the specific embodiments disclosed herein. Indeed, various other embodiments and modifications, in addition to those disclosed herein, will be apparent to those skilled in the art from the foregoing description and the accompanying drawings. Accordingly, such other embodiments and modifications are intended to fall within the scope of the present invention. Moreover, while the invention has been disclosed herein in the context of particular embodiments in specific circumstances for specific purposes, those skilled in the art will recognize that its usefulness is not limited thereto and that the invention may be advantageously performed in numerous environments for a number of purposes. I will understand. Accordingly, the following claims should be construed in light of the full scope and spirit of the invention disclosed herein.

Claims (35)

적어도 하나의 기판을 지지하는 기판 플랫폼을 갖는 공정 챔버;A process chamber having a substrate platform supporting at least one substrate; 적어도 하나의 제1종의 원자들 및 적어도 하나의 제2종의 원자들을 포함하는 전구체 물질을 제공하여 상기 적어도 하나의 기판 표면을 포화시키는 전구체 물질의 공급기(supply); 및A supply of precursor material to saturate the at least one substrate surface by providing a precursor material comprising at least one first type of atoms and at least one second type of atoms; And 적어도 하나의 제3종의 준안정 원자들의 플라즈마 소스를 포함하되,A plasma source of at least one third type of metastable atoms, 상기 준안정 원자들은 상기 적어도 하나의 제1종의 하나 이상의 원자층을 형성하도록 상기 적어도 하나의 기판의 포화된 표면으로부터 상기 적어도 하나의 제2종의 원자를 탈착시킬 수 있는 원자층 증착을 위한 장치.Wherein the metastable atoms are capable of desorbing the at least one second type of atom from the saturated surface of the at least one substrate to form the at least one first type of one or more atomic layers. . 청구항 1에 있어서, 상기 플라즈마 소스에서 생성된 전하 입자들의 적어도 일부분이 상기 기판 표면에 도달하는 것을 방지하기 위한 하나 이상의 장치를 더 포함하는 원자층 증착을 위한 장치.The apparatus of claim 1, further comprising one or more devices to prevent at least a portion of the charge particles generated in the plasma source from reaching the substrate surface. 청구항 1에 있어서, 상기 기판 플랫폼은 상기 플라즈마 소스에서 생성된 전하 입자들의 적어도 일부분이 상기 기판 표면에 도달하는 것을 방지하도록 배향된 원자층 증착을 위한 장치.The apparatus of claim 1, wherein the substrate platform is oriented to prevent at least a portion of the charge particles generated at the plasma source from reaching the substrate surface. 청구항 1에 있어서, 도펀트 전구체의 공급기를 더 포함하되, 상기 도펀트 전 구체의 공급기는 하나 이상의 증착 사이클에서 상기 전구체 물질의 공급기를 대신하도록 구성됨으로써, 상기 적어도 하나의 제1종의 하나 이상의 원자층을 도핑하는 원자층 증착을 위한 장치.The method of claim 1, further comprising a feeder of a dopant precursor, wherein the feeder of the dopant precursor is configured to replace the feeder of precursor material in one or more deposition cycles, thereby providing at least one atomic layer of the at least one first species. An apparatus for doping atomic layer deposition. 청구항 1에 있어서, 도펀트 전구체의 공급기를 더 포함하되, 하나 이상의 증착 사이클에서, 상기 도펀트 전구체의 공급기는 상기 전구체 물질의 공급기가 상기 전구체 물질을 공급할 때 동시에 상기 도펀트 전구체를 공급하도록 구성됨으로써, 상기 적어도 하나의 제1종의 하나 이상의 원자층을 도핑하는 원자층 증착을 위한 장치.The method of claim 1, further comprising a feeder of a dopant precursor, wherein in one or more deposition cycles, the feeder of the dopant precursor is configured to supply the dopant precursor simultaneously when the feeder of precursor material feeds the precursor material, thereby providing the at least An apparatus for atomic layer deposition that dope one or more atomic layers of one first species. 청구항 1에 있어서, 상기 준안정 원자들의 플라즈마 소스는 상기 공정 챔버에 결합된 플라즈마 챔버를 더 포함하고, 상기 플라즈마 챔버는 상기 적어도 하나의 제3종의 준안정 원자들을 생성하는 원자층 증착을 위한 장치.The apparatus of claim 1, wherein the plasma source of metastable atoms further comprises a plasma chamber coupled to the process chamber, the plasma chamber generating the at least one third type of metastable atoms. . 청구항 6에 있어서, 상기 플라즈마 챔버는 유도 결합 플라즈마로부터 상기 적어도 하나의 제3종의 준안정 원자들을 생성하는 원자층 증착을 위한 장치.The apparatus of claim 6, wherein the plasma chamber generates the at least one third type of metastable atoms from an inductively coupled plasma. 청구항 1에 있어서, 상기 전구체 물질은The method of claim 1, wherein the precursor material is 실리콘;silicon; 탄소;carbon; 게르마늄;germanium; 갈륨;gallium; 비소;arsenic; 인듐;indium; 알루미늄; 및aluminum; And 인으로 이루어진 군으로부터 선택된 하나 이상의 종을 포함하는 원자층 증착을 위한 장치.An apparatus for atomic layer deposition comprising at least one species selected from the group consisting of phosphorus. 청구항 1에 있어서, 상기 기판 표면은 The method of claim 1, wherein the substrate surface is 실리콘;silicon; 실리콘-온-인슐레이터(SOI);Silicon-on-insulators (SOI); 이산화규소;Silicon dioxide; 다이아몬드;Diamond; 실리콘 게르마늄;Silicon germanium; 탄화규소;Silicon carbide; Ⅲ-Ⅴ 화합물;III-V compounds; 평판 패널 물질;Flat panel materials; 중합체; 및polymer; And 가요성 기판 물질로 이루어진 군으로부터 선택된 하나 이상의 물질을 포함하는 원자층 증착을 위한 장치.An apparatus for atomic layer deposition comprising one or more materials selected from the group consisting of flexible substrate materials. 청구항 1에 있어서, 상기 적어도 하나의 제3종은 The method according to claim 1, wherein the at least one third species 헬륨(He);Helium (He); 네온(Ne);Neon (Ne); 아르곤(Ar);Argon (Ar); 크립톤(Kr);Krypton (Kr); 라돈(Rn); 및Radon (Rn); And 크세논(Xe)으로 이루어진 군으로부터 선택된 하나 이상의 종을 포함하는 원자층 증착을 위한 장치.An apparatus for atomic layer deposition comprising at least one species selected from the group consisting of xenon (Xe). 청구항 1에 있어서, 상기 적어도 하나의 기판은 500℃ 미만의 온도에서 유지되는 원자층 증착을 위한 장치.The apparatus of claim 1, wherein the at least one substrate is maintained at a temperature of less than 500 degrees Celsius. 기판 표면을 적어도 하나의 제1종의 원자들 및 적어도 하나의 제2종의 원자들을 구비하는 전구체 물질로 포화시킴으로써 상기 기판 표면상에 상기 전구체 물질의 단층막을 형성하는 단계; 및Forming a monolayer film of precursor material on the substrate surface by saturating the substrate surface with a precursor material having at least one first type of atoms and at least one second type of atoms; And 상기 기판 표면을 제3종의 플라즈마-생성된 준안정 원자들에 노출시키는 단계를 포함하되, 상기 준안정 원자들은 상기 적어도 하나의 제1종의 원자층을 형성하도록 상기 기판 표면으로부터 상기 적어도 하나의 제2종의 원자들을 탈착시키는 원자층 증착을 위한 방법. Exposing the substrate surface to a third type of plasma-generated metastable atoms, wherein the metastable atoms are formed from the at least one surface from the substrate surface to form the at least one first kind of atomic layer. A method for atomic layer deposition that desorbs a second kind of atoms. 복수의 제1종의 원자층들을 형성하기 위한 다중 증착 사이클들을 포함하되, 각 증착 사이클은 청구항 12의 단계들을 반복하여 상기 제1종의 하나의 원자층을 형성하는 원자층 증착을 위한 방법.A method for atomic layer deposition, comprising multiple deposition cycles for forming a plurality of first types of atomic layers, each deposition cycle repeating steps of claim 12 to form one atomic layer of the first type. 청구항 13에 있어서, 상기 다중 증착 사이클들 중 하나 이상에서 상기 기판 표면에 전구체 물질의 공급과 함께 도펀트 전구체를 동시에 공급하여 상기 적어도 하나의 제1종의 복수의 원자층들을 도핑하는 것을 더 포함하는 원자층 증착을 위한 방법.The atom of claim 13, further comprising doping the at least one first plurality of atomic layers by simultaneously supplying a dopant precursor with a supply of precursor material to the substrate surface in one or more of the multiple deposition cycles. Method for Layer Deposition. 청구항 13에 있어서, 상기 다중 증착 사이클들 중 하나 이상에서 전구체 물질을 도펀트 전구체로 대체하여 상기 적어도 하나의 제1종의 복수의 원자층들을 도핑하는 것을 더 포함하는 원자층 증착을 위한 방법.The method of claim 13, further comprising doping the at least one first type of plurality of atomic layers by replacing a precursor material with a dopant precursor in one or more of the multiple deposition cycles. 청구항 13에 있어서, 준안정 원자들의 플라즈마 소스에서 생성된 전하 입자들의 적어도 일부분이 상기 기판 표면에 도달하는 것을 방지하는 것을 더 포함하는 원자층 증착을 위한 방법.The method of claim 13, further comprising preventing at least a portion of the charge particles generated in the plasma source of metastable atoms from reaching the substrate surface. 청구항 13에 있어서, 기판 표면을 500℃ 미만의 온도에서 어닐링하는 것을 더 포함하는 원자층 증착을 위한 방법. The method of claim 13, further comprising annealing the substrate surface at a temperature below 500 ° C. 15. 청구항 13에 있어서,The method according to claim 13, 상기 전구체 물질은 디실란(Si2H6)을 포함하고;The precursor material comprises disilane (Si 2 H 6 ); 상기 적어도 하나의 제1종은 실리콘을 포함하고;The at least one first species comprises silicon; 상기 적어도 하나의 제2종은 수소를 포함하고;Said at least one second species comprises hydrogen; 상기 제3종은 헬륨을 포함하는 원자층 증착을 위한 방법.Wherein said third species comprises helium. 청구항 18에 있어서, 기판 표면의 하나 이상의 선택된 부분을 이산화규소(SiO2)로 마스킹하는 것을 더 포함하는 원자층 증착을 위한 방법.The method of claim 18, further comprising masking one or more selected portions of the substrate surface with silicon dioxide (SiO 2 ). 청구항 13에 있어서, 상기 전구체 물질은 The method of claim 13, wherein the precursor material is 실리콘;silicon; 탄소;carbon; 게르마늄;germanium; 갈륨;gallium; 비소;arsenic; 인듐;indium; 알루미늄; 및aluminum; And 인으로 이루어진 군으로부터 선택된 하나 이상의 종을 포함하는 원자층 증착 을 위한 방법.A method for atomic layer deposition comprising at least one species selected from the group consisting of phosphorus. 청구항 13에 있어서, 상기 기판 표면은 The method of claim 13, wherein the substrate surface is 실리콘;silicon; 실리콘-온-인슐레이터(SOI);Silicon-on-insulators (SOI); 이산화규소;Silicon dioxide; 다이아몬드;Diamond; 실리콘 게르마늄;Silicon germanium; 탄화규소;Silicon carbide; Ⅲ-Ⅴ 화합물;III-V compounds; 평판 패널 물질;Flat panel materials; 중합체; 및polymer; And 가요성 기판 물질로 이루어진 군으로부터 선택된 하나 이상의 물질을 포함하는 원자층 증착을 위한 방법.A method for atomic layer deposition comprising one or more materials selected from the group consisting of flexible substrate materials. 청구항 13에 있어서, 상기 적어도 하나의 제3종은The method of claim 13, wherein the at least one third species 헬륨(He);Helium (He); 네온(Ne);Neon (Ne); 아르곤(Ar);Argon (Ar); 크립톤(Kr);Krypton (Kr); 라돈(Rn); 및Radon (Rn); And 제논(Xe)으로 이루어진 군으로부터 선택된 하나 이상의 종을 포함하는 원자층 증착을 위한 방법.A method for atomic layer deposition comprising at least one species selected from the group consisting of xenon (Xe). 적어도 하나의 기판을 지지하는 기판 플랫폼을 갖는 공정챔버;A process chamber having a substrate platform for supporting at least one substrate; 상기 적어도 하나의 기판 표면을 포화시키기에 충분한 양의 디실란을 공급하는 디실란(Si2H6)의 공급기;A feeder of disilane (Si 2 H 6 ) to supply a sufficient amount of disilane to saturate the at least one substrate surface; 헬륨의 공급기; 및Feeder of helium; And 상기 공정챔버에 결합되고, 상기 헬륨의 공급기에 의해 공급된 헬륨으로부터 헬륨 준안정 원자들을 생성하는 플라즈마 챔버를 포함하고,A plasma chamber coupled to the process chamber, the plasma chamber generating helium metastable atoms from helium supplied by a supply of helium, 상기 준안정 원자들이 상기 적어도 하나의 기판의 포화된 표면으로부터 수소 원자들을 탈착시킴으로써, 실리콘의 하나 이상의 원자층을 형성하는 원자층 증착을 위한 장치.And the metastable atoms desorb hydrogen atoms from the saturated surface of the at least one substrate to form one or more atomic layers of silicon. 청구항 23에 있어서, 디보란(B2H6)의 공급기를 더 포함하되, 상기 디보란의 공급기는 하나 이상의 증착 사이클에서 상기 디실란의 공급기의 적어도 일부분을 대체하도록 구성됨으로써 실리콘의 하나 이상의 원자층에 붕소 원자들을 도입하는 원자층 증착을 위한 장치.The method of claim 23, further comprising a feeder of diborane (B 2 H 6 ), wherein the feeder of diborane is configured to replace at least a portion of the feeder of the disilane in one or more deposition cycles, thereby providing at least one atomic layer of silicon. Apparatus for atomic layer deposition to introduce boron atoms into. 하나 이상의 증착 사이클로 기판 표면상에 박막을 형성하되, 하나 이상의 증착 사이클 각각에서, 적어도 하나의 제1종의 원자들 및 적어도 하나의 제2종의 원자들을 갖는 전구체 물질이 공급되어 상기 기판 표면을 포화시키고, 그 후, 상기 적어도 하나의 제2종의 원자들이 상기 포화된 기판 표면으로부터 탈착되어 상기 적어도 하나의 제1종의 하나 이상의 원자층을 형성하고; 및Forming a thin film on the substrate surface in one or more deposition cycles, wherein in each of the one or more deposition cycles a precursor material having at least one first type of atoms and at least one second type of atoms is supplied to saturate the substrate surface Then, the at least one second kind of atoms is desorbed from the saturated substrate surface to form at least one atomic layer of the at least one first kind; And 다중 증착 사이클들 중 하나 이상에서, 상기 전구체 물질의 공급의 적어도 일부분을 도펀트 전구체로 대체함으로써, 상기 적어도 하나의 제1종의 하나 이상의 원자층을 도핑하는 것을 포함하는 컨포멀(conformal) 도핑 방법.In one or more of the multiple deposition cycles, comprising doping the at least one first layer of at least one first species by replacing at least a portion of the supply of precursor material with a dopant precursor. 청구항 25에 있어서, 상기 적어도 하나의 제2종의 원자들은 적어도 하나의 제3종의 준안정 원자들로 탈착되는 컨포멀 도핑 방법.The method of claim 25, wherein the at least one second type of atoms is desorbed into at least one third type of metastable atoms. 청구항 25에 있어서, 상기 적어도 하나의 제3종의 준안정 원자들은 플라즈마로 생성되는 컨포멀 도핑 방법.The method of claim 25, wherein the at least one third species of metastable atoms are generated in a plasma. 청구항 27에 있어서, 전하 입자들의 적어도 일부분이 상기 기판 표면에 도달하는 것이 방지되는 컨포멀 도핑 방법.The method of claim 27, wherein at least a portion of charge particles are prevented from reaching the substrate surface. 청구항 27에 있어서, 상기 적어도 하나의 제3종은The method of claim 27, wherein the at least one third species is 헬륨(He);Helium (He); 네온(Ne);Neon (Ne); 아르곤(Ar);Argon (Ar); 크립톤(Kr);Krypton (Kr); 라돈(Rn); 및Radon (Rn); And 크세논(Xe)으로 이루어진 군으로부터 선택된 하나 이상의 종을 포함하는 컨포멀 도핑 방법.Conformal doping method comprising at least one species selected from the group consisting of xenon (Xe). 청구항 25에 있어서, 상기 전구체 물질은 The method of claim 25, wherein the precursor material is 실리콘;silicon; 탄소;carbon; 게르마늄;germanium; 갈륨;gallium; 비소;arsenic; 인듐;indium; 알루미늄; 및aluminum; And 인으로 이루어진 군으로부터 선택된 하나 이상의 종을 포함하는 컨포멀 도핑 방법.A method of conformal doping comprising at least one species selected from the group consisting of phosphorus. 청구항 25에 있어서, 기판 표면은 The substrate of claim 25, wherein the substrate surface is 실리콘;silicon; 실리콘-온-인슐레이터(SOI);Silicon-on-insulators (SOI); 이산화규소;Silicon dioxide; 다이아몬드;Diamond; 실리콘 게르마늄;Silicon germanium; 탄화규소;Silicon carbide; Ⅲ-Ⅴ 화합물;III-V compounds; 평판 패널 물질;Flat panel materials; 중합체; 및polymer; And 가요성 기판 물질로 이루어진 군으로부터 선택된 하나 이상의 물질을 포함하는 컨포멀 도핑 방법.A method of conformal doping comprising at least one material selected from the group consisting of flexible substrate materials. 청구항 25에 있어서, 상기 기판 표면은 500℃ 미만의 온도에서 유지되는 컨포멀 도핑 방법.The method of claim 25, wherein the substrate surface is maintained at a temperature of less than 500 degrees Celsius. 청구항 25에 있어서, 상기 기판 표면은 상기 도펀트 전구체의 원자들을 재분포시키는 추가 열 공정을 받지 않는 컨포멀 도핑 방법.The method of claim 25, wherein the substrate surface is not subjected to an additional thermal process to redistribute the atoms of the dopant precursor. 청구항 25에 있어서, 상기 기판 표면은 3차원 형태를 갖고 상기 박막은 그 위에 컨포멀하게 형성되고 컨포멀하게 도핑되는 컨포멀 도핑 방법.The method of claim 25, wherein the substrate surface has a three dimensional shape and the thin film is conformally formed thereon and conformally doped. 청구항 34에 있어서, 상기 박막은 FinFET 구조의 일부인 컨포멀 도핑 방법.The method of claim 34, wherein the thin film is part of a FinFET structure.
KR1020097020540A 2007-03-06 2007-03-06 Technique for atomic layer deposition KR20100019414A (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
PCT/US2007/005596 WO2008108754A1 (en) 2007-03-06 2007-03-06 Technique for atomic layer deposition

Publications (1)

Publication Number Publication Date
KR20100019414A true KR20100019414A (en) 2010-02-18

Family

ID=38645876

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020097020540A KR20100019414A (en) 2007-03-06 2007-03-06 Technique for atomic layer deposition

Country Status (4)

Country Link
JP (1) JP2010520638A (en)
KR (1) KR20100019414A (en)
CN (1) CN101680087A (en)
WO (1) WO2008108754A1 (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2015060636A1 (en) * 2013-10-23 2015-04-30 한국화학연구원 Complex and asymmetric composite thin film and method for preparing same using atomic layer deposition
KR20160042404A (en) * 2016-03-28 2016-04-19 한국화학연구원 Multi and asymmetric complex thin film using atomic layer deposition and method for manufacturing thereof

Families Citing this family (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4854794B2 (en) * 2010-03-18 2012-01-18 三井造船株式会社 Thin film forming equipment
JP5369304B2 (en) * 2010-09-30 2013-12-18 ソイテック System and method for forming semiconductor material by atomic layer deposition
US20120263887A1 (en) * 2011-04-13 2012-10-18 Varian Semiconductor Equipment Associates, Inc. Technique and apparatus for ion-assisted atomic layer deposition
CN102304697B (en) * 2011-09-26 2013-06-12 中国科学院微电子研究所 Method for preparing diamond
JP5741382B2 (en) * 2011-09-30 2015-07-01 東京エレクトロン株式会社 Thin film forming method and film forming apparatus
JP2013124392A (en) * 2011-12-14 2013-06-24 Tokyo Electron Ltd Film forming device
WO2013153695A1 (en) * 2012-04-09 2013-10-17 三菱電機株式会社 Method for producing photoelectric conversion device and photoelectric conversion device
JP5926794B2 (en) * 2012-04-23 2016-05-25 東京エレクトロン株式会社 Film forming method, film forming apparatus, and film forming system
US20150024152A1 (en) 2013-07-19 2015-01-22 Agilent Technologies, Inc. Metal components with inert vapor phase coating on internal surfaces
US10767259B2 (en) 2013-07-19 2020-09-08 Agilent Technologies, Inc. Components with an atomic layer deposition coating and methods of producing the same
CN112575313A (en) * 2015-01-14 2021-03-30 安捷伦科技有限公司 Component with atomic layer deposition coating and method of making the same
CN106449907B (en) * 2016-11-18 2019-04-12 电子科技大学 A kind of growing method of p-type exponential doping structure GaN photoelectric cathode materials
CN112005339A (en) * 2018-03-26 2020-11-27 朗姆研究公司 Atomic layer deposition of carbon films
CN115679291A (en) * 2021-07-28 2023-02-03 长鑫存储技术有限公司 Method for forming thin film by deposition process

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6540838B2 (en) * 2000-11-29 2003-04-01 Genus, Inc. Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
US6305314B1 (en) * 1999-03-11 2001-10-23 Genvs, Inc. Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
US6200893B1 (en) * 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
US6428859B1 (en) * 2000-12-06 2002-08-06 Angstron Systems, Inc. Sequential method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
WO2004085703A1 (en) * 2003-03-25 2004-10-07 Tokyo Electron Limited Processing apparatus and processing method
KR100469132B1 (en) * 2004-05-18 2005-01-29 주식회사 아이피에스 Cyclically pulsed two level plasma atomic layer deposition apparatus and method thereof
KR100652427B1 (en) * 2005-08-22 2006-12-01 삼성전자주식회사 Method of forming conductive polysilicon thin film using ald and method of manufacturing semiconductor device using the same
US20070087581A1 (en) * 2005-09-09 2007-04-19 Varian Semiconductor Equipment Associates, Inc. Technique for atomic layer deposition
US20070065576A1 (en) * 2005-09-09 2007-03-22 Vikram Singh Technique for atomic layer deposition

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2015060636A1 (en) * 2013-10-23 2015-04-30 한국화학연구원 Complex and asymmetric composite thin film and method for preparing same using atomic layer deposition
KR20160042404A (en) * 2016-03-28 2016-04-19 한국화학연구원 Multi and asymmetric complex thin film using atomic layer deposition and method for manufacturing thereof

Also Published As

Publication number Publication date
JP2010520638A (en) 2010-06-10
CN101680087A (en) 2010-03-24
WO2008108754A1 (en) 2008-09-12

Similar Documents

Publication Publication Date Title
US20070065576A1 (en) Technique for atomic layer deposition
KR20100019414A (en) Technique for atomic layer deposition
US20070087581A1 (en) Technique for atomic layer deposition
TW202142723A (en) Method for deposition of silicon nitride layer using pretreatment, structure formed using the method, and system for performing the method
US7779785B2 (en) Production method for semiconductor device and substrate processing apparatus
US6716713B2 (en) Dopant precursors and ion implantation processes
KR20190132304A (en) In-situ deposition of film stacks
US20040129212A1 (en) Apparatus and method for delivery of reactive chemical precursors to the surface to be treated
US20040086434A1 (en) Apparatus and method for treating objects with radicals generated from plasma
CN102150236A (en) High speed thin film deposition via pre-selected intermediate
US20050026400A1 (en) Methods for depositing amorphous materials and using them as templates for epitaxial films by solid phase epitaxy
US20140299056A1 (en) Low temperature migration enhanced Si-Ge epitaxy with plasma assisted surface activation
KR102114857B1 (en) Method of forming thin film selectively using atmospheric pressure plasma
KR102094540B1 (en) Method of forming thin film using plasma enhanced chemical vapor deposition and apparatus therefor
CN114551219A (en) Method for filling gaps and related system and apparatus
RU2769751C1 (en) Device for deposition of ultra-thick layers of polycrystalline silicon
TW200837212A (en) Technique for atomic layer deposition
JP2000058460A (en) Silicon thin-film manufacturing method
JPH01730A (en) Method of forming multilayer thin film
WO2000044038A1 (en) Plasma enhanced cvd process for rapidly growing semiconductor films
JPH07147245A (en) Forming method of crystalline silicon thin film
JPH02141577A (en) Formation of deposited film
JPH06236851A (en) Manufacture of n-type cubic boron nitride semiconductor
JPS63233520A (en) Deposited film formation
JPS62243766A (en) Formation of deposited film

Legal Events

Date Code Title Description
WITN Application deemed withdrawn, e.g. because no request for examination was filed or no examination fee was paid