KR20100008940A - Semiconductor device and manufacturing method thereof - Google Patents

Semiconductor device and manufacturing method thereof Download PDF

Info

Publication number
KR20100008940A
KR20100008940A KR1020080069577A KR20080069577A KR20100008940A KR 20100008940 A KR20100008940 A KR 20100008940A KR 1020080069577 A KR1020080069577 A KR 1020080069577A KR 20080069577 A KR20080069577 A KR 20080069577A KR 20100008940 A KR20100008940 A KR 20100008940A
Authority
KR
South Korea
Prior art keywords
sacrificial insulating
insulating film
layer
support layer
insulating layer
Prior art date
Application number
KR1020080069577A
Other languages
Korean (ko)
Inventor
김종만
김현수
서원준
Original Assignee
주식회사 하이닉스반도체
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 주식회사 하이닉스반도체 filed Critical 주식회사 하이닉스반도체
Priority to KR1020080069577A priority Critical patent/KR20100008940A/en
Publication of KR20100008940A publication Critical patent/KR20100008940A/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • H01L28/60Electrodes
    • H01L28/82Electrodes with an enlarged surface, e.g. formed by texturisation
    • H01L28/90Electrodes with an enlarged surface, e.g. formed by texturisation having vertical extensions
    • H01L28/91Electrodes with an enlarged surface, e.g. formed by texturisation having vertical extensions made by depositing layers, e.g. by depositing alternating conductive and insulating layers

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Semiconductor Memories (AREA)

Abstract

PURPOSE: A semiconductor device and a manufacturing method thereof are provided to minimize the area of a support layer around a capacitor bottom electrode of a capacitor and thereby prevent leaning of the capacitor bottom electrode. CONSTITUTION: A manufacturing method of a semiconductor device comprises the steps of: preparing a semiconductor substrate(100) in which a storage node contact plug is formed, forming a first sacrificial insulating layer on the storage node contact plug, etching a part of the first sacrificial insulating layer using a linear mask pattern, forming a support layer(116) on the protruding part of the first sacrificial insulating layer, forming a second sacrificial insulating layer on the support layer and the first sacrificial insulating layer, etching the second and the first sacrificial insulating layer successively, forming a contact hole exposing the storage node contact plug, forming a conductive layer and a third sacrificial insulating layer successively on the contact hole, the support layer and the first sacrificial insulating layer, filling the contact hole, etching the third sacrificial insulating layer and the conductive layer until the first sacrificial insulating layer is exposed the exposure time, and removing the remaining third and the first sacrificial insulating layer.

Description

반도체 소자 및 그 제조 방법{Semiconductor device and manufacturing method thereof}Semiconductor device and manufacturing method

본 발명은 반도체 소자 및 그 제조 방법에 관한 것으로, 특히 커패시터 하부 전극의 기울어짐(leaning)을 방지함과 동시에 커패시터의 누설 전류(leakage)를 개선할 수 있는 반도체 소자 및 그 제조 방법에 관한 것이다.BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a semiconductor device and a method for manufacturing the same, and more particularly, to a semiconductor device and a method for manufacturing the same, which can prevent leakage of the capacitor lower electrode and at the same time improve the leakage of the capacitor.

커패시터(Capacitor)를 사용하는 반도체 소자는 리프레쉬(Refresh)가 필요하다. 리프레쉬 시간을 길게 하기 위해서 정전용량(Capacitance)을 극대화하는 것이 요구되지만, 최근 메모리 소자의 고집적화 및 소형화로 인해 정전용량을 극대화하는데 한계가 있다. 이에 따라, 정전용량을 확보하기 위해 커패시터의 높이는 계속해서 높아지고, 커패시터 유전체막의 두께는 더욱 얇아지고 있다. 이는 정전용량이 전극 면적 및 유전체막의 유전율에 비례하고, 전극 간 간격에 해당하는 유전체막의 두께에 반비례하기 때문이다. 그러나 현실적으로 누설 전류의 발생이 일어나지 않으면서 높은 유전율을 가지고 있는 유전체를 찾기가 어렵다. 따라서, 고집적 반도체 소자를 위해서는 전극의 표면적을 늘리는 방법이 주로 시도된다.A semiconductor device using a capacitor needs to be refreshed. In order to increase the refresh time, it is required to maximize the capacitance, but there is a limit to maximizing the capacitance due to the recent high integration and miniaturization of memory devices. Accordingly, in order to secure the capacitance, the height of the capacitor continues to increase, and the thickness of the capacitor dielectric film becomes thinner. This is because the capacitance is proportional to the electrode area and the dielectric constant of the dielectric film and inversely proportional to the thickness of the dielectric film corresponding to the gap between the electrodes. In reality, however, it is difficult to find a dielectric having a high permittivity without generating leakage current. Therefore, a method of increasing the surface area of an electrode is mainly attempted for a highly integrated semiconductor device.

일반적으로 전극의 표면적을 증가시키기 위해 높은 종횡비를 갖는 실린더 형(Cylinder Type) 커패시터를 주로 사용하고 있다. 실린더형 커패시터는 커패시터 하부 전극의 안쪽과 바깥쪽 표면 모두를 커패시터의 유효 표면적으로 사용할 수 있어 보다 큰 정전용량을 확보할 수 있다는 장점을 갖는다.In general, a cylinder type capacitor having a high aspect ratio is mainly used to increase the surface area of the electrode. Cylindrical capacitors have the advantage that both the inner and outer surfaces of the capacitor lower electrode can be used as the effective surface area of the capacitor, thereby ensuring greater capacitance.

이러한 실린더형 커패시터를 형성하기 위해서는 커패시터 하부 전극 사이에 형성된 절연막을 제거하기 위한 습식 딥-아웃(wet dip-out) 공정이 필수적이다. 그러나, 습식 딥-아웃 공정을 이용하여 커패시터 하부 전극 사이에 형성된 절연막을 제거할 경우 커패시터 하부 전극의 기울어짐(leaning) 문제가 발생한다. 특히, 반도체 소자의 고집적화로 커패시터의 종횡비가 큰 경우, 커패시터 하부 전극의 기울어짐 현상은 심각한 실정이다.In order to form such a cylindrical capacitor, a wet dip-out process for removing an insulating layer formed between the capacitor lower electrodes is essential. However, when the insulating layer formed between the capacitor lower electrodes is removed by using a wet dip-out process, a problem of leaning of the capacitor lower electrode occurs. In particular, when the aspect ratio of the capacitor is large due to the high integration of the semiconductor device, the tilting phenomenon of the lower electrode of the capacitor is serious.

상술한 문제점을 개선하기 위해 최근 NFC(Nitride Floating Capacitor) 구조를 도입하였다. 이는 복수개의 커패시터 하부 전극을 질화막으로 이루어진 지지층으로 묶어 커패시터 하부 전극의 기울어짐을 방지하는 방법이다.In order to improve the above-mentioned problem, a recent NFC (Nitride Floating Capacitor) structure has been introduced. This is a method of preventing the inclination of the capacitor lower electrode by tying a plurality of capacitor lower electrodes with a support layer made of a nitride film.

그러나, NFC 식각으로 커패시터 하부 전극의 손실(loss)이 생기고, 커패시터 하부 전극의 주위를 지지층이 감싸고 있기 때문에 후속한 유전체막 증착 시 지지층이 형성된 부분에서는 유전체막이 증착되지 않아 커패시터의 누설 전류(leakage current)가 발생되는 문제점이 있다.However, since the loss of the lower electrode of the capacitor is caused by NFC etching and the support layer is wrapped around the lower electrode of the capacitor, the dielectric layer is not deposited in the portion where the supporting layer is formed during subsequent deposition of the dielectric film, so that the leakage current of the capacitor ) Is a problem that occurs.

본 발명은 NFC(Nitride Floating Capacitor) 구조의 실린더(Cylinder)형 커패시터를 형성함에 있어서, 커패시터 하부 전극 간 라인 타입의 지지층을 형성하여 하부 전극 주위의 지지층의 면적을 최소화함으로써, 하부 전극의 기울어짐(leaning)을 방지함과 동시에 커패시터의 누설 전류(leakage current)를 개선할 수 있는 반도체 소자 및 그 제조 방법을 제공함에 있다.According to the present invention, in forming a cylinder type capacitor having an NFC (Nitride Floating Capacitor) structure, the lower electrode is inclined by forming a line type support layer between capacitor lower electrodes to minimize the area of the support layer around the lower electrode. The present invention provides a semiconductor device and a method of manufacturing the same, which can prevent leaning and improve leakage current of a capacitor.

본 발명의 일 실시 예에 따른 반도체 소자의 제조 방법은, 스토리지 노드 콘택 플러그가 형성된 반도체 기판이 제공되는 단계, 스토리지 노드 콘택 플러그 상에 제1 희생 절연막을 형성하는 단계, 라인 타입의 마스크 패턴으로 제1 희생 절연막의 일부를 식각하여 제1 희생 절연막의 표면을 요철(

Figure 112008051462752-PAT00001
Figure 112008051462752-PAT00002
) 형상으로 형성하는 단계, 제1 희생 절연막의 철부(
Figure 112008051462752-PAT00003
)의 측벽에 지지층을 형성하는 단계, 지지층 및 제1 희생 절연막 상에 제2 희생 절연막을 형성하는 단계, 제2 및 제1 희생 절연막을 순차적으로 식각하여 스토리지 노드 콘택 플러그를 노출시키는 콘택홀을 형성하는 단계, 콘택홀, 지지층 및 제1 희생 절연막 상에 도전층 및 제3 희생 절연막을 순차적으로 형성하여 콘택홀을 채우는 단계, 제1 희생 절연막이 노출되는 시점까지 제3 희생 절연막 및 도전층을 평탄화 식각하는 단계 및 잔류된 제3 희생 절연막 및 제1 희생 절연막을 제거하는 단계를 포함한다.According to an embodiment of the present disclosure, a method of manufacturing a semiconductor device may include providing a semiconductor substrate on which a storage node contact plug is formed, forming a first sacrificial insulating layer on the storage node contact plug, and forming a line type mask pattern. 1 A portion of the sacrificial insulating layer is etched to uneven the surface of the first sacrificial insulating layer.
Figure 112008051462752-PAT00001
Figure 112008051462752-PAT00002
Forming a convex portion of the first sacrificial insulating layer
Figure 112008051462752-PAT00003
Forming a support layer on sidewalls of the substrate), forming a second sacrificial insulating film on the support layer and the first sacrificial insulating film, and sequentially etching the second and first sacrificial insulating films to form a contact hole exposing the storage node contact plug. Forming a conductive layer and a third sacrificial insulating layer sequentially on the contact hole, the support layer, and the first sacrificial insulating layer to fill the contact hole; and planarizing the third sacrificial insulating layer and the conductive layer until the first sacrificial insulating layer is exposed. Etching and removing the remaining third sacrificial insulating film and the first sacrificial insulating film.

상기에서, 마스크 패턴은 사선 방향으로 형성된다.In the above, the mask pattern is formed in the diagonal direction.

제1 희생 절연막의 일부를 식각한 후, 스토리지 노드 콘택 플러그가 형성된 영역에 제1 희생 절연막의 요부(

Figure 112008051462752-PAT00004
)가 대응되고, 스토리지 노드 콘택 플러그가 형성된 영역 사이에 제1 희생 절연막의 철부(
Figure 112008051462752-PAT00005
)가 대응된다.After etching a portion of the first sacrificial insulating layer, recesses of the first sacrificial insulating layer may be formed in a region where the storage node contact plug is formed.
Figure 112008051462752-PAT00004
) Corresponds to each other, and a convex portion of the first sacrificial insulating layer is formed between the regions where the storage node contact plug is formed.
Figure 112008051462752-PAT00005
) Corresponds.

제1 층간 절연막은 제1 층간 절연막의 표면으로부터 700 내지 800Å의 깊이로 식각된다.The first interlayer insulating film is etched to a depth of 700 to 800 으로부터 from the surface of the first interlayer insulating film.

지지층은 제1 및 제2 희생 절연막과 식각 선택비가 다른 물질로 형성된다.The support layer is formed of a material having an etching selectivity different from that of the first and second sacrificial insulating films.

지지층은 질화막으로 형성된다.The support layer is formed of a nitride film.

제1 희생 절연막의 철부의 측벽에 형성된 지지층은 라인 타입의 스페이서 형태를 갖는다.The support layer formed on the sidewall of the convex portion of the first sacrificial insulating film has a spacer shape of a line type.

제1 희생 절연막의 철부의 측벽에 지지층을 형성하는 단계는, 요철을 포함한 제1 희생 절연막의 단차를 따라 지지층을 형성하는 단계 및 스페이서 식각 공정으로 지지층을 식각하는 단계를 포함한다.Forming the support layer on the sidewall of the convex portion of the first sacrificial insulating film includes forming a support layer along a step of the first sacrificial insulating film including irregularities and etching the support layer by a spacer etching process.

콘택홀 형성 후, 지지층이 콘택홀 측벽의 양측 상부에 잔류된다.After contact hole formation, the support layer remains on both sides of the contact hole sidewalls.

잔류된 제3 희생 절연막 및 제1 희생 절연막은 습식 딥 아웃 공정으로 제거된다.The remaining third sacrificial insulating film and the first sacrificial insulating film are removed by a wet dip out process.

잔류된 제3 희생 절연막 및 제1 희생 절연막 제거 후, 지지층은 짝수번째와 홀수번째 커패시터 하부 전극 상부의 외측벽을 따라 사선 방향의 라인 타입으로 연결되어 형성된다.After the removal of the remaining third sacrificial insulating film and the first sacrificial insulating film, the supporting layer is formed by being connected in an oblique line type along the outer walls of the even-numbered and odd-numbered capacitor lower electrodes.

잔류된 제3 희생 절연막 및 제1 희생 절연막 제거 후, 짝수번째와 홀수번째 커패시터 하부 전극 간 지지층 사이에 빈 공간이 발생된다.After removing the remaining third sacrificial insulating film and the first sacrificial insulating film, an empty space is generated between the support layers between the even and odd capacitor lower electrodes.

제1 희생 절연막과 스토리지 노드 콘택 플러그 사이에 식각 정지막이 더 형성된다.An etch stop layer is further formed between the first sacrificial insulating layer and the storage node contact plug.

본 발명의 일 실시 예에 따른 반도체 소자는, 반도체 기판 상에 매트릭스 형태로 배열된 커패시터 하부 전극 및 짝수번째와 홀수번째 커패시터 하부 전극 상부의 외측벽을 따라 라인 타입으로 연결된 지지층을 포함한다.A semiconductor device according to an embodiment of the present disclosure includes a capacitor lower electrode arranged in a matrix form on a semiconductor substrate and a support layer connected in a line type along an outer wall of an upper portion of an even-numbered and odd-numbered capacitor lower electrode.

지지층은 사선 방향으로 형성된다. 지지층은 질화막으로 형성된다.The support layer is formed in the diagonal direction. The support layer is formed of a nitride film.

커패시터 하부 전극은 실린더 구조로 형성된다.The capacitor lower electrode is formed in a cylinder structure.

본 발명은 NFC(Nitride Floating Capacitor) 구조의 실린더(Cylinder)형 커패시터를 형성함에 있어서, 라인 타입(line type)의 마스크 패턴을 이용하여 희생 절연막의 표면을 요철(

Figure 112008051462752-PAT00006
Figure 112008051462752-PAT00007
) 형상으로 형성한 후 그 상부에 단차를 따라 지지층을 형성한 다음 스페이서 식각 공정으로 지지층을 식각하여 희생 절연막의 철부(
Figure 112008051462752-PAT00008
)의 양측벽에 라인 타입의 지지층을 잔류시킴으로써, 커패시터 하부 전극의 기울어짐(leaning)을 방지하고, 아울러 후속에서 커패시터 하부 전극 주위의 지지층의 면적을 최소화하여 후속한 유전체막의 증착을 용이하게 하여 커패시터의 누설 전류(leakage)를 개선할 수 있다.In the present invention, when forming a cylinder-type capacitor of a NFC (Nitride Floating Capacitor) structure, the surface of the sacrificial insulating film is uneven using a mask pattern of a line type.
Figure 112008051462752-PAT00006
Figure 112008051462752-PAT00007
After forming in the shape of a support layer along the step on the upper portion, and then etching the support layer by a spacer etching process to the convex portion of the sacrificial insulating film (
Figure 112008051462752-PAT00008
By retaining the line-type support layer on both side walls of the c), it prevents the lowering of the capacitor lower electrode and subsequently minimizes the area of the support layer around the capacitor lower electrode to facilitate deposition of subsequent dielectric films. It is possible to improve the leakage current (leakage) of.

이하, 본 발명이 속하는 기술 분야에서 통상의 지식을 가진 자가 본 발명의 기술적 사상을 용이하게 실시할 수 있을 정도로 상세히 설명하기 위하여, 본 발명의 가장 바람직한 실시 예를 첨부 도면을 참조하여 설명하기로 한다. 명세서 전체에 걸쳐서 동일한 참조 번호가 표시된 부분은 동일한 구성요소들을 나타낸다.Hereinafter, the preferred embodiments of the present invention will be described in detail with reference to the accompanying drawings so that those skilled in the art may easily implement the technical idea of the present invention. . The same reference numerals denote the same elements throughout the specification.

도 1은 본 발명의 일 실시 예에 따른 반도체 소자의 레이아웃(layout)도이다.1 is a layout diagram of a semiconductor device according to an embodiment of the present invention.

도 1을 참조하면, 반도체 기판(100) 상에 매트릭스(matrix) 형태로 배열된 실린더(Cylinder) 구조의 커패시터 하부 전극(128a) 및 짝수번째와 홀수번째 커패시터 하부 전극(128a) 상부의 외측벽을 따라 사선 방향의 라인(line) 타입으로 지지층(116)이 형성된다. 그리고, 짝수번째와 홀수번째 커패시터 하부 전극 간 지지층 사이에는 빈 공간(132)이 형성된다.Referring to FIG. 1, a capacitor lower electrode 128a having a cylinder structure arranged in a matrix form on a semiconductor substrate 100 and an outer wall of an upper portion of an even-numbered and odd-numbered capacitor lower electrode 128a may be formed. The support layer 116 is formed in a diagonal line type. An empty space 132 is formed between the support layers between the even and odd capacitor lower electrodes.

도 2a 내지 도 2i는 도 1의 선 I-I'로 절취한 상태의 제조 방법을 설명하기 위하여 공정 순서대로 도시한 단면도이고, 도 3은 도 2a에 적용되는 제1 마스크 패턴을 도시한 사시도이다.2A to 2I are cross-sectional views illustrating the manufacturing method in a state cut along the line II ′ of FIG. 1, and FIG. 3 is a perspective view illustrating a first mask pattern applied to FIG. 2A. .

도 2a 및 도 3을 참조하면, 스토리지 노드 콘택 플러그(storage node contact plug, 104)를 포함하는 층간 절연막(102)이 형성된 반도체 기판(100) 상에 제1 희생 절연막(110)을 형성한다. 스토리지 노드 콘택 플러그(104)는 일정한 간격을 가지고 매트릭스 형태로 배열될 수 있으며, 층간 절연막(102)을 관통하도록 폴리실리콘(polysilicon) 또는 금속(예를 들어, 텅스텐(W)) 등과 같은 도전 물질을 이용하여 통상적인 반도체 소자의 제조 방법으로 형성될 수 있다. 도시하지 않았으 나, 스토리지 노드 콘택 플러그(104) 하부에는 통상적인 반도체 소자의 제조 방법으로 게이트, 랜딩 플러그(landing plug) 및 비트 라인(bit line) 등 소정의 구조가 형성된다.2A and 3, a first sacrificial insulating layer 110 is formed on a semiconductor substrate 100 on which an interlayer insulating layer 102 including a storage node contact plug 104 is formed. The storage node contact plugs 104 may be arranged in a matrix form at regular intervals, and may include a conductive material such as polysilicon or metal (eg, tungsten (W)) to penetrate the interlayer insulating layer 102. It can be formed by a conventional method for manufacturing a semiconductor device using. Although not shown, a predetermined structure such as a gate, a landing plug, and a bit line is formed under the storage node contact plug 104 by a conventional method of manufacturing a semiconductor device.

제1 희생 절연막(110)은 후속한 커패시터 하부 전극 형성 시 제거되는 절연막으로서 산화막으로 형성할 수 있으며, 예를 들어 BPSG(Boron Phosphorus Silicate Glass), PSG(Phosphorus Silicate Glass) 또는 BSG(Boron Silicate Glass) 등의 도프트(doped) 산화물이나 고밀도 플라즈마(High Density Plasma; HDP), USG(Undoped Silicate Glass) 또는 TEOS(Tetra Ethyl Ortho Silicate) 등의 언도프트(undoped) 산화물을 단일층으로 형성하거나 이들을 적층하여 이중층으로 형성할 수 있다. 제1 희생 절연막(110)의 두께는 목표(target) 커패시터 하부 전극의 높이를 고려하여 형성한다.The first sacrificial insulating film 110 may be formed of an oxide film as an insulating film which is removed when the capacitor lower electrode is subsequently formed. Undoped oxides such as doped oxide, high density plasma (HDP), Undoped Silicate Glass (USG), or Tetra Ethyl Ortho Silicate (TEOS), etc. It can be formed as a double layer. The thickness of the first sacrificial insulating layer 110 is formed in consideration of the height of the target capacitor lower electrode.

한편, 제1 희생 절연막(110) 하부에는 버퍼 절연막(106) 및 식각 정지막(108)을 더 형성할 수 있다. 버퍼 절연막(106)은 식각 정지막(108)의 스트레스(stress)를 완화시키기 위한 것으로, 실리콘 산화막(SiO2)으로 형성할 수 있다. 또한, 식각 정지막(108)은 후속한 커패시터 하부 전극 주변의 희생 절연막 제거 공정 시 식각 스탑퍼(etch stopper)로 사용하기 위한 것으로, 제1 희생 절연막(110)과 서로 다른 식각 선택비를 갖는 물질로 형성하며, 바람직하게 질화막, 예를 들어 실리콘 질화막(Si3N4) 또는 실리콘 산화질화막(SiON) 등으로 형성할 수 있다.The buffer insulating layer 106 and the etch stop layer 108 may be further formed under the first sacrificial insulating layer 110. The buffer insulating layer 106 is to reduce stress of the etch stop layer 108 and may be formed of a silicon oxide layer (SiO 2 ). In addition, the etch stop layer 108 may be used as an etch stopper in a subsequent sacrificial insulating layer removal process around the lower electrode of the capacitor, and may have a different etch selectivity from the first sacrificial insulating layer 110. And a nitride film, for example, a silicon nitride film (Si 3 N 4 ), a silicon oxynitride film (SiON), or the like.

이후, 제1 희생 절연막(110) 상에 제1 마스크 패턴(114)을 형성한다. 제1 마 스크 패턴(114)은 기존은 홀 타입(hole type) 이지만, 본 발명의 일 실시 예에서는 도 3에서와 같이 사선 방향의 라인 타입(line type)으로 형성한다. 제1 마스크 패턴(114)은 포토레지스트막으로 형성할 수 있으며, 이 경우 제1 희생 절연막(110) 상에 포토레지스트를 도포한 후 노광 및 현상으로 패터닝하여 형성할 수 있다.Thereafter, a first mask pattern 114 is formed on the first sacrificial insulating layer 110. The first mask pattern 114 is conventionally a hole type, but in an embodiment of the present invention, as shown in FIG. 3, the first mask pattern 114 is formed in a line type in a diagonal direction. The first mask pattern 114 may be formed of a photoresist film. In this case, the first mask pattern 114 may be formed by coating a photoresist on the first sacrificial insulating film 110 and then patterning the photoresist with exposure and development.

제1 마스크 패턴(114) 형성 전에는 노광 공정 시 난반사를 방지하기 위해 제1 희생 절연막(110) 상에 제1 바텀 반사 방지막(bottom anti-reflective coating layer; BARC, 112)을 더 형성할 수 있다.Before forming the first mask pattern 114, a first bottom anti-reflective coating layer (BARC) 112 may be further formed on the first sacrificial insulating layer 110 to prevent diffuse reflection in the exposure process.

도 2b를 참조하면, 제1 마스크 패턴(도 2a의 114)을 이용하여 제1 바텀 반사 방지막(도 2a의 112) 및 제1 희생 절연막(110)의 일부를 순차적으로 식각한다. 식각 공정은 건식 식각(dry etch) 공정으로 실시할 수 있으며, 후속 써멀(thermal)에 의한 크랙(crack)을 방지하기 위해 제1 층간 절연막(110)의 표면으로부터 700 내지 800Å의 깊이로 식각할 수 있다.Referring to FIG. 2B, the first bottom anti-reflection film 112 (in FIG. 2A) and a portion of the first sacrificial insulating layer 110 are sequentially etched using the first mask pattern (114 in FIG. 2A). The etching process may be performed by a dry etch process, and may be etched to a depth of 700 to 800 으로부터 from the surface of the first interlayer insulating layer 110 to prevent cracks due to subsequent thermal. have.

이후, 패터닝된 제1 바텀 반사 방지막(미도시) 및 제1 마스크 패턴(도 2a의 114)을 제거한다. 이로써, 제1 희생 절연막(110)의 표면이 요철(

Figure 112008051462752-PAT00009
Figure 112008051462752-PAT00010
, 오목부(concave)와 돌출부(convex)) 형상을 가진다.Thereafter, the patterned first bottom anti-reflection film (not shown) and the first mask pattern (114 of FIG. 2A) are removed. As a result, the surface of the first sacrificial insulating film 110 is uneven (
Figure 112008051462752-PAT00009
Figure 112008051462752-PAT00010
, Concave and convex shapes.

도 2c를 참조하면, 요철을 포함한 제1 희생 절연막(110)의 단차를 따라 지지층(116)을 형성한다. 지지층(116)은 이후에 형성될 커패시터 하부 전극의 기울어짐(leaning)과 누설 전류(leakage current)를 방지하기 위한 것으로, 제1 희생 절연막(110)과 식각 선택비가 다른 물질로 형성하며, 바람직하게 질화막으로 형성할 수 있다.Referring to FIG. 2C, the support layer 116 is formed along the step of the first sacrificial insulating layer 110 including irregularities. The support layer 116 is to prevent the leakage and leakage current of the capacitor lower electrode to be formed later. The support layer 116 is formed of a material having a different etching selectivity from the first sacrificial insulating layer 110. It can be formed as a nitride film.

도 2d를 참조하면, 통상의 스페이서 식각 공정으로 지지층(도 2c의 116)을 식각한다. 바람직하게, 스페이서 식각 공정은 에치백(etch back) 공정으로 실시할 수 있다. 이로써, 스페이서 식각 공정에 의해 지지층(도 2c의 116)의 수평부가 모두 제거되고, 수평부에 비해 두껍게 형성된 수직부가 남아 제1 희생 절연막(110)의 철부(

Figure 112008051462752-PAT00011
, 돌출부) 측벽에 라인 타입의 스페이서 형태로 지지층(116)이 잔류된다. 이러한 지지층(116)이 커패시터 하부 전극의 기울어짐과 누설 전류를 방지하게 된다.Referring to FIG. 2D, the support layer 116 of FIG. 2C is etched by a conventional spacer etching process. Preferably, the spacer etching process may be performed by an etch back process. As a result, all the horizontal portions of the support layer 116 of FIG. 2C are removed by the spacer etching process, and the vertical portions formed thicker than the horizontal portions remain to form the convex portions of the first sacrificial insulating layer 110.
Figure 112008051462752-PAT00011
The support layer 116 remains on the sidewalls of the protrusions in the form of line-type spacers. The support layer 116 prevents the inclination and leakage current of the capacitor lower electrode.

도 2e를 참조하면, 라인 타입의 스페이서 형태로 잔류된 지지층(116)을 포함한 제1 희생 절연막(110) 상에 제2 희생 절연막(118), 하드 마스크막(120) 및 제2 바텀 반사 방지막(122)을 순차적으로 형성한다.Referring to FIG. 2E, the second sacrificial insulating film 118, the hard mask film 120, and the second bottom anti-reflection film (on the first sacrificial insulating film 110 including the support layer 116 remaining in the form of a line-type spacer) 122) are formed sequentially.

제2 희생 절연막(118)은 제1 희생 절연막(110)과 식각 선택비가 동일하거나 유사한 물질로 형성하며, 바람직하게 산화막으로 형성할 수 있다. 제2 희생 절연막(118)은 증착 후 평탄화 공정을 실시하여 제1 희생 절연막(110)의 철부(

Figure 112008051462752-PAT00012
, 돌출부)와 평탄화 되도록 형성할 수 있다.The second sacrificial insulating layer 118 may be formed of a material having the same or similar etching selectivity as that of the first sacrificial insulating layer 110, and may be preferably formed of an oxide layer. After the deposition, the second sacrificial insulating layer 118 may be planarized to form convex portions of the first sacrificial insulating layer 110.
Figure 112008051462752-PAT00012
And protrusions) to be planarized.

하드 마스크막(120)은 후속한 커패시터 하부 전극을 형성하기 위한 콘택홀 형성 시 식각 마스크로 사용하기 위한 것으로, 아모퍼스 카본막(Amorphous Carbon)으로 형성할 수 있다. 제2 바텀 반사 방지막(122)은 생략될 수도 있다.The hard mask layer 120 may be used as an etching mask when forming a contact hole for forming a subsequent capacitor lower electrode, and may be formed of an amorphous carbon layer. The second bottom anti-reflection film 122 may be omitted.

이후, 제2 바텀 반사 방지막(122) 상에 제2 마스크 패턴(124)을 형성한다. 제2 마스크 패턴(124)은 커패시터 하부 전극을 형성하기 위한 콘택홀을 형성하기 위한 것으로, 홀 타입으로 형성한다. 이때, 제2 마스크 패턴(124)의 홀은 매트릭스 형태로 커패시터 하부 전극 콘택홀이 형성될 영역을 노출시키도록 형성한다. 제2 마스크 패턴(124)은 포토레지스트막으로 형성할 수 있으며, 이 경우 제2 바텀 반사 방지막(122) 상에 포토레지스트를 도포한 후 노광 및 현상으로 패터닝하여 형성할 수 있다.Thereafter, a second mask pattern 124 is formed on the second bottom anti-reflection film 122. The second mask pattern 124 is to form a contact hole for forming a capacitor lower electrode, and is formed in a hole type. In this case, the hole of the second mask pattern 124 is formed to expose the region where the capacitor lower electrode contact hole is to be formed in a matrix form. The second mask pattern 124 may be formed of a photoresist film. In this case, the second mask pattern 124 may be formed by applying a photoresist on the second bottom anti-reflection film 122 and patterning the photoresist with exposure and development.

도 2f를 참조하면, 제2 마스크 패턴(도 2e의 124)을 이용하여 스토리지 노드 콘택 플러그(104) 상부의 제2 바텀 반사 방지막(도 2e의 122), 하드 마스크막(도 2e의 120), 제2 및 제1 희생 절연막(118, 110), 식각 정지막(108) 및 버퍼 절연막(106)을 순차적으로 식각한다. Referring to FIG. 2F, a second bottom anti-reflection film (122 in FIG. 2E), a hard mask film (120 in FIG. 2E), over the storage node contact plug 104 using the second mask pattern (124 in FIG. 2E), The second and first sacrificial insulating layers 118 and 110, the etch stop layer 108, and the buffer insulating layer 106 are sequentially etched.

이로써, 스토리지 노드 콘택 플러그(104)를 노출시키는 커패시터 하부 전극 콘택홀(126)이 형성된다. 이때, 커패시터 하부 전극 콘택홀(126) 측벽의 양측 상부에는 지지층(116)이 그대로 잔류되어 형성된다.As a result, the capacitor lower electrode contact hole 126 exposing the storage node contact plug 104 is formed. In this case, the support layer 116 remains on both sides of the sidewalls of the capacitor lower electrode contact hole 126 as it is.

한편, 커패시터 하부 전극 콘택홀(126)을 형성하는 과정에서 제2 마스크 패턴(도 2e의 124), 제2 바텀 반사 방지막(도 2e의 122) 및 하드 마스크막(도 2e의 120)이 함께 식각되어 제거될 수 있으며, 잔류될 경우에는 이들을 제거한다.In the process of forming the capacitor lower electrode contact hole 126, the second mask pattern 124 of FIG. 2E, the second bottom anti-reflection film 122 of FIG. 2E, and the hard mask film 120 of FIG. 2E are etched together. Can be removed and, if remaining, removed.

도 2g를 참조하면, 커패시터 하부 전극 콘택홀(126)의 일부가 채워지도록 커패시터 하부 전극 콘택홀(126)을 포함한 지지층(116) 및 제1 희생 절연막(110) 상에 도전층(128)을 형성한다. 도전층(128)은 커패시터 하부 전극으로 사용하기 위한 것으로 커패시터 하부 전극 콘택홀(126)을 포함한 지지층(116) 및 제2 희생 절연막(110)의 단차를 따라 라이너(liner) 형태로 형성하며, 티타늄 질화막(TiN)으로 형성할 수 있다.Referring to FIG. 2G, the conductive layer 128 is formed on the support layer 116 including the capacitor lower electrode contact hole 126 and the first sacrificial insulating layer 110 to fill a portion of the capacitor lower electrode contact hole 126. do. The conductive layer 128 is used as a capacitor lower electrode, and is formed in a liner shape along the step between the supporting layer 116 including the capacitor lower electrode contact hole 126 and the second sacrificial insulating layer 110. It may be formed of a nitride film (TiN).

그런 다음, 커패시터 하부 전극 콘택홀(126)이 채워지도록 도전층(128) 상에 제3 희생 절연막(130)을 형성한다. 제3 희생 절연막(130)은 후속한 커패시터 하부 전극 형성 시 제거되는 절연막으로서 제1 희생 절연막(110)과 식각 선택비가 동일하거나 유사한 물질로 형성한다. 바람직하게, 제3 희생 절연막(130)은 산화막으로 형성할 수 있으며, 매립 특성이 좋은 SOD(Spin On Dielectric) 방식으로 형성함이 바람직하다. Then, a third sacrificial insulating layer 130 is formed on the conductive layer 128 to fill the capacitor lower electrode contact hole 126. The third sacrificial insulating layer 130 is an insulating layer which is removed when the capacitor lower electrode is subsequently formed, and is formed of a material having the same or similar etching selectivity as the first sacrificial insulating layer 110. Preferably, the third sacrificial insulating layer 130 may be formed of an oxide film, and preferably, the third sacrificial insulating layer 130 is formed by a spin on dielectric (SOD) method having good buried characteristics .

도 2h를 참조하면, 제1 희생 절연막(110)이 노출되는 시점까지 제3 희생 절연막(130) 및 도전층(도 2g의 128)을 평탄화 식각한다. 평탄화 식각 공정은 에치백 공정 또는 화학적 기계적 연마(Chemical Mechanical Polishing; CMP) 공정으로 실시할 수 있다.Referring to FIG. 2H, the third sacrificial insulating layer 130 and the conductive layer (128 of FIG. 2G) are planarized and etched until the first sacrificial insulating layer 110 is exposed. The planarization etching process may be performed by an etch back process or a chemical mechanical polishing (CMP) process.

이로써, 평탄화 식각 공정에 의해 도전층(도 2g의 128)이 분리됨에 따라 커패시터 하부 전극 콘택홀(126) 내부에 스토리지 노드 콘택 플러그(104)와 접속되며, 지지층(116)을 감싸는 실린더(cylinder) 구조의 커패시터 하부 전극(128a)이 형성된다. 이때, 커패시터 하부 전극(128a)은 매트릭스 형태로 배열된다.Thus, as the conductive layer (128 in FIG. 2G) is separated by the planarization etching process, a cylinder is connected to the storage node contact plug 104 inside the capacitor lower electrode contact hole 126 and surrounds the support layer 116. The capacitor lower electrode 128a of the structure is formed. At this time, the capacitor lower electrode 128a is arranged in a matrix form.

도 2i를 참조하면, 커패시터 하부 전극 콘택홀(도 2h의 126) 내부에 잔류된 제3 희생 절연막(도 2h의 130) 및 커패시터 하부 전극(128a) 사이의 제1 희생 절연막(도 2h의 110)을 제거한다. 제1 및 제3 희생 절연막(도 2h의 110, 130)의 제거 공정은 습식 딥-아웃(wet dip-out) 공정으로 실시함이 바람직하다. 이때, 습식 딥-아웃 공정은 식각 정지막(도 2h의 108)보다 제1 및 제3 희생 절연막(도 2h의 110, 130)에 대한 식각 속도 비가 높은 식각 레시피를 이용하여 실시한다. Referring to FIG. 2I, the first sacrificial insulating film 130 (in FIG. 2H) remaining inside the capacitor lower electrode contact hole (126 in FIG. 2H) and the first sacrificial insulating film (110 in FIG. 2H) between the capacitor lower electrode 128a may be used. Remove it. The removal process of the first and third sacrificial insulating films 110 and 130 of FIG. 2H is preferably performed by a wet dip-out process. In this case, the wet dip-out process may be performed using an etch recipe having a higher etch rate ratio with respect to the first and third sacrificial insulating layers 110 and 130 of FIG. 2H than the etch stop layer 108 of FIG. 2H.

본 발명의 실시 예에서는 제1 및 제3 희생 절연막(도 2h의 110, 130)이 산화 막으로 형성되므로, 습식 딥-아웃 공정은 HF 용액을 사용하여 실시할 수 있다. 이러한 습식 딥-아웃 공정은 식각 정지막(도 2h의 108)과 제1 및 제3 희생 절연막(도 2h의 110, 130)의 서로 다른 식각 속도 비로 인해 식각 정지막(도 2h의 108)의 표면에서 식각이 정지된다.In the embodiment of the present invention, since the first and third sacrificial insulating layers 110 and 130 of FIG. 2H are formed of an oxide film, the wet dip-out process may be performed using an HF solution. This wet dip-out process is performed by the surface of the etch stop film (108 in FIG. 2H) due to the different etch rate ratio between the etch stop film (108 in FIG. 2H) and the first and third sacrificial insulating films (110, 130 in FIG. 2H). Etch stops at.

이어서, 식각 정지막(도 2h의 108)을 제거한다. 식각 정지막(도 2h의 108)은 인산(H3PO4) 용액을 이용하여 제거할 수 있다. 한편, 식각 정지막(도 2h의 108) 제거 과정에서 버퍼 절연막(도 2h의 106)이 함께 식각되어 제거될 수 있으며, 잔류될 경우 BOE(Buffered Oxide Etchant) 또는 HF 용액을 이용하여 제거할 수 있다.Next, the etch stop film (108 in FIG. 2H) is removed. The etch stop film (108 in FIG. 2H) may be removed using a phosphoric acid (H 3 PO 4 ) solution. Meanwhile, in the process of removing the etch stop layer (108 of FIG. 2H), the buffer insulating layer (106 of FIG. 2H) may be etched and removed together, and may be removed by using BOE (Buffered Oxide Etchant) or HF solution. .

이로써, 제1 및 제3 희생 절연막(도 2h의 110, 130), 식각 정지막(도 2h의 108) 및 버퍼 절연막(도 2h의 106)이 제거되어 커패시터 하부 전극(128a)의 내측과 외측이 노출된다. 이때, 지지층(116)은 짝수번째와 홀수번째 커패시터 하부 전극(128a) 상부의 외측벽을 따라 사선 방향의 라인 타입으로 연결되어 형성된다. 즉, 지지층(116)은 커패시터 하부 전극(128a) 상부에 떠 있는(floating) 구조로 형성되므로, 커패시터 하부 전극(128a) 간 지지층(116) 사이는 빈 공간(132)이 된다.As a result, the first and third sacrificial insulating films 110 and 130 of FIG. 2H, the etch stop film 108 and FIG. 2H, and the buffer insulating film 106 of FIG. 2H are removed, so that the inside and the outside of the capacitor lower electrode 128a are removed. Exposed. In this case, the support layer 116 is formed by being connected in a diagonal line type along the outer wall of the upper part of the even-numbered and odd-numbered capacitor lower electrodes 128a. That is, since the support layer 116 is formed to have a floating structure on the upper portion of the capacitor lower electrode 128a, the support layer 116 becomes an empty space 132 between the support layers 116 between the capacitor lower electrodes 128a.

이렇듯, 커패시터 하부 전극(128a)을 노출하는 습식 딥-아웃 공정 시 복수개의 커패시터 하부 전극(128a)이 커패시터 하부 전극(128a) 상부에 떠 있는 지지층(116)에 의해 묶여 고정되므로 습식 딥-아웃 공정으로 제1 및 제3 희생 절연막(도 2h의 110, 130) 제거 후 커패시터 하부 전극(128a)의 기울어짐(leaning)을 방지할 수 있다.As such, in the wet deep-out process of exposing the capacitor lower electrode 128a, the plurality of capacitor lower electrodes 128a are bundled and fixed by the support layer 116 floating on the upper portion of the capacitor lower electrode 128a. Therefore, the removal of the first and third sacrificial insulating layers 110 and 130 of FIG. 2H may prevent the lowering of the capacitor lower electrode 128a.

이후, 도시하지는 않았지만, 내측과 외측이 노출된 커패시터 하부 전극(128a) 및 층간 절연막(102) 상에 노출된 커패시터 하부 전극(128a) 및 층간 절연막(102)의 표면을 따라 유전체막을 형성하고, 유전체막 상에 커패시터 상부 전극(플레이트 전극)을 형성하여 커패시터 하부 전극(128a), 유전체막 및 커패시터 상부 전극의 적층 구조로 이루어진 커패시터를 완성한다.Subsequently, although not shown, a dielectric film is formed along the surfaces of the capacitor lower electrode 128a and the interlayer insulating layer 102 exposed on the capacitor lower electrode 128a and the interlayer insulating layer 102 with the inner and outer sides exposed, and the dielectric A capacitor upper electrode (plate electrode) is formed on the film to complete a capacitor having a stacked structure of the capacitor lower electrode 128a, the dielectric film, and the capacitor upper electrode.

기존에는 지지층이 커패시터 하부 전극 주위를 넓은 범위로 감싸고 있었기 때문에 후속한 유전체막 증착 시 지지층이 형성된 부분에서는 유전체막이 증착되지 않아 커패시터의 누설 전류가 발생되는 문제점이 있었다. 하지만, 본 발명의 일 실시 예에서는, 라인 타입의 제1 마스크 패턴(도 2a의 114)을 이용하여 짝수번째와 홀수번째 커패시터 하부 전극(128a) 상부의 외측벽을 따라 사선 방향의 라인 타입으로 연결되도록 지지층(116)을 형성함으로써, 기존보다 커패시터 하부 전극(128a) 간 지지층(116) 사이의 빈 공간(132)이 차지하는 면적만큼 커패시터 하부 전극(128a) 주위에서 지지층(116)이 차지하는 면적을 감소시킬 수 있다. 따라서, 커패시터 하부 전극(128a) 주위에서 지지층(116)의 면적을 최소화하여 후속한 유전체막의 증착을 용이하게 함으로써 커패시터의 누설 전류를 개선할 수 있다.In the past, since the support layer was wrapped around the lower electrode of the capacitor in a wide range, there was a problem in that the leakage current of the capacitor was generated because the dielectric film was not deposited in the portion where the support layer was formed during the subsequent deposition of the dielectric film. However, in an embodiment of the present invention, the line type first mask pattern (114 in FIG. 2A) is used to be connected in a diagonal line type along the outer wall of the upper portion of the even-numbered and odd-numbered capacitor lower electrodes 128a. By forming the support layer 116, the area occupied by the support layer 116 around the capacitor lower electrode 128a can be reduced by the area occupied by the empty space 132 between the support layer 116 between the capacitor lower electrodes 128a. Can be. Accordingly, the leakage current of the capacitor can be improved by minimizing the area of the support layer 116 around the capacitor lower electrode 128a to facilitate deposition of subsequent dielectric films.

본 발명은 상기에서 서술한 실시예에 한정되는 것이 아니라 서로 다른 다양한 형태로 구현될 수 있으며, 상기의 실시예는 본 발명의 개시가 완전하도록 하며 통상의 지식을 가진 자에게 발명의 범주를 완전하게 알려주기 위해 제공되는 것이다. 따라서, 본 발명의 범위는 본원의 특허 청구 범위에 의해서 이해되어야 한다.The present invention is not limited to the above-described embodiments, but may be implemented in various forms, and the above embodiments are intended to complete the disclosure of the present invention and to completely convey the scope of the invention to those skilled in the art. It is provided to inform you. Therefore, the scope of the present invention should be understood by the claims of the present application.

도 1은 본 발명의 일 실시 예에 따른 반도체 소자의 레이아웃도이다.1 is a layout diagram of a semiconductor device according to an embodiment of the present invention.

도 2a 내지 도 2i는 도 1의 선 I-I'로 절취한 상태의 제조 방법을 설명하기 위하여 공정 순서대로 도시한 단면도이다.2A to 2I are cross-sectional views shown in order of process in order to explain the manufacturing method of the state cut along the line II ′ of FIG. 1.

도 3은 도 2a에 적용되는 제1 마스크 패턴을 도시한 사시도이다.FIG. 3 is a perspective view illustrating a first mask pattern applied to FIG. 2A.

<도면의 주요 부분에 대한 부호의 설명><Explanation of symbols for the main parts of the drawings>

100 : 반도체 기판 102 : 층간 절연막100 semiconductor substrate 102 interlayer insulating film

104 : 스토리지 노드 콘택 플러그 106 : 버퍼 절연막104: storage node contact plug 106: buffer insulating film

108 : 식각 정지막 110 : 제1 희생 절연막108: etching stop film 110: first sacrificial insulating film

112 : 제1 바텀 반사 방지막 114 : 제1 마스크 패턴112: first bottom antireflection film 114: first mask pattern

116 : 지지층 118 : 제2 희생 절연막116: support layer 118: second sacrificial insulating film

120 : 하드 마스크막 122 : 제2 바텀 반사 방지막120: hard mask film 122: second bottom antireflection film

124 : 제2 마스크 패턴 126 : 커패시터 하부 전극 콘택홀124: second mask pattern 126: capacitor lower electrode contact hole

128 : 도전층 128a : 커패시터 하부 전극128: conductive layer 128a: capacitor lower electrode

130 : 제3 희생 절연막 132 : 빈 공간 130: third sacrificial insulating film 132: empty space

Claims (17)

스토리지 노드 콘택 플러그가 형성된 반도체 기판이 제공되는 단계;Providing a semiconductor substrate having a storage node contact plug formed thereon; 상기 스토리지 노드 콘택 플러그 상에 제1 희생 절연막을 형성하는 단계;Forming a first sacrificial insulating film on the storage node contact plug; 라인 타입의 마스크 패턴으로 상기 제1 희생 절연막의 일부를 식각하여 상기 제1 희생 절연막의 표면을 요철 형상으로 형성하는 단계;Etching a portion of the first sacrificial insulating layer by using a mask pattern of a line type to form a surface of the first sacrificial insulating layer in an uneven shape; 상기 제1 희생 절연막의 철부의 측벽에 지지층을 형성하는 단계;Forming a support layer on sidewalls of the convex portion of the first sacrificial insulating film; 상기 지지층 및 상기 제1 희생 절연막 상에 제2 희생 절연막을 형성하는 단계;Forming a second sacrificial insulating film on the support layer and the first sacrificial insulating film; 상기 제2 및 제1 희생 절연막을 순차적으로 식각하여 상기 스토리지 노드 콘택 플러그를 노출시키는 콘택홀을 형성하는 단계;Sequentially etching the second and first sacrificial insulating layers to form a contact hole exposing the storage node contact plug; 상기 콘택홀, 상기 지지층 및 상기 제1 희생 절연막 상에 도전층 및 제3 희생 절연막을 순차적으로 형성하여 상기 콘택홀을 채우는 단계;Filling the contact hole by sequentially forming a conductive layer and a third sacrificial insulating film on the contact hole, the support layer, and the first sacrificial insulating film; 상기 제1 희생 절연막이 노출되는 시점까지 상기 제3 희생 절연막 및 상기 도전층을 평탄화 식각하는 단계; 및Planarization etching the third sacrificial insulating film and the conductive layer until a time point at which the first sacrificial insulating film is exposed; And 잔류된 상기 제3 희생 절연막 및 상기 제1 희생 절연막을 제거하는 단계를 포함하는 반도체 소자의 제조 방법.Removing the remaining third sacrificial insulating film and the first sacrificial insulating film. 제 1 항에 있어서,The method of claim 1, 상기 마스크 패턴은 사선 방향으로 형성되는 반도체 소자의 제조 방법.The mask pattern is a manufacturing method of a semiconductor device formed in an oblique direction. 제 1 항에 있어서,The method of claim 1, 상기 제1 희생 절연막의 일부를 식각한 후, 상기 스토리지 노드 콘택 플러그가 형성된 영역에 상기 제1 희생 절연막의 요부가 대응되고, 상기 스토리지 노드 콘택 플러그가 형성된 영역 사이에 상기 제1 희생 절연막의 철부가 대응되는 반도체 소자의 제조 방법.After etching a portion of the first sacrificial insulating layer, recesses of the first sacrificial insulating layer correspond to regions where the storage node contact plugs are formed, and convex portions of the first sacrificial insulating layers are formed between regions where the storage node contact plugs are formed. Corresponding method for manufacturing a semiconductor device. 제 1 항에 있어서,The method of claim 1, 상기 제1 층간 절연막은 상기 제1 층간 절연막의 표면으로부터 700 내지 800Å의 깊이로 식각되는 반도체 소자의 제조 방법.And the first interlayer insulating film is etched to a depth of 700 to 800 으로부터 from the surface of the first interlayer insulating film. 제 1 항에 있어서,The method of claim 1, 상기 지지층은 상기 제1 및 제2 희생 절연막과 식각 선택비가 다른 물질로 형성되는 반도체 소자의 제조 방법.The support layer may be formed of a material having an etching selectivity different from that of the first and second sacrificial insulating layers. 제 5 항에 있어서,The method of claim 5, wherein 상기 지지층은 질화막으로 형성되는 반도체 소자의 제조 방법.The support layer is a manufacturing method of a semiconductor device formed of a nitride film. 제 1 항에 있어서,The method of claim 1, 상기 제1 희생 절연막의 철부의 측벽에 형성된 상기 지지층은 라인 타입의 스페이서 형태를 갖는 반도체 소자의 제조 방법.The support layer formed on sidewalls of the convex portion of the first sacrificial insulating film has a line-type spacer form. 제 1 항에 있어서, The method of claim 1, 상기 제1 희생 절연막의 철부의 측벽에 지지층을 형성하는 단계는,Forming a support layer on sidewalls of the convex portion of the first sacrificial insulating film, 상기 요철을 포함한 상기 제1 희생 절연막의 단차를 따라 지지층을 형성하는 단계; 및Forming a support layer along a step of the first sacrificial insulating layer including the unevenness; And 스페이서 식각 공정으로 상기 지지층을 식각하는 단계를 포함하는 반도체 소자의 제조 방법.And etching the support layer by a spacer etching process. 제 1 항에 있어서, The method of claim 1, 상기 콘택홀 형성 후, 상기 지지층이 상기 콘택홀 측벽의 양측 상부에 잔류되는 반도체 소자의 제조 방법.And after the contact hole is formed, the support layer remains on both sides of the contact hole sidewalls. 제 1 항에 있어서,The method of claim 1, 상기 잔류된 제3 희생 절연막 및 상기 제1 희생 절연막은 습식 딥 아웃 공정으로 제거되는 반도체 소자의 제조 방법.The remaining third sacrificial insulating film and the first sacrificial insulating film is removed by a wet dip out process. 제 1 항에 있어서, The method of claim 1, 상기 잔류된 제3 희생 절연막 및 상기 제1 희생 절연막 제거 후, 상기 지지층은 짝수번째와 홀수번째 커패시터 하부 전극 상부의 외측벽을 따라 사선 방향의 라인 타입으로 연결되어 형성되는 반도체 소자의 제조 방법.After removing the remaining third sacrificial insulating film and the first sacrificial insulating film, the support layer is formed in a diagonal line-type along the outer wall of the upper and lower odd-numbered capacitor lower electrode. 제 1 항에 있어서, The method of claim 1, 상기 잔류된 제3 희생 절연막 및 상기 제1 희생 절연막 제거 후, 짝수번째와 홀수번째 상기 커패시터 하부 전극 간 상기 지지층 사이에 빈 공간이 발생되는 반도체 소자의 제조 방법.And removing the remaining third sacrificial insulating film and the first sacrificial insulating film, and a void space is generated between the support layers between the even and odd-numbered capacitor lower electrodes. 제 1 항에 있어서, The method of claim 1, 상기 제1 희생 절연막과 상기 스토리지 노드 콘택 플러그 사이에 식각 정지막이 더 형성되는 반도체 소자의 제조 방법.And a etch stop layer is further formed between the first sacrificial insulating layer and the storage node contact plug. 반도체 기판 상에 매트릭스 형태로 배열된 커패시터 하부 전극; 및A capacitor lower electrode arranged in a matrix form on the semiconductor substrate; And 짝수번째와 홀수번째 상기 커패시터 하부 전극 상부의 외측벽을 따라 라인 타입으로 연결된 지지층을 포함하는 반도체 소자.And a support layer connected in a line type along an outer wall of an even-numbered and odd-numbered capacitor lower electrode. 제 14 항에 있어서,The method of claim 14, 상기 지지층은 사선 방향으로 형성되는 반도체 소자.The support layer is a semiconductor device formed in an oblique direction. 제 14 항에 있어서,The method of claim 14, 상기 지지층은 질화막으로 형성되는 반도체 소자.The support layer is a semiconductor device formed of a nitride film. 제 14 항에 있어서,The method of claim 14, 상기 커패시터 하부 전극은 실린더 구조로 형성되는 반도체 소자.The capacitor lower electrode is a semiconductor device formed in a cylinder structure.
KR1020080069577A 2008-07-17 2008-07-17 Semiconductor device and manufacturing method thereof KR20100008940A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020080069577A KR20100008940A (en) 2008-07-17 2008-07-17 Semiconductor device and manufacturing method thereof

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020080069577A KR20100008940A (en) 2008-07-17 2008-07-17 Semiconductor device and manufacturing method thereof

Publications (1)

Publication Number Publication Date
KR20100008940A true KR20100008940A (en) 2010-01-27

Family

ID=41817442

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020080069577A KR20100008940A (en) 2008-07-17 2008-07-17 Semiconductor device and manufacturing method thereof

Country Status (1)

Country Link
KR (1) KR20100008940A (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8785319B2 (en) 2012-03-28 2014-07-22 Samsung Electronics Co., Ltd. Methods for forming fine patterns of a semiconductor device

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8785319B2 (en) 2012-03-28 2014-07-22 Samsung Electronics Co., Ltd. Methods for forming fine patterns of a semiconductor device

Similar Documents

Publication Publication Date Title
KR100716641B1 (en) Method of manufacturing cylinder type capacitor using amorphous carbon lyaer
US7670909B2 (en) Method for fabricating semiconductor device with vertical channel transistor
US6867096B2 (en) Method of fabricating semiconductor device having capacitor
KR100587635B1 (en) Method for fabrication of semiconductor device
US7714435B2 (en) Semiconductor device and method for fabricating the same
US6271079B1 (en) Method of forming a trench capacitor
US7112506B2 (en) Method for forming capacitor of semiconductor device
US7678676B2 (en) Method for fabricating semiconductor device with recess gate
KR100772687B1 (en) Method for manufacturing a semiconductor device
KR20100008940A (en) Semiconductor device and manufacturing method thereof
KR100532420B1 (en) Method for fabricating cell capacitor of DRAM
KR101025739B1 (en) Method of manufacturing semiconductor device with neck free vertical gate
US20230029202A1 (en) Contact structure forming method, contact structure, and semiconductor device
KR101211686B1 (en) Method for fabricating capacitor in semiconductor device
US20210384200A1 (en) Vertical memory devices and methods of manufacturing the same
KR100913015B1 (en) Semiconductor device and manufacturing method thereof
KR101025732B1 (en) Method of fabricating capacitor for crack prevention
KR20130041522A (en) Semiconductor device and method for fabricating the same
KR100587032B1 (en) Method of manufacturing semiconductor memory device
KR20050119498A (en) Method for manufacturing the same
KR20120042576A (en) Method for forming the semiconductor device
KR100842761B1 (en) Method for forming capacitor bottom electrode of semiconductor device
KR20070114952A (en) Method of forming a capacitor
KR20120052504A (en) Capacitor with double cylinder type storage node and method for manufacturing capacitor
KR100875658B1 (en) Semiconductor device manufacturing method

Legal Events

Date Code Title Description
WITN Application deemed withdrawn, e.g. because no request for examination was filed or no examination fee was paid