KR20090074533A - Method for manufacturing a nonvolatile memory device - Google Patents

Method for manufacturing a nonvolatile memory device Download PDF

Info

Publication number
KR20090074533A
KR20090074533A KR1020080000351A KR20080000351A KR20090074533A KR 20090074533 A KR20090074533 A KR 20090074533A KR 1020080000351 A KR1020080000351 A KR 1020080000351A KR 20080000351 A KR20080000351 A KR 20080000351A KR 20090074533 A KR20090074533 A KR 20090074533A
Authority
KR
South Korea
Prior art keywords
film
forming
device isolation
manufacturing
conductive
Prior art date
Application number
KR1020080000351A
Other languages
Korean (ko)
Inventor
이창진
Original Assignee
주식회사 하이닉스반도체
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 주식회사 하이닉스반도체 filed Critical 주식회사 하이닉스반도체
Priority to KR1020080000351A priority Critical patent/KR20090074533A/en
Publication of KR20090074533A publication Critical patent/KR20090074533A/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28123Lithography-related aspects, e.g. sub-lithography lengths; Isolation-related aspects, e.g. to solve problems arising at the crossing with the side of the device isolation; Planarisation aspects
    • H01L21/28141Lithography-related aspects, e.g. sub-lithography lengths; Isolation-related aspects, e.g. to solve problems arising at the crossing with the side of the device isolation; Planarisation aspects insulating part of the electrode is defined by a sidewall spacer, e.g. dummy spacer, or a similar technique, e.g. oxidation under mask, plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Semiconductor Memories (AREA)
  • Non-Volatile Memory (AREA)

Abstract

A method for manufacturing a non-volatile memory device is provided to form a wing spacer between neighboring floating gates in order to improve the cycling property of the device. A tunnel insulating layer(101A) and a first conductive layer(102B) are formed on a substrate(100A). The first conductive layer, the tunnel insulating layer and the substrate are partially etched to form trenches. Some of the trenches are padded to form a device isolation film. A spacer(105A) consisting of a second conductive layer is formed on the both sides of the first conductive layer. The device isolation film is partially recessed by the spacer serving as an etching barrier and therefore a wing spacer is formed. A dielectric film(106) is formed on the top. A third conductive film(107) is formed on the dielectric film.

Description

비휘발성 메모리 소자의 제조방법{METHOD FOR MANUFACTURING A NONVOLATILE MEMORY DEVICE}Manufacturing method of nonvolatile memory device {METHOD FOR MANUFACTURING A NONVOLATILE MEMORY DEVICE}

본 발명은 반도체 소자 및 제조 기술에 관한 것으로, 특히 비휘발성 메모리 소자 및 그 제조방법, 더욱 상세하게는 복수의 메모리 셀이 직렬 연결되어 단위 스트링(string)을 구성하는 모든 비휘발성 메모리 소자 및 그 제조방법에 관한 것이다. BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a semiconductor device and a manufacturing technology, and more particularly, to a nonvolatile memory device and a method for manufacturing the same, and more particularly, to a nonvolatile memory device and a manufacturing method thereof, in which a plurality of memory cells are connected in series to form a unit string. It is about a method.

비휘발성 메모리 소자인 낸드 플래시 메모리 소자(NAND type flash memory device)는 고집적을 위해 복수의 셀이 직렬 연결되어 단위 스트링(string)을 구성하며, 주로 메모리 스틱(memory stick), USB 드라이버(Universal Serial Bus driver), 하드 디스크(hard disk)를 대체할 수 있는 소자로 그 적용 분야를 넓혀 가고 있다. NAND type flash memory device, which is a nonvolatile memory device, is composed of a plurality of cells connected in series to form a unit string for high integration. A memory stick and a USB driver (Universal Serial Bus) are mainly used. Drivers and hard disk (hard disk) to replace the device is expanding the field of application.

일반적으로 낸드 플래시 메모리 소자는 SA-STI(Self Aligned-Shallow Trench Isolation) 공정을 적용하여 소자 분리(소자 분리막 형성)를 구현하는 동시에 플로팅 게이트를 형성하고 있다. SA-STI 공정은 플로팅 게이트를 적층 구조로 형성함에 따라 플로팅 게이트의 표면적을 자유롭게 제어할 수 있는 이점은 있으나, 추가로 식각공정을 실시하여 플로팅 게이트를 형성함에 따라 식각공정시 정렬 자유도(align margin)에 많은 영향을 받기 때문에 그만큼 공정 제어가 어려운 단점이 있다. In general, NAND flash memory devices implement a self-aligned-shallow trench isolation (SA-STI) process to implement device isolation (device isolation layer formation) and to form floating gates. The SA-STI process has the advantage that the surface area of the floating gate can be freely controlled by forming the floating gate in a laminated structure, but the alignment margin during the etching process is formed by additionally performing the etching process to form the floating gate. ), It is difficult to control the process.

이에 따라, 최근에는 ASA-STI(Advanced Self Aligned-STI) 공정이 제안되었다. ASA-STI 공정에서는 유효 산화막 높이(Effective Field oxide Height, 이하, EFH라 함)-이웃하는 플로팅 게이트 사이의 활성영역의 표면으로부터 유전체막까지의 거리-를 확보하면서 기입 속도 및 간섭 효과를 개선시킬 수 있는 방안이 중요하다. Accordingly, an ASA-STI (Advanced Self Aligned-STI) process has recently been proposed. In the ASA-STI process, the write speed and the interference effect can be improved while ensuring the effective field oxide height (hereinafter referred to as EFH)-the distance from the surface of the active region to the dielectric film between neighboring floating gates. The solution is important.

그 특성상, EFH 확보와, 기입 속도 및 간섭 효과의 개선은 트레이드 오프(trade off) 관계에 있다. 즉, EFH가 높을수록 기입 동작 속도는 감소하는 반면, 간섭 효과는 개선된다. 그 이유는 EFH가 높은 경우, 그만큼 유전체막과 플로팅 게이트 간의 접촉면적 및 커플링 비(coupling ratio)가 감소하여 기입 동작 속도는 감소하게 된다. Due to its characteristics, securing of EFH, improvement of writing speed and interference effect are in a trade off relationship. In other words, the higher the EFH, the lower the write operation speed, while improving the interference effect. The reason for this is that when the EFH is high, the contact area and the coupling ratio between the dielectric film and the floating gate are reduced accordingly, and the writing operation speed is reduced.

따라서, 최근에는 ASA-STI 공정을 적용하는 소자의 제조공정에서 간섭 효과를 개선시키기 위해 소위 '윙 스페이서(wing spacer)'라고 불리는 기술이 제안되었다. 이 기술은 이웃하는 플로팅 게이트 사이를 컨트롤 게이트로 차폐(shield)하는 기술이다. 이러한 기술을 통해 낸드 플래시 메모리 소자에서 중요한 특성 중 하나인 사이클링(cycling) 특성-프로그램/소거 동작시 문턱전압 변동 감소 특성-을 향상시킨다. Therefore, in recent years, a technique called 'wing spacer' has been proposed to improve the interference effect in the manufacturing process of the device applying the ASA-STI process. This technique shields between neighboring floating gates with a control gate. This technology improves one of the key characteristics of NAND flash memory devices-cycling characteristics-reducing threshold voltage fluctuations during program / erase operations.

그러나, 고집적화가 더욱 증대될수록 이웃하는 플로팅 게이트 사이의 간격- 소자 분리막의 폭-이 감소하여 윙 스페이서 기술을 적용하는데 많은 어려움이 있다. 이에 따라, 낸드 플래시 메모리 소자의 사이클링 특성이 열화되는 문제가 발생된다. However, as the higher integration increases, the gap between neighboring floating gates-the width of the device isolation layer-decreases, thereby making it difficult to apply the wing spacer technique. Accordingly, a problem arises in that the cycling characteristics of the NAND flash memory device are deteriorated.

따라서, 본 발명은 종래기술에 따른 문제점을 해결하기 위해 제안된 것으로서, 공정을 단순화시키면서 윙 스페이서 기술 적용이 가능하도록 제공하여 소자의 사이클링 특성을 향상시킬 수 있는 비휘발성 메모리 소자의 제조방법을 제공하는데 그 목적이 있다. Accordingly, the present invention has been proposed to solve the problems according to the prior art, and provides a method of manufacturing a nonvolatile memory device that can improve the cycling characteristics of the device by providing a wing spacer technology while simplifying a process. The purpose is.

상기한 목적을 달성하기 위한 일 측면에 따른 본 발명은, 기판 상에 터널 절연막과 제1 도전막을 형성하는 단계와, 상기 제1 도전막, 상기 터널 절연막 및 상기 기판을 일부 식각하여 트렌치를 형성하는 단계와, 상기 트렌치가 일부 매립되도록 소자 분리막을 형성하는 단계와, 상기 제1 도전막 양측벽에 제2 도전막으로 이루어진 스페이서를 형성하는 단계와, 상기 스페이서를 식각 장벽층으로 상기 소자 분리막을 일부 리세스시켜 윙 스페이서를 형성하는 단계와, 상기 윙 스페이서를 포함하는 구조 상부면을 따라 유전체막을 형성하는 단계와, 상기 유전체막 상에 제3 도전막을 형성하는 단계를 포함하는 비휘발성 메모리 소자의 제조방법을 제공한다.According to an aspect of the present invention, a tunnel insulating film and a first conductive film are formed on a substrate, and the first conductive film, the tunnel insulating film, and the substrate are partially etched to form trenches. Forming a device isolation layer to partially fill the trench, forming a spacer including a second conductive film on both sidewalls of the first conductive film, and partially forming the device isolation layer as an etch barrier layer. Forming a wing spacer by recessing, forming a dielectric film along an upper surface of the structure including the wing spacer, and forming a third conductive film on the dielectric film. Provide a method.

상기한 구성을 포함하는 본 발명에 의하면, 다음과 같은 효과들을 얻을 수 있다. According to the present invention including the above-described configuration, the following effects can be obtained.

첫째, 본 발명에 의하면, 플로팅 게이트의 일부가 되는 제1 도전막을 저부로 갈수록 폭이 증가되는 네가티브 슬로프(negative slope)-상부 폭이 하부 폭보다 작 은 구조-를 갖도록 형성함으로써 수직 구조에 비해 소자 분리막 매립 특성을 향상시킬 수 있다. First, according to the present invention, the first conductive film, which is part of the floating gate, is formed to have a negative slope (a structure having an upper width smaller than a lower width), the width of which is increased toward the bottom, in comparison with the vertical structure. It is possible to improve the membrane embedding characteristics.

둘째, 본 발명에 의하면, 제1 도전막의 양측벽에 스페이서 형태로 제2 도전막을 형성함으로써 플로팅 게이트의 표면적을 증대시켜 커플링 비를 향상시킬 수 있다. Second, according to the present invention, the coupling ratio can be improved by increasing the surface area of the floating gate by forming the second conductive film in the form of a spacer on both side walls of the first conductive film.

셋째, 본 발명에 의하면, 이웃하는 플로팅 게이트 사이에 윙 스페이서를 형성함으로써 셀 간 간섭 효과를 방지하여 소자의 사이클링 특성을 향상시킬 수 있다. Third, according to the present invention, by forming wing spacers between neighboring floating gates, inter-cell interference effects can be prevented, thereby improving cycling characteristics of the device.

이하에서는, 본 발명의 가장 바람직한 실시예를 첨부한 도면을 참조하여 설명한다. 또한, 도면들에 있어서, 층 및 영역들의 두께와 간격은 설명의 편의와 명확성을 기하기 위하여 과장되어진 것이며, 층이 다른 층, 영역 또는 기판 '상' 또는 '상부'에 있다고 언급되어지는 경우에 그것은 다른 층, 영역 또는 기판 상에 직접 형성될 수 있거나, 또는 그들 사이에 제3의 층이 개재될 수도 있다. 또한, 명세서 전체에 걸쳐서 동일한 도면번호로 표시된 부분은 동일한 층을 나타내며, 각 도면번호에 영문을 포함하는 경우 동일층이 식각 또는 연마공정 등을 통해 일부가 변형된 것을 의미한다. Hereinafter, with reference to the accompanying drawings, the most preferred embodiment of the present invention will be described. In addition, in the drawings, the thicknesses and spacings of layers and regions are exaggerated for ease of explanation and clarity, and where layers are referred to as being on or above other layers, regions or substrates. It may be formed directly on another layer, region or substrate, or a third layer may be interposed therebetween. In addition, the parts denoted by the same reference numerals throughout the specification represent the same layer, and when the reference numerals include the English, it means that the same layer is partially modified through an etching or polishing process.

실시예Example

도 1a 내지 도 1g는 본 발명의 실시예에 따른 비휘발성 메모리 소자의 제조방법을 도시한 공정 단면도이다. 여기서는 일례로 낸드 플래시 메모리 소자의 제조 방법을 도시하였다. 1A to 1G are cross-sectional views illustrating a method of manufacturing a nonvolatile memory device in accordance with an embodiment of the present invention. Here, a method of manufacturing a NAND flash memory device is shown as an example.

먼저, 도 1a에 도시된 바와 같이, 반도체 기판(100), 예컨대 p형 기판 내에 트리플 n-웰(triple n-type well)(미도시)과 p-웰(p-type well)(미도시)을 형성한다. First, as shown in FIG. 1A, triple n-type wells (not shown) and p-type wells (not shown) in a semiconductor substrate 100, such as a p-type substrate, are shown. To form.

이어서, 문턱전압 조절용 이온주입 공정을 실시한다.Subsequently, an ion implantation step for adjusting the threshold voltage is performed.

이어서, 기판(100) 상에 터널 절연막(101)을 형성한다. 이때, 터널 절연막(101)은 산화막, 예컨대 실리콘산화막(SiO2)으로 형성하거나, 실리콘산화막을 형성한 후 질소(N2) 가스를 이용한 열처리 공정을 실시하여 실리콘산화막과 기판(100) 계면에 질화층을 더 형성할 수도 있다. 이외에도, 금속 산화물층, 예컨대 유전율이 3.9 이상인 알루미늄산화막(Al2O3), 지르코늄산화막(ZrO2), 하프늄산화막(HfO2) 또는 이들의 혼합(또는, 적층)막으로 형성할 수 있다. 그 제조방법으로는 건식 산화, 습식 산화공정 또는 라디컬 이온(radical ion)을 이용한 산화 공정을 이용할 수도 있으나, 특성 측면에서 라디컬 이온을 이용한 산화 공정 대신에 건식 산화, 습식 산화공정으로 실시하는 것이 바람직하다. 또한, 터널 절연막(101)은 50~100Å 정도의 두께로 형성할 수 있다. Subsequently, a tunnel insulating film 101 is formed on the substrate 100. In this case, the tunnel insulating film 101 is formed of an oxide film, for example, silicon oxide film (SiO 2 ), or after the silicon oxide film is formed, a heat treatment process using nitrogen (N 2 ) gas is performed to nitride the silicon oxide film and the substrate 100 at an interface. Further layers may be formed. In addition, the metal oxide layer may be formed of, for example, an aluminum oxide film (Al 2 O 3 ), a zirconium oxide film (ZrO 2 ), a hafnium oxide film (HfO 2 ), or a mixture (or lamination) film having a dielectric constant of 3.9 or more. The manufacturing method may be a dry oxidation, a wet oxidation process or an oxidation process using radical ions. However, in terms of characteristics, the dry oxidation and wet oxidation process may be performed instead of the oxidation process using radical ions. desirable. In addition, the tunnel insulating film 101 can be formed to a thickness of about 50 ~ 100Å.

이어서, 터널 절연막(101) 상에 플로팅 게이트용 도전막(102)(이하, 제1 도전막이라 함)을 형성한다. 이때, 제1 도전막(102)은 도전성을 갖는 물질로 형성한다. 예컨대 다결정실리콘막, 전이 금속 또는 희토류 금속 중 선택된 어느 하나의 물질로 형성할 수 있으나, 바람직하게는 식각이 용이한 다결정실리콘막으로 형성한 다. 다결정실리콘막은 LPCVD(Low Pressure Chemical Vapor Deposition) 방식으로 형성하고, 이때, 소스 가스로는 실란(SiH4) 가스를 사용한다. 또한, 불순물 도핑을 위해 도핑 가스로 포스핀(PH3)을 사용한다. 전이 금속으로는 철(Fe), 코발트(Co), 텅스텐(W), 니켈(Ni), 팔라듐(Pd), 백금(Pt), 몰리브덴(Mo) 또는 티타늄(Ti) 등을 사용하고, 희토류 금속으로는 어븀(Er), 이터륨(Yb), 사마륨(Sm), 이트륨(Y), 란탄(La), 세륨(Ce), 테르븀(Tb), 디스프로슘(Dy), 홀뮴(Ho), 톨륨(Tm) 및 루테튬(Lu) 등을 사용한다. Subsequently, a floating gate conductive film 102 (hereinafter referred to as a first conductive film) is formed on the tunnel insulating film 101. In this case, the first conductive film 102 is formed of a conductive material. For example, the polysilicon film may be formed of any one material selected from a polysilicon film, a transition metal, and a rare earth metal, but is preferably formed of a polysilicon film that is easily etched. The polysilicon film is formed by a low pressure chemical vapor deposition (LPCVD) method, and at this time, a silane (SiH 4 ) gas is used as the source gas. In addition, phosphine (PH 3 ) is used as the doping gas for doping impurities. As the transition metal, iron (Fe), cobalt (Co), tungsten (W), nickel (Ni), palladium (Pd), platinum (Pt), molybdenum (Mo) or titanium (Ti) and the like are used. Erbium (Er), Ytterium (Yb), Samarium (Sm), Yttrium (Y), Lanthanum (La), Cerium (Ce), Terbium (Tb), Dysprosium (Dy), Holmium (Ho), and Tolium ( Tm), lutetium (Lu) and the like.

이어서, 제1 도전막(102) 상에 하드 마스크(미도시)를 형성할 수 있다. 이때, 상기 하드 마스크는 제1 도전막(102)과 높은 식각 선택비를 갖는 물질로 형성한다. 예컨대, 제1 도전막(102)이 다결정실리콘막으로 형성된 경우 질화막, 예컨대 실리콘질화막(Si3N4)으로 형성한다. 이외, 상기 하드 마스크는 질화막(실리콘질화막), 산화막(실리콘산화막) 및 산화질화막(실리콘산화질화막, SiON)이 적층된 적층 구조로 형성할 수도 있다.Subsequently, a hard mask (not shown) may be formed on the first conductive film 102. In this case, the hard mask is formed of a material having a high etching selectivity with the first conductive layer 102. For example, when the first conductive film 102 is formed of a polycrystalline silicon film, the first conductive film 102 is formed of a nitride film such as silicon nitride film (Si 3 N 4 ). In addition, the hard mask may be formed in a laminated structure in which a nitride film (silicon nitride film), an oxide film (silicon oxide film), and an oxynitride film (silicon oxynitride film, SiON) are stacked.

한편, 상기 하드 마스크를 형성하기 전에 제1 도전막(102) 상에 완충막(미도시)을 더 형성할 수도 있다. 이때, 상기 완충막은 실리콘산화막 계열의 막으로 형성한다. Meanwhile, a buffer film (not shown) may be further formed on the first conductive film 102 before the hard mask is formed. In this case, the buffer film is formed of a silicon oxide film.

이어서, 도 1b에 도시된 바와 같이, 상기 하드 마스크(형성하는 경우), 상기 완충막(형성하는 경우), 제1 도전막(102A), 터널 절연막(101A) 및 기판(100A)을 일부 식각하여 트렌치(103)를 형성한다. 이때, 식각공정은 제1 도전막(102A)이 저부 (기판 방향)로 갈수록 폭이 커지는 네가티브 슬로프(negative slope)를 갖도록 식각하여 이웃하는 제1 도전막(102A) 사이의 간격이 하부보다 상부에서 더 넓도록 식각하는 것이 바람직하다. 이를 위해, 식각공정은 폴리머(polymer)가 다량 발생되는 조건으로 공정을 진행한다. 예컨대, 불소를 함유한 가스, 즉 4불화탄소(CF4)와 산소(O2)의 혼합가스(CF4/O2) 또는 육불화황(SF6) 가스를 사용한다. CF4/O2를 사용하는 경우에 있어서, 산소는 탄소와 결합하여 불소의 활성종의 농도를 높여주는 작용을 하기 때문에 적절히 산소의 양을 조절하면 식각속도를 조절할 수 있고, 이를 통해 폴리머가 발생될 수 있는 시간을 제어하여 네가티브 슬로프를 갖는 프로파일을 형성할 수 있다. 또한, 공정 중 압력을 155~160mTorr 정도로 제어하는 것이 바람직하다. Subsequently, as shown in FIG. 1B, the hard mask (if formed), the buffer film (if formed), the first conductive film 102A, the tunnel insulating film 101A, and the substrate 100A are partially etched. The trench 103 is formed. At this time, in the etching process, the first conductive layer 102A is etched to have a negative slope that increases in width toward the bottom (substrate direction), so that an interval between adjacent first conductive layers 102A is greater than the lower portion. It is preferable to etch to be wider. To this end, the etching process proceeds under conditions in which a large amount of polymer is generated. For example, a gas containing fluorine, that is, a mixed gas (CF 4 / O 2 ) or sulfur hexafluoride (SF 6 ) gas of carbon tetrafluorocarbon (CF 4 ) and oxygen (O 2 ) is used. In the case of using CF 4 / O 2 , oxygen combines with carbon to increase the concentration of active species of fluorine. Therefore, by adjusting the amount of oxygen appropriately, the etching rate can be controlled, and the polymer is generated. The time that can be controlled can be controlled to form a profile with a negative slope. In addition, it is preferable to control the pressure during the process to about 155 ~ 160mTorr.

이어서, 도 1c에 도시된 바와 같이, 트렌치(103, 도 1b참조)가 매립되도록 전체 구조 상부에 소자 분리막용 절연막(미도시)을 형성한다. 이때, 상기 소자 분리막용 절연막은 높은 종횡비에서도 매립 특성이 우수한 HDP-CVD(High Density Plasma-Chemical Vapor Deposition) 방식을 이용한 USG(Un-doped Silicate Glass)막(이하, HDP막이라 함)으로 형성하거나, 상기 HDP막과 SOD(Spin On Dielectric)막의 적층 구조로 형성할 수 있다. 이때, SOD막은 PSZ(polisilazane)막을 사용할 수 있다.Subsequently, as shown in FIG. 1C, an insulating film (not shown) for an isolation layer is formed over the entire structure such that the trench 103 (see FIG. 1B) is embedded. In this case, the insulating film for the isolation layer is formed of a USG (Un-doped Silicate Glass) film (hereinafter referred to as HDP film) using the HDP-CVD (High Density Plasma-Chemical Vapor Deposition) method having excellent embedding characteristics even at a high aspect ratio In addition, the HDP film and the SOD (Spin On Dielectric) film may be formed in a stacked structure. In this case, the SOD film may be a PSZ (polisilazane) film.

이어서, 상기 소자 분리막용 절연막을 평탄화한다. 이때, 평탄화 공정은 플라즈마 식각(plasma etch) 장비를 이용한 식각공정, 예컨대, 에치백(etch back) 공 정으로 실시하거나, CMP(Chemical Mechanical Polishing) 공정으로 실시할 수 있다. 예컨대, 평탄화 공정시 상기 하드 마스크를 식각 정지막(또는, 연마 정지막)으로 사용한다.Subsequently, the insulating film for device isolation film is planarized. In this case, the planarization process may be performed by an etching process using a plasma etch apparatus, for example, an etch back process, or a chemical mechanical polishing (CMP) process. For example, during the planarization process, the hard mask is used as an etch stop film (or a polishing stop film).

이어서, EFH 조절을 위해 상기 소자 분리막용 절연막을 일정 깊이 리세스(recess)시켜 소자 분리막(104)을 형성한다. 이때, 식각공정은 메모리 셀이 형성되는 셀 영역에 대해서만 실시하기 위해 주변 회로 영역-디코더(decoder), 페이지 버퍼(page buffer) 등과 같은 구동회로가 형성되는 영역-을 제외한 셀 영역만 개방된 감광막 패턴을 식각 마스크로 사용한 습식식각 또는 건식식각공정으로 실시하여 소자 분리막(104)의 상면이 기판(즉, 활성영역)(100A) 상면으로부터 350~400Å의 높이에 위치되도록 한다. Subsequently, the device isolation film 104 is formed by recessing the insulating film for the device isolation film to a predetermined depth to control the EFH. In this case, the etching process may be performed only on the cell region in which the memory cell is formed, and the photoresist pattern in which only the cell region is opened except for the peripheral circuit region—the region in which the driver circuits such as a decoder and page buffer are formed. Is performed by a wet etching process or a dry etching process using the etching mask so that the top surface of the device isolation layer 104 is positioned at a height of 350 to 400 占 으로부터 from the top surface of the substrate (ie, the active region) 100A.

이어서, 상기 소자 분리막용 절연막을 식각할 수 있는 식각용액을 이용하여 세정공정을 실시할 수 있다. 이때, 세정공정은 BOE(Buffered Oxide Etchant) 용액-HF와 NH4F가 혼합된 용액- 또는 DHF(Diluted HF) 용액-탈이온수에 희석된 HF 용액-을 사용하여 실시할 수 있으며, 이 세정공정에 의해 소자 분리막(104)이 일부 식각되어 상면이 기판(즉, 활성영역)(100A) 상면으로부터 200~250Å의 높이에 위치되는 동시에 노출되는 도전막(102B) 또한 일부 습식식각되어 동도면에서와 같이 라운딩(rounding)화 된다. Subsequently, a cleaning process may be performed using an etching solution capable of etching the insulating film for device isolation films. In this case, the washing process may be performed using a BOE (Buffered Oxide Etchant) solution-a solution in which HF and NH 4 F are mixed-or a diluted HF (DHF) solution-HF solution diluted in deionized water. The device isolation film 104 is partially etched so that the upper surface is located at a height of 200 to 250 microns from the upper surface of the substrate (ie, the active region) 100A, and at the same time, the conductive film 102B is also partially wet-etched so that It is rounded together.

이어서, 도 1d에 도시된 바와 같이, 소자 분리막(104)을 포함하는 구조 상부면을 따라 라이너(liner) 형태로 도전막(105)(이하, 제2 도전막이라 함)을 형성한 다. 이때, 제2 도전막(105)은 도전성 물질 중 선택된 어느 하나의 물질로 형성할 수 있다. 바람직하게는 제1 도전막(102B)과의 접촉 저항 특성을 고려하여 제1 도전막(102B)과 동일한 물질로 형성한다. 더욱 바람직하게는 피복성이 우수한 다결정실리콘막으로 형성한다. 이때, 제2 도전막(105)의 두께는 100~150Å로 형성할 수 있다. Subsequently, as illustrated in FIG. 1D, a conductive film 105 (hereinafter referred to as a second conductive film) is formed in a liner form along the upper surface of the structure including the device isolation film 104. In this case, the second conductive layer 105 may be formed of any one material selected from conductive materials. Preferably, the substrate is formed of the same material as the first conductive film 102B in consideration of the contact resistance characteristic of the first conductive film 102B. More preferably, it is formed of a polycrystalline silicon film having excellent coating properties. At this time, the thickness of the second conductive film 105 may be formed to 100 ~ 150Å.

예컨대, 제2 도전막(105)을 다결정실리콘막으로 형성하는 경우, LPCVD 방식을 통해 다결정실리콘막으로 형성하거나, 비정질실리콘막을 증착한 후 비정질실리콘막이 다결정화될 수 있는 온도 범위, 예컨대 600℃ 이상의 온도에서 실시되는 열처리 공정을 통해 다결정화하여 형성할 수도 있다. 구체적으로, LPCVD 방식의 경우에는 625~630℃의 온도에서 실시한다. 비정질실리콘막은 520~530℃의 온도에서 형성하고, 열처리 공정은 퍼니스(furnace) 장비 또는 RTP(Rapid Temperature Process) 장비를 이용한다.For example, when the second conductive film 105 is formed of a polysilicon film, the polysilicon film may be formed by LPCVD, or after the amorphous silicon film is deposited, a temperature range in which the amorphous silicon film may be polycrystalline, for example, 600 ° C. or more. It may be formed by polycrystallization through a heat treatment process performed at a temperature. Specifically, in the case of the LPCVD method is carried out at a temperature of 625 ~ 630 ℃. Amorphous silicon film is formed at a temperature of 520 ~ 530 ℃, heat treatment process using a furnace (furnace) equipment or RTP (Rapid Temperature Process) equipment.

이어서, 도 1e에 도시된 바와 같이, 제2 도전막(105, 도 1d참조)에 대해 플라즈마 식각(plasma etch) 장비를 이용한 이방성 건식식각공정, 예컨대 에치백(etch back) 공정을 실시하여 제1 도전막(102B)의 양측벽에 스페이서(105A)를 형성한다. 이때, 에치백 공정은 소자 분리막(104)을 식각 장벽층으로 소자 분리막(104)이 노출되는 시점에서 식각이 멈추도록 실시하거나, 미리 설정된 식각시간-제2 도전막(105)의 두께와 식각 조건을 고려하여 미리 설정된 시간-을 토대로 실시할 수도 있다. Subsequently, as illustrated in FIG. 1E, an anisotropic dry etching process using plasma etching equipment, such as an etch back process, may be performed on the second conductive layer 105 (see FIG. 1D). Spacers 105A are formed on both side walls of the conductive film 102B. In this case, the etch back process may be performed such that the etching is stopped when the device isolation layer 104 is exposed as the etch barrier layer, or when the device isolation layer 104 is exposed, the thickness and the etching conditions of the etching time-second conductive layer 105 are preset. May be implemented based on a predetermined time.

이어서, 도 1f에 도시된 바와 같이, 스페이서(105A)를 식각 장벽층으로 식각 공정을 실시하여 노출되는 소자 분리막(104A)을 일정 깊이로 리세스시킨다. 이로써, 윙 스페이서(A)가 형성된다. 이때, 소자 분리막(104A)의 리세스되는 깊이는 소자의 특성에 따라 결정될 수 있으나, 바람직하게는 100~150Å 깊이로 형성한다. 또한, 리세스를 위한 식각공정은 건식식각공정, 예컨대 블랭켓(blanket) 공정으로 실시한다. Subsequently, as shown in FIG. 1F, the spacer 105A is etched using the etching barrier layer to recess the exposed device isolation layer 104A to a predetermined depth. As a result, the wing spacers A are formed. At this time, the recessed depth of the device isolation film 104A may be determined according to the characteristics of the device, but is preferably formed to a depth of 100 ~ 150Å. In addition, the etching process for the recess is performed by a dry etching process, for example, a blanket process.

이어서, 도 1g에 도시된 바와 같이, 후속 유전체막 형성공정 전에 세정공정을 실시하여 전(前) 공정에서 발생된 식각 잔류물과 같은 이물질을 제거할 수 있다. 이때, 세정공정은 BOE(Buffered Oxide Etchant) 용액-HF와 NH4F가 혼합된 용액- 또는 DHF(Diluted HF) 용액-탈이온수에 희석된 HF 용액-을 사용하여 실시할 수 있으며, 이러한 세정공정을 통해 윙 스페이서(A, 도 1f참조)는 상부 모서리 부위가 라운딩되어 'U'자 형태를 갖도록 형성되는 동시에 윙 스페이서(A)의 높이(H)-소자 분리막(104B)의 리세스 바닥부를 기준으로 최상부면 까지의 높이-는 200~250Å가 된다.Subsequently, as illustrated in FIG. 1G, a cleaning process may be performed before the subsequent dielectric film forming process to remove foreign substances such as etching residues generated in the previous process. In this case, the washing process may be performed using a BOE (Buffered Oxide Etchant) solution-a solution of HF and NH 4 F mixed-or a diluted HF (DHF) solution-HF solution diluted in deionized water, this cleaning process Through the wing spacer (A, see FIG. 1F) is formed so that the upper corner portion is rounded to have a 'U' shape at the same time based on the height (H) of the wing spacer (A)-recess bottom of the device isolation film 104B The height to the top surface is 200 ~ 250Å.

이어서, 윙 스페이서(A, 도 1f참조)를 포함하는 구조의 상부면을 따라 유전체막(106)을 형성한다. 이때, 유전체막(106)은 산화막-질화막-산화막이 순차적으로 적층된 적층 구조로 형성한다. 이외에도, 유전율이 실리콘산화막의 유전율, 즉 3.9보다 높은 금속 산화물, 예컨대, 알루미늄산화막(Al2O3), 지르코늄산화막(ZrO2), 하프늄산화막(HfO2), 이들이 적층된 적층막 또는 이들이 혼합된 혼합막으로 형성할 수 있다Subsequently, a dielectric film 106 is formed along the upper surface of the structure including the wing spacers A (see FIG. 1F). At this time, the dielectric film 106 is formed in a stacked structure in which an oxide film-nitride film-oxide film is sequentially stacked. In addition, the dielectric constant of the silicon oxide film, that is, the metal oxide higher than 3.9, for example, aluminum oxide film (Al 2 O 3 ), zirconium oxide film (ZrO 2 ), hafnium oxide film (HfO 2 ), a laminated film or a mixture thereof Can be formed into a mixed film

이어서, 유전체막(106) 상에 컨트롤 게이트용 도전막(107)(이하, 제3 도전막이라 함)을 형성한다. 이때, 제3 도전막(107)은 도전성 물질 중 선택된 어느 하나의 물질로 형성할 수 있으며, 바람직하게는 제1 도전막(102B)과 동일한 물질로 형성한다. Next, a control gate conductive film 107 (hereinafter referred to as a third conductive film) is formed on the dielectric film 106. In this case, the third conductive film 107 may be formed of any one material selected from conductive materials, and is preferably formed of the same material as the first conductive film 102B.

이어서, 제3 도전막(107) 상에는 금속질화막, 금속실리사이드층 또는 이들의 적층막, 그리고 하드 마스크가 더 형성될 수도 있다. 예컨대, 금속질화막으로는 텅스텐질화막(WN)을 형성하고, 금속실리사이드층으로는 텅스텐실리사이드층(Wsi)을 형성한다. Subsequently, a metal nitride film, a metal silicide layer or a laminated film thereof, and a hard mask may be further formed on the third conductive film 107. For example, a tungsten nitride film WN is formed as a metal nitride film, and a tungsten silicide layer Wsi is formed as a metal silicide layer.

이후 공정은 일반적인 공정과 동일함에 따라 그에 대한 설명은 생략하기로 한다. Since the process is the same as the general process, a description thereof will be omitted.

본 발명의 기술 사상은 바람직한 실시예에서 구체적으로 기술되었으나, 상기한 실시예는 그 설명을 위한 것이며, 그 제한을 위한 것이 아님을 주의하여야 한다. 특히, 본 발명의 실시예에서는 낸드 플래시 메모리 소자의 제조방법을 일례로 설명하였으나, 노아(NOR) 플래시 메모리 소자를 포함한 모든 비휘발성 메모리 소자의 제조방법에 적용할 수 있다. 또한, 본 발명은 이 기술 분야의 통상의 전문가라면 본 발명의 기술 사상의 범위 내에서 다양한 실시예들이 가능함을 이해할 수 있을 것이다.Although the technical spirit of the present invention has been described in detail in the preferred embodiments, it should be noted that the above-described embodiments are for the purpose of description and not of limitation. In particular, in the embodiment of the present invention, the manufacturing method of the NAND flash memory device has been described as an example, but it can be applied to the manufacturing method of all nonvolatile memory devices including the NOR flash memory device. In addition, it will be understood by those skilled in the art that various embodiments are possible within the scope of the technical idea of the present invention.

도 1a 내지 도 1g는 본 발명의 비휘발성 메모리 소자의 제조방법을 도시한 공정 단면도.1A to 1G are cross-sectional views illustrating a method of manufacturing the nonvolatile memory device of the present invention.

〈도면의 주요 부분에 대한 부호의 설명〉<Explanation of symbols for main parts of drawing>

100, 100A : 반도체 기판100, 100A: semiconductor substrate

101, 101A : 터널 절연막101, 101A: tunnel insulation film

102, 102A, 102B : 제1 도전막102, 102A, 102B: first conductive film

103 : 트렌치103: trench

105, 105A : 제2 도전막105, 105A: second conductive film

106 : 유전체막106: dielectric film

107 : 제3 도전막107: third conductive film

A : 윙 스페이서A: Wing spacer

Claims (15)

기판 상에 터널 절연막과 제1 도전막을 형성하는 단계;Forming a tunnel insulating film and a first conductive film on the substrate; 상기 제1 도전막, 상기 터널 절연막 및 상기 기판을 일부 식각하여 트렌치를 형성하는 단계;Forming a trench by partially etching the first conductive layer, the tunnel insulating layer, and the substrate; 상기 트렌치가 일부 매립되도록 소자 분리막을 형성하는 단계;Forming an isolation layer to partially fill the trench; 상기 제1 도전막 양측벽에 제2 도전막으로 이루어진 스페이서를 형성하는 단계;Forming a spacer including a second conductive film on both sidewalls of the first conductive film; 상기 스페이서를 식각 장벽층으로 상기 소자 분리막을 일부 리세스시켜 윙 스페이서를 형성하는 단계;Forming a wing spacer by partially recessing the device isolation layer using the spacer as an etch barrier layer; 상기 윙 스페이서를 포함하는 구조 상부면을 따라 유전체막을 형성하는 단계; 및Forming a dielectric film along an upper surface of the structure including the wing spacers; And 상기 유전체막 상에 제3 도전막을 형성하는 단계Forming a third conductive film on the dielectric film 를 포함하는 비휘발성 메모리 소자의 제조방법.Method of manufacturing a nonvolatile memory device comprising a. 제 1 항에 있어서, The method of claim 1, 상기 트렌치를 형성하는 단계는 상기 제1 도전막의 하부 폭이 상부 폭보다 큰 네가티브 슬로프(negative slope)를 갖도록 실시하는 비휘발성 메모리 소자의 제조방법.The forming of the trench may be performed such that a lower width of the first conductive layer has a negative slope larger than an upper width. 제 1 항에 있어서, The method of claim 1, 상기 소자 분리막을 형성하는 단계는, Forming the device isolation layer, 상기 트렌치가 매립되도록 소자 분리막용 절연막을 형성하는 단계; Forming an insulating film for a device isolation layer to fill the trench; 상기 소자 분리막용 절연막을 평탄화하는 단계; 및 Planarizing the insulating film for the device isolation film; And 상기 제1 도전막의 양측벽이 일부 노출되도록 상기 소자 분리막용 절연막을 리세스시키는 단계Recessing the insulating film for the device isolation layer so that both sidewalls of the first conductive film are partially exposed 를 포함하는 비휘발성 메모리 소자의 제조방법.Method of manufacturing a nonvolatile memory device comprising a. 제 3 항에 있어서, The method of claim 3, wherein 상기 소자 분리막용 절연막을 리세스시키는 단계는 습식식각 또는 건식식각공정으로 실시하는 비휘발성 메모리 소자의 제조방법.And recessing the insulating film for the device isolation layer is performed by a wet etching process or a dry etching process. 제 3 항에 있어서, The method of claim 3, wherein 상기 소자 분리막용 절연막을 리세스시키는 단계는 상기 소자 분리막용 절연막의 상면이 상기 기판 상면보다 높게 위치되도록 실시하는 비휘발성 메모리 소자의 제조방법.And recessing the insulating film for the device isolation layer so that an upper surface of the insulating film for the device isolation film is positioned higher than an upper surface of the substrate. 제 1 항에 있어서, The method of claim 1, 상기 소자 분리막을 형성하는 단계 후, After forming the device isolation layer, 세정공정을 실시하는 단계를 더 포함하는 비휘발성 메모리 소자의 제조방법.A method of manufacturing a nonvolatile memory device further comprising the step of performing a cleaning process. 제 6 항에 있어서, The method of claim 6, 상기 세정공정은 상기 소자 분리막의 상면이 상기 기판 상면보다 높게 위치되도록 실시하는 비휘발성 메모리 소자의 제조방법.The cleaning process is a method of manufacturing a non-volatile memory device so that the upper surface of the device isolation layer is positioned higher than the upper surface of the substrate. 제 1 항에 있어서, The method of claim 1, 상기 스페이서를 형성하는 단계는, Forming the spacers, 상기 소자 분리막을 포함하는 구조 상부면을 따라 상기 제2 도전막을 형성하는 단계; 및Forming the second conductive film along an upper surface of the structure including the device isolation film; And 상기 제2 도전막을 에치백하는 단계Etching back the second conductive layer 를 포함하는 비휘발성 메모리 소자의 제조방법.Method of manufacturing a nonvolatile memory device comprising a. 제 1 항에 있어서, The method of claim 1, 상기 제2 도전막은 상기 제1 도전막과 동일한 물질로 형성하는 비휘발성 메모리 소자의 제조방법.And the second conductive film is formed of the same material as the first conductive film. 제 1 항에 있어서, The method of claim 1, 상기 제2 도전막은 다결정실리콘막으로 형성하는 비휘발성 메모리 소자의 제조방법.And the second conductive film is formed of a polysilicon film. 제 10 항에 있어서, The method of claim 10, 상기 다결정실리콘막은 LPCVD(Low Pressure Chemical Vapor Deposition) 방식으로 형성하거나, 비정질실리콘막을 형성한 후 열처리 공정을 실시하여 다결정화하는 방식으로 형성하는 비휘발성 메모리 소자의 제조방법.The polycrystalline silicon film is formed by a low pressure chemical vapor deposition (LPCVD) method or a method of manufacturing a non-volatile memory device by forming an amorphous silicon film and then performing a heat treatment process to polycrystalline. 제 11 항에 있어서, The method of claim 11, 상기 열처리 공정은 퍼니스 장비 또는 RTP(Rapid Temperature Process) 장비를 이용하여 실시하는 비휘발성 메모리 소자의 제조방법.The heat treatment process is a manufacturing method of a nonvolatile memory device using a furnace equipment or RTP (Rapid Temperature Process) equipment. 제 1 항에 있어서, The method of claim 1, 상기 윙 스페이서를 형성하는 단계 후, After forming the wing spacers, 세정공정을 실시하는 단계를 더 포함하는 비휘발성 메모리 소자의 제조방법.A method of manufacturing a nonvolatile memory device further comprising the step of performing a cleaning process. 제 1 항에 있어서, The method of claim 1, 상기 트렌치를 형성하는 단계는 불소를 함유한 가스와 산소가 혼합된 혼합가스를 사용하여 건식식각공정으로 실시하는 비휘발성 메모리 소자의 제조방법.The forming of the trench may be performed by a dry etching process using a mixed gas of fluorine-containing gas and oxygen. 제 1 항에 있어서, The method of claim 1, 상기 제1 도전막을 형성하는 단계 후, After forming the first conductive film, 상기 제1 도전막 상에 하드 마스크를 형성하는 단계를 더 포함하는 비휘발성 메모리 소자의 제조방법.The method of claim 1, further comprising forming a hard mask on the first conductive layer.
KR1020080000351A 2008-01-02 2008-01-02 Method for manufacturing a nonvolatile memory device KR20090074533A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020080000351A KR20090074533A (en) 2008-01-02 2008-01-02 Method for manufacturing a nonvolatile memory device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020080000351A KR20090074533A (en) 2008-01-02 2008-01-02 Method for manufacturing a nonvolatile memory device

Publications (1)

Publication Number Publication Date
KR20090074533A true KR20090074533A (en) 2009-07-07

Family

ID=41331805

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020080000351A KR20090074533A (en) 2008-01-02 2008-01-02 Method for manufacturing a nonvolatile memory device

Country Status (1)

Country Link
KR (1) KR20090074533A (en)

Similar Documents

Publication Publication Date Title
KR101050454B1 (en) Device Separation Film of Semiconductor Device and Formation Method Thereof
JP5519902B2 (en) Transistor having recess channel and manufacturing method thereof
JP2008192991A (en) Semiconductor device
KR20070109694A (en) Method of manufacturing the sonos non-volatile memory device
CN102769017A (en) Semiconductor device and method of manufacturing the same
KR101026382B1 (en) Method for fabricating isolation layer in semiconductor device
KR100757335B1 (en) Non-volatile memory device and method of manufacturing the same
KR100956599B1 (en) Method for manufacturing a nonvolatile memory device
US7408219B2 (en) Nonvolatile semiconductor memory device
KR20060025295A (en) Method for forming gate electrode in non volatile memory device
KR20090074536A (en) Method for manufacturing a nonvolatile memory device
KR20090074533A (en) Method for manufacturing a nonvolatile memory device
KR20090012831A (en) Method for manufacturing a nonvolatile memory device
KR100933812B1 (en) Manufacturing method of semiconductor device
KR100864629B1 (en) An isolation layer in semiconductor device and method for forming the same
CN102810477B (en) Semiconductor device and method for forming same
KR100967098B1 (en) Method for manufacturing a nonvolatile memory device
KR20090074539A (en) Nonvolatile memory device and method for manufacturing the same
KR20090044918A (en) Method for manufacturing a nonvolatile memory device
KR20100131719A (en) Gate pattern for nonvolatile memory device and manufacturing method of the same
KR100909798B1 (en) Manufacturing method of nonvolatile memory device
KR20080099463A (en) A semiconductor device, a nonvolatile memory device and method for manufacturing the same
KR20090044917A (en) Method for manufacturing a nonvolatile memory device
KR20070080765A (en) Non-volatile flash memory device and method of fabricating the same
KR100874434B1 (en) Method for manufacturing a nonvolatile memory device

Legal Events

Date Code Title Description
WITN Application deemed withdrawn, e.g. because no request for examination was filed or no examination fee was paid