KR20090072193A - 로드락 챔버 - Google Patents

로드락 챔버 Download PDF

Info

Publication number
KR20090072193A
KR20090072193A KR1020070140230A KR20070140230A KR20090072193A KR 20090072193 A KR20090072193 A KR 20090072193A KR 1020070140230 A KR1020070140230 A KR 1020070140230A KR 20070140230 A KR20070140230 A KR 20070140230A KR 20090072193 A KR20090072193 A KR 20090072193A
Authority
KR
South Korea
Prior art keywords
wafer
load lock
chamber
wafers
seating
Prior art date
Application number
KR1020070140230A
Other languages
English (en)
Inventor
왕현철
박정수
Original Assignee
세메스 주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 세메스 주식회사 filed Critical 세메스 주식회사
Priority to KR1020070140230A priority Critical patent/KR20090072193A/ko
Publication of KR20090072193A publication Critical patent/KR20090072193A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67259Position monitoring, e.g. misposition detection or presence detection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/136Associated with semiconductor wafer handling including wafer orienting means

Abstract

챔버 내부로 이송된 웨이퍼의 정확한 위치를 측정하여 공정의 정확도를 높이기 위한 로드락 챔버가 제공된다. 로드락 챔버는, 챔버와, 챔버 내부에 형성되며 제1 웨이퍼가 안착되는 제1 안착대와, 제1 안착대의 하부에 배치되어 제2 웨이퍼가 안착되는 제2 안착대와, 제1 안착대 및 제2 안착대 중 적어도 하나에 형성되어 제1 웨이퍼 또는 제2 웨이퍼의 위치를 인식하는 센서부를 포함한다.
로드락 챔버, 센서부, 포토센서

Description

로드락 챔버{loadlock chamber}
본 발명은 로드락 챔버에 관한 것으로서, 더욱 상세하게는 챔버 내부로 이송된 웨이퍼의 정확한 위치를 측정하여 공정의 정확도를 높이기 위한 로드락 챔버에 관한 것이다.
일반적으로 반도체 제조 공정 중 웨이퍼 가공 공정에는 감광액 도포 공정(Photoresist Coating), 현상 공정(Develop), 식각 공정(Etching), 화학기상증착 공정(Chemical Vapor Deposition), 애싱 공정(Ashing) 등이 있으며, 각각의 여러 단계의 공정을 수행하기 위해서는 웨이퍼를 각 프로세스 모듈로 신속하게 이송할 수 있어야 하며, 이러한 작업은 웨이퍼 이송 장치에 의해 자동으로 수행된다.
일반적으로 웨이퍼는 미세 입자(particle)에 의해서 공정 불량이 발생하게 되므로 웨이퍼 제조 시스템은 고도로 청정한 클린룸 내부에 설치되며, 웨이퍼 공정을 위한 프로세스 모듈은 고진공 상테를 유지하여 미세 입자에 의한 오염을 최대한 방지하고 있다.
한편, 프로세스 모듈 및 웨이퍼 이송 장치가 고진공 상태를 항상 유지해야 하며 이에 따라 출입시에도 고진공 상태를 유지할 수 있도록 웨이퍼 이송 장치와 대기압 상태의 얼라이너(aligner) 사이에 중간 단계의 진공도를 유지하는 로드락 챔버를 구비한다. 이와 같은 로드락 챔버는 공정 시간 단축 및 병목 현상의 완화를 위하여 점차 동시에 여러장의 웨이퍼가 수납 가능한 다단형 로드락 챔버도 등장하고 있다.
이에, 다단형 로드락 챔버는 웨이퍼의 위치 인식이 육안으로 식별이 곤란하여 이송 로봇의 셋팅에 어려움이 있어, 다단형의 로드락 챔버의 경우에도 쉽게 웨이퍼의 위치를 인식할 수 있는 구조의 로드락 챔버가 필요하게 되었다.
본 발명이 이루고자 하는 과제는 챔버 내부로 이송된 웨이퍼의 정확한 위치를 측정하여 공정의 정확도를 높이기 위한 로드락 챔버를 제공하고자 하는 것이다.
본 발명의 과제들은 이상에서 언급한 과제로 제한되지 않으며, 언급되지 않은 또 다른 과제들은 아래의 기재로부터 당업자에게 명확하게 이해될 수 있을 것이다.
상기 과제를 달성하기 위한 본 발명의 일 실시예에 따른 로드락 챔버는, 챔버와, 상기 챔버 내부에 형성되며 제1 웨이퍼가 안착되는 제1 안착대와, 상기 제1 안착대의 하부에 배치되어 제2 웨이퍼가 안착되는 제2 안착대와, 상기 제1 안착대 및 상기 제2 안착대 중 적어도 하나에 형성되어 상기 제1 웨이퍼 또는 상기 제2 웨이퍼의 위치를 인식하는 센서부를 포함한다.
상술한 바와 같은 본 발명에 실시예들에 따른 로드락 챔버는 챔버 내부로 이송된 웨이퍼의 정확한 위치를 측정하여 공정의 정확도를 높이는 효과가 있다.
본 발명의 이점 및 특징, 그리고 그것들을 달성하는 방법은 첨부되는 도면과 함께 상세하게 후술되어 있는 실시예들을 참조하면 명확해질 것이다. 그러나 본 발명은 이하에서 개시되는 실시예들에 한정되는 것이 아니라 서로 다른 다양한 형태로 구현될 것이며, 단지 본 실시예들은 본 발명의 개시가 완전하도록 하며, 본 발명이 속하는 기술분야에서 통상의 지식을 가진 자에게 발명의 범주를 완전하게 알려주기 위해 제공되는 것이며, 본 발명은 청구항의 범주에 의해 정의될 뿐이다. 명세서 전체에 걸쳐 동일 참조 부호는 동일 구성 요소를 지칭한다.
이하, 도 1 내자 도 3을 참조하여 본 발명의 일 실시예에 따른 로드락 챔버에 관하여 상세히 설명한다. 여기서, 도 1은 본 발명의 일 실시예에 따른 로드락 챔버의 사시도이고, 도 2는 도 1의 로드락 챔버를 II-II' 선으로 절단한 단면도이고, 도 3은 도 1의 로드락 챔버를 III-III' 선으로 절단한 단면도이다.
본 발명의 일 실시예에 따른 로드락 챔버(310, 320)는 챔버 내부에 복수의 웨이퍼가 수납되는 로드락 모듈(500)을 포함하며, 로드락 모듈(500)은 제1 모듈(510), 제2 모듈(550), 제1 도어(511), 제2 도어(512), 제1 레일(501), 제2 레일(502), 제1 안착부(531a, 531b, 531b), 제2 안착부(532a, 532b, 532b), 제1 센서부(521a, 521b, 521c) 및 제2 센서부(522a, 522b, 522c)를 포함한다.
제1 모듈(510) 및 제2 모듈(550)은 제1 및 제2 웨이퍼(W1, W2)을 각각 독립적으로 수납하는 역할을 한다. 제1 모듈(510) 및 제2 모듈(550)은 동시에 웨이퍼(W1, W2)를 수납할 수 있으며, 필요에 따라 순차적으로 수납할 수도 있다. 이러한 제1 및 제2 모듈(550)은 상하부로 나뉘어 수직으로 배열될 수 있으며, 필요에 따라서는 공간적, 물리적으로 격리하여 내부에 서로 다른 진공압을 형성할 수 있다.
제1 및 제2 하우징(513, 514)은 제1 및 제2 모듈(550)의 프레임을 형성하며, 일측에는 제1 및 제2 개구부(515, 555)를 포함하며, 타측에는 제1 및 제2 도어(511, 512)를 포함한다. 제1 및 제2 개구부(515, 555)는 제1 및 제2 웨이퍼(W1, W2)가 출입하는 출입구가 된다. 로드락 챔버(310, 320) 자체에 진공압 유지를 위하여 밀폐될 수 있는 별도의 도어(미도시)를 포함할 수 있어, 제1 및 제2 개구부(515, 555)에 도어는 필요에 따라 형성할 수 있을 것이다.
제1 및 제2 도어(511, 512)은 제1 및 제2 웨이퍼(W1, W2)가 로드락 챔버(310, 320) 외부로 출입하는 출입구를 개폐하는 역할을 한다. 제1 및 제2 도어(511, 512)는 제1 및 제2 레일(501, 502)을 따라 슬라이딩 운동하여 개폐될 수 있다. 이러한 제1 및 제2 도어(511, 512)는 필요한 웨이퍼(W1, W2)의 출입에 따라 동시에 또는 순차적으로 개폐될 수 있다.
제1 및 제2 하우징(513, 514) 내부에는 제1 및 제2 웨이퍼(W1, W2)가 안착되는 제1 안착대(531a, 531b, 531c) 및 제2 안착대(532a, 532b, 532c)를 포함한다. 제1 안착대(531a, 531b, 531c) 및 제2 안착대(532a, 532b, 532c)은 제1 및 제2 하우징(513, 514)의 바닥면에 형성되며, 원반형의 제1 및 제2 웨이퍼(W1, W2)가 안정적으로 안착될 수 있도록 적어도 3 개의 돌기 형태로 형성될 수 있다. 다만, 제1 안착대(531a, 531b, 531c) 및 제2 안착대(532a, 532b, 532c)는 본 발명에 따른 일 실시예에 불과한 것으로서, 이에 한정될 것은 아니고 제1 및 제2 웨이퍼(W1, W2)와 선접촉 또는 면접촉하여 지지할 수 있는 구조라면 다양하게 변형 가능할 것이다.
제1 및 제2 센서부(521a, 521b, 521c, 522a, 522b, 522c)는 제1 및 제2 웨이퍼(W1, W2)의 안착 위치를 정확하게 인식 한다. 예를 들어, 이송 로봇의 세팅 등을 위해서는 웨이퍼(W1, W2)의 안착 위치를 정확하게 측정하는 역할을 한다. 이와 같은 제1 및 제2 센서부(521a, 521b, 521c, 522a, 522b, 522c)는 포토 센서일 수 있다.
제1 및 제2 센서부(521a, 521b, 521c, 522a, 522b, 522c)는 제1 및 제2 하우징(513, 514)의 바닥면의 세 지점에 형성될 수 있다. 즉, 원반형의 웨이퍼(W1, W2)의 위치를 정확하게 인식하기 위해서는 적어도 세 지점을 인식할 수 있어야 한다.
한편, 제1 및 제2 센서부(521a, 521b, 521c, 522a, 522b, 522c)는 반드시 제1 및 제2 하우징(513, 514)의 바닥면에 위치할 필요는 없다. 제1 및 제2 하우징(513, 514)의 내측 상면에 형성하여, 하부에 위치한 웨이퍼(W1, W2)의 위치를 인식할 수 있게 된다.
이하, 도 4를 참조하여 본 발명의 일 실시예에 따른 로드락 챔버를 포함하는 웨이퍼 처리 시스템에 대하여 상세히 설명한다. 도 4는 도 1의 로드락 챔버를 포함하는 웨이퍼 처리 시스템의 개략적인 평면도이다.
본 발명의 일 실시예에 따른 웨이퍼 이송 장치(100)를 포함하는 웨이퍼 처리 시스템(10)은 웨이퍼를 이용하여 반도체를 제조하기 위한 각종 처리를 자동화 방식으로 구현시킨 반도체 제조 시스템이다. 이러한 웨이퍼 처리 시스템(1)은 다수의 프로세스 모듈(210, 220, 230, 240, 250, 260), 웨이퍼 이송 장치(100), 얼라이 너(aligner)(400), 로드락 챔버(310, 320) 및 로드 포트(410, 420, 430)를 포함한다.
프로세스 모듈(210, 220, 230, 240, 250, 260)은 다양한 기판 공정을 수행하는 다수의 챔버들로 구비될 수 있다. 예를 들면, 프로세스 모듈(210, 220, 230, 240, 250, 260)은 기판 상에 물질막의 증착을 위해 반응 가스들을 공급하도록 구성된 화학 기상 증착(CVD) 모듈, 증착된 물질막의 식각을 위해 가스를 공급하도록 구성된 식각 모듈 또는 사진 공정 후 기판 상에 남아 있는 감광막 층을 제거하도록 구성된 에싱(Ashing) 모듈 등을 포함한다.
웨이퍼 이송 장치(100)는 프로세스 모듈(210, 220, 230, 240, 250, 260) 및 로드락 챔버(310, 320)와 인접하여 배치된다. 이러한 웨이퍼 이송 장치(100)는 프로세스 모듈(210, 220, 230, 240, 250, 260) 및 로드락 챔버(310, 320) 사이에 웨이퍼를 이송하는 역할을 한다.
웨이퍼 이송 장치(100)는 각 프로세스 모듈(210, 220, 230, 240, 250, 260)과 연결된 진공 챔버(110)와 진공 챔버(110) 내부를 이동하며 웨이퍼를 이송하는 이송 로봇(130)를 포함한다. 진공 챔버(110)와 각 프로세스 모듈(210, 220, 230, 240, 250, 260)은 웨이퍼가 이동 가능한 통로를 통하여 서로 연결되어 있으며, 이와 같은 통로에는 슬롯 밸브(215, 225, 235, 245, 255, 256)가 구비되어 있어, 웨이퍼의 이송 상황에 따라 통로를 개폐하게 된다.
진공 챔버(110)는 외부로부터 불순물이 침입하는 것을 방지하며, 웨이퍼를 각 프로세스 모듈(210, 220, 230, 240, 250, 260)로 이송하는 통로가 된다. 이러한 진공 챔버(110)는 웨이퍼의 이송시 개폐되는 슬롯 밸브(215, 225, 235, 245, 255, 256)를 제외하면 외부와는 완전히 격리되어 진공 상태를 유지하게 된다. 진공 챔버(110)의 외벽에는 각 프로세스 모듈(210, 220, 230, 240, 250, 260)이 연결된다. 진공 챔버(110)와 각 프로세스 모듈(210, 220, 230, 240, 250, 260)가 연결되는 제1 진공 챔버(110)의 외벽에는 슬롯 밸브(215, 225, 235, 245, 255, 256)가 형성되어 있으며, 슬롯 밸브(215, 225, 235, 245, 255, 256)는 각 프로세스 모듈(210, 220, 230, 240, 250, 260)과 진공 챔버(110) 사이에 웨이퍼가 이동될 때에만 개방된다. 슬롯 밸브(215, 225, 235, 245, 255, 256)는 진공 챔버(110)의 진공압에 충분히 견딜 수 있도록 형성되며, 슬라이드식으로 개폐되는 슬롯 밸브(215, 225, 235, 245, 255, 256)로 형성될 수 있다.
이송 로봇(130)은 지지대(120)에 고정되어 웨이퍼를 파지하여 로드락 챔버(310, 320)와 각 프로세스 모듈(210, 220, 230, 240, 250, 260)로 이송한다. 이러한 이송 로봇(130)은 지지대(120)를 기준으로 회전 이동 할 수 있어, 필요에 따라 각 프로세스 모듈(210, 220, 230, 240, 250, 260) 방향으로 로봇 암을 회전할 수 있으며, 로봇 암을 이용하여 웨이퍼를 파지하여 프로세스 모듈(210, 220, 230, 240, 250, 260) 또는 로드락 챔버(310, 320)에 웨이퍼를 안착시킬 수 있다.
도 4에 도시된 바와 같이, 본 발명에 따른 웨이퍼 이송 장치(100)는 진공 챔버(110)가 일측으로 길게 연장된 박스형 챔버로 형성되고, 이송 로봇(130)을 탑재한 지지대(120)는 진공 챔버(110) 내부에서 직선 운동을 하면서 웨이퍼를 이송하는 인-라인 트랜스퍼 챔버 방식(In-Line Transfer Chamber Type)으로 형성될 수 있다. 이러한 진공 챔버(110)는 필요에 따라 챔버의 길이를 조절할 수 있으며, 일정한 크기의 단위 챔버를 연결하여 사용할 수도 있다. 단위 챔버를 연결하여 사용하는 경우에는 각 챔버 사이에 슬롯 밸브를 구비하고 이송 로봇이 탑재된 지지대 사이에 웨이퍼를 연속적으로 이어 받는 방식이 될 수 있다.
로드락 챔버(310, 320)는 웨이퍼 이송 장치(100)의 일 측에 배치된다. 로드락 챔버(310, 320)는 프로세스 모듈(210, 220, 230, 240, 250, 260)로 이송되는 웨이퍼가 임시로 놓이는 로딩 챔버와 공정이 완료되어 프로세스 모듈(210, 220, 230, 240, 250, 260)로부터 전달받은 웨이퍼가 임시로 놓이는 언로딩 챔버를 포함한다. 웨이퍼가 로드락 챔버(310, 320) 내로 이송되면, 컨트롤러(미도시)가 로드락 챔버(310, 320)의 내부를 감압하여 진공 상태로 만들고, 이를 통해 외부 물질이 프로세스 모듈(210, 220, 230, 240, 250, 260) 및 웨이퍼 이송 장치(100)로 유입되는 것을 방지할 수 있다.
제1 내지 제3 로트 포트(load port)(410, 420, 430)는 대기압 상태로 유지되고 있는 내부에 웨이퍼를 적재하고 있다. 적재된 웨이퍼는 제1 이송 로봇에 의해 이송되어 얼라이너(400)에 의해 정렬되며, 다시 로드락 챔버(310, 320)로 이송된다. 이때, 로드락 챔버(310, 320)는 웨이퍼를 적재하고 내부를 진공 상태로 만든다. 이러한 웨이퍼는 웨이퍼 이송 장치(100)에 의해 각 프로세스 모듈(210, 220, 230, 240, 250, 260)로 이송되어 일련의 웨이퍼 처리 공정이 수행된다.
일련의 웨이퍼 이송 과정을 설명하면, 제1 내지 제3 로드 포트(410, 420, 430)에 적재되어 있는 웨이퍼를 이송 로봇이 이송하여 얼라이너(400)에 얹어 놓으 면, 얼라이너(400)는 이송된 웨이퍼를 정확하게 정렬한다. 그 다음에 이송 로봇은 제1 로드락 챔버 및 제2 로드락 챔버(310, 320)의 쉘프로 웨이퍼를 하나 이상씩 이송하여 적재한다. 이렇게 제1 내지 제3 로드 포트(410, 420, 430)에 적재되어 있는 웨이퍼가 모두 제1 로드락 챔버(310)나 제2 로드락 챔버(320)로 이송이 완료되면 로드락 챔버(310, 320)는 슬롯 밸브를 닫고 불순물을 제거하고 이송 로봇(130)이 웨이퍼를 이송할 수 있도록 로드락 챔버(310, 320)를 진공 상태로 만든다. 그 후, 이송 로봇(130)은 제1 로드락 챔버(310)나 제2 로드락 챔버(320)의 쉘프에 적재된 웨이퍼를 각 프로세스 모듈(210, 220, 230, 240, 250, 260)까지 공급하여 해당 프로세스를 진행한다. 프로세스가 진행되는 동안에 프로세스가 완료된 웨이퍼는 이송 로봇(130)에 의해 다시 로드락 챔버(310, 320)의 쉘프로 이송되고 로드락 챔버(310, 320)에 가스를 주입하여 상압으로 벤트(Vent)시키고 슬롯 밸브 열면 다른 이송 로봇은 로드락 챔버(310, 320)의 쉘프에 적재된 웨이퍼를 제1 로드 포트 내지 제3 로드 포트(410, 420, 430)로 이송시킨다. 이러한 동작을 반복 수행하여 다수의 웨이퍼를 가공하도록 한다.
이상 첨부된 도면을 참조하여 본 발명의 실시예들을 설명하였지만, 본 발명이 속하는 기술분야에서 통상의 지식을 가진 자는 본 발명이 그 기술적 사상이나 필수적인 특징을 변경하지 않고서 다른 구체적인 형태로 실시될 수 있다는 것을 이해할 수 있을 것이다. 그러므로 이상에서 기술한 실시예들은 모든 면에서 예시적인 것이며 한정적이 아닌 것으로 이해해야만 한다.
도 1은 본 발명의 일 실시예에 따른 로드락 챔버의 사시도이다.
도 2는 도 1의 로드락 챔버를 II-II' 선으로 절단한 단면도이다.
도 3은 도 1의 로드락 챔버를 III-III' 선으로 절단한 단면도이다.
도 4는 도 1의 로드락 챔버를 포함하는 웨이퍼 처리 시스템의 개략적인 평면도이다.
<도면의 주요부분에 대한 부호의 설명>
10: 웨이퍼 처리 시스템 100: 웨이퍼 이송 장치
110: 진공 챔버 130: 이송 로봇
310: 제1 로드락 챔버 320: 제2 로드락 챔버
500: 로드락 모듈
531a, 531b, 531c: 제1 센서부
532a, 532b, 532c: 제2 센서부

Claims (2)

  1. 챔버;
    상기 챔버 내부에 형성되며 제1 웨이퍼가 안착되는 제1 안착대;
    상기 제1 안착대의 하부에 배치되어 제2 웨이퍼가 안착되는 제2 안착대; 및
    상기 제1 안착대 및 상기 제2 안착대 중 적어도 하나에 형성되어 상기 제1 웨이퍼 또는 상기 제2 웨이퍼의 위치를 인식하는 센서부를 포함하는 로드락 챔버.
  2. 제1항에 있어서,
    상기 센서부는 상기 제1 웨이퍼 또는 상기 제2 웨이퍼의 원주에 인접하여 배치되는 적어도 셋 이상의 포토 센서인 로드락 챔버.
KR1020070140230A 2007-12-28 2007-12-28 로드락 챔버 KR20090072193A (ko)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020070140230A KR20090072193A (ko) 2007-12-28 2007-12-28 로드락 챔버

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020070140230A KR20090072193A (ko) 2007-12-28 2007-12-28 로드락 챔버

Publications (1)

Publication Number Publication Date
KR20090072193A true KR20090072193A (ko) 2009-07-02

Family

ID=41329447

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020070140230A KR20090072193A (ko) 2007-12-28 2007-12-28 로드락 챔버

Country Status (1)

Country Link
KR (1) KR20090072193A (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20150139293A (ko) * 2014-06-03 2015-12-11 주식회사 원익아이피에스 기판처리장치 및 이를 포함하는 기판처리 시스템

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20150139293A (ko) * 2014-06-03 2015-12-11 주식회사 원익아이피에스 기판처리장치 및 이를 포함하는 기판처리 시스템

Similar Documents

Publication Publication Date Title
JP7413428B2 (ja) 側方収納ポッド、機器フロントエンドモジュール、及び、基板を処理する方法
TWI702306B (zh) 多區反應器,包含該反應器的系統及使用該反應器的方法
KR102360219B1 (ko) 인덱서블 측면 저장 포드 장치, 가열식 측면 저장 포드 장치, 시스템들, 및 방법들
KR101024530B1 (ko) 기판 처리 장치, 기판 처리 방법 및, 컴퓨터 판독 가능 기억 매체
US10431481B2 (en) Load lock apparatus and substrate processing system
KR20100095371A (ko) 기판 처리 장치
US11380564B2 (en) Processing system having a front opening unified pod (FOUP) load lock
JP2014093489A (ja) 基板処理装置
JP2014060412A (ja) 半導体製造装置
KR20130014304A (ko) 기판처리장치, 기판처리설비 및 기판처리방법
CN109314071B (zh) 十二边形传送腔室和具有十二边形传送腔室的处理系统
KR20110029174A (ko) 반도체 웨이퍼의 로딩 및 언로딩 장치
US20150228520A1 (en) Substrate Transfer Robot and Substrate Processing Apparatus Using The Same
KR101065350B1 (ko) 버퍼 챔버 및 이를 구비한 반도체 제조용 장비
KR20090072193A (ko) 로드락 챔버
JP2018098387A (ja) 基板処理装置
JP2018093087A (ja) 基板処理装置
KR20090072189A (ko) 웨이퍼 이송 장치
KR102344253B1 (ko) 사이드 스토리지 유닛 및 이를 구비하는 기판 처리 시스템
KR20170055819A (ko) 기판 처리 장치
KR20080071682A (ko) 로드락 챔버 및 이를 이용한 반도체 제조 장치
KR20090072192A (ko) 웨이퍼 이송 장치
KR20230167676A (ko) 기판처리장치
KR20210021183A (ko) 챔버 도킹 장치
KR20090037178A (ko) 반도체 소자 제조 시스템

Legal Events

Date Code Title Description
WITN Withdrawal due to no request for examination