KR20090054339A - Method for manufacturing sidewall spacer of semiconductor device - Google Patents

Method for manufacturing sidewall spacer of semiconductor device Download PDF

Info

Publication number
KR20090054339A
KR20090054339A KR1020070121144A KR20070121144A KR20090054339A KR 20090054339 A KR20090054339 A KR 20090054339A KR 1020070121144 A KR1020070121144 A KR 1020070121144A KR 20070121144 A KR20070121144 A KR 20070121144A KR 20090054339 A KR20090054339 A KR 20090054339A
Authority
KR
South Korea
Prior art keywords
forming
semiconductor substrate
etching
photoresist pattern
pattern
Prior art date
Application number
KR1020070121144A
Other languages
Korean (ko)
Other versions
KR100924880B1 (en
Inventor
황문섭
박형진
Original Assignee
주식회사 동부하이텍
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 주식회사 동부하이텍 filed Critical 주식회사 동부하이텍
Priority to KR1020070121144A priority Critical patent/KR100924880B1/en
Publication of KR20090054339A publication Critical patent/KR20090054339A/en
Application granted granted Critical
Publication of KR100924880B1 publication Critical patent/KR100924880B1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/266Bombardment with radiation with high-energy radiation producing ion implantation using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • H01L29/6659Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate with both lightly doped source and drain extensions and source and drain self-aligned to the sides of the gate, e.g. lightly doped drain [LDD] MOSFET, double diffused drain [DDD] MOSFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's

Abstract

본 발명은 반도체 소자의 다층 배선 형성 방법에 관한 것으로, 소자 분리막이 형성된 반도체 기판 전면에 제 1 포토레지스트 패턴을 형성한 한 후 제 1 포토레지스트 패턴을 마스크로 반도체 기판을 1차 식각하여 반도체 기판 상에 제 1 식각 패턴을 형성하고, 제 1 식각 패턴 형성 후 반도체 기판 상에 제 2 포토레지스트 패턴을 형성하고 제 2 포토레지스트 패턴을 마스크로 반도체 기판을 2차 식각하여 반도체 기판 상에 제 2 식각 패턴을 형성하며, 제 2 식각 패턴 형성 후 반도체 기판 상에 제 3 포토레지스트 패턴을 형성하고 제 3 포토레지스트 패턴을 마스크로 하여 반도체 기판의 채널 영역에 대해 이온 주입 공정을 실시하고, 채널 영역 상에 게이트 전극을 형성한 후 저농도 이온주입 공정을 실시하여 LDD 영역을 형성하며, 게이트 전극 측벽에 측벽 스페이서를 형성한 후 게이트 전극 및 측벽 스페이서 상부의 영역을 마스크로 고농도 이온주입 공정을 실시하여 소스/드레인 영역을 형성하는 것을 특징으로 한다. 본 발명에 의하면, 소스/드레인 간의 항복전압을 증가시켜 반도체 소자의 안정성을 높일 수 있으며, 기생적인 오버랩 캐패시턴스 발생을 최소화하여 RC 지연시간(delay time)을 줄임으로써 소자의 동작속도를 높일 수 있다. 또한, 아날로그 매칭 특성을 안정적으로 구현하여 회로 디자인 설계를 용이하게 하며, 동일한 게이트 길이를 갖는 반도체 소자에 비해 시장 경쟁력을 높일 수 있다.BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a method for forming a multilayer wiring of a semiconductor device, wherein a first photoresist pattern is formed on an entire surface of a semiconductor substrate on which an isolation layer is formed, and then the semiconductor substrate is first etched using the first photoresist pattern as a mask to form a semiconductor substrate. Forming a first etching pattern on the semiconductor substrate, forming a second photoresist pattern on the semiconductor substrate after forming the first etching pattern, and second etching the semiconductor substrate using the second photoresist pattern as a mask to form a second etching pattern on the semiconductor substrate. And forming a third photoresist pattern on the semiconductor substrate after forming the second etching pattern, performing an ion implantation process on the channel region of the semiconductor substrate using the third photoresist pattern as a mask, and forming a gate on the channel region. After forming the electrode, a low-concentration ion implantation process is performed to form an LDD region, and sidewalls are formed on the sidewalls of the gate electrode. Subjected to high-concentration ion implantation process after the formation of the gate electrode and the sidewall spacer regions of the document in the upper mask it is characterized in that for forming the source / drain regions. According to the present invention, the breakdown voltage between the source and the drain may be increased to increase the stability of the semiconductor device, and the operation speed of the device may be increased by reducing the RC delay time by minimizing the occurrence of parasitic overlap capacitance. In addition, it is possible to reliably implement the analog matching characteristics to facilitate circuit design design, and to increase the market competitiveness compared to semiconductor devices having the same gate length.

LDD(Light Doped Drain), 오버랩 캐패시턴스(Overlap Capacitance) Light Doped Drain (LDD), Overlap Capacitance

Description

반도체 소자의 다층 배선 형성 방법{METHOD FOR MANUFACTURING SIDEWALL SPACER OF SEMICONDUCTOR DEVICE}Method of forming multilayer wiring of semiconductor device {METHOD FOR MANUFACTURING SIDEWALL SPACER OF SEMICONDUCTOR DEVICE}

본 발명은 반도체 소자 제조 기술에 관한 것으로서, 특히 반도체 미세화로 인한 게이트 길이 축소에 따른 기생용량을 최소화하는데 적합한 반도체 소자의 다층 배선 형성 방법에 관한 것이다.BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a semiconductor device manufacturing technology, and more particularly, to a method for forming a multilayer wiring of a semiconductor device suitable for minimizing parasitic capacitance caused by gate length reduction due to semiconductor miniaturization.

반도체 제조 기술의 발달에 따른 소자의 고집적화로 인해 회로상의 금속 배선은 점차 미세한 선폭으로 형성되었으며, 그 배선 간의 간격 또한 미세화되는 추세이다.Due to the high integration of devices according to the development of semiconductor manufacturing technology, metal wirings on a circuit are gradually formed with fine line widths, and the spacing between the wirings is also miniaturized.

이에 따라 LDD(Light Doped Drain) 구조를 사용하는 트랜지스터의 게이트 길이(gate length)도 작아지고 있는데, 이러한 경향에 따라 동일한 게이트 길이에서 디바이스의 성능(device performance)을 증가시키기 위한 연구가 활발히 진행되고 있다.As a result, gate lengths of transistors using a light doped drain (LDD) structure are also decreasing. Accordingly, studies to increase device performance at the same gate length have been actively conducted. .

도 1은 종래 기술에 의한 반도체 소자의 다층 배선 형성 방법, 예컨대 LDD 형성 방법을 설명하기 위한 공정 순서도이다.1 is a process flowchart illustrating a method for forming a multilayer wiring of a semiconductor device according to the prior art, for example, an LDD formation method.

도 1을 참조하면, 종래 기술에 의한 반도체 소자의 다층 배선 형성 방법은 다음과 같이 진행된다.Referring to FIG. 1, the method for forming a multilayer wiring of a semiconductor device according to the prior art proceeds as follows.

우선, 반도체 기판(100)으로서 실리콘 기판에 활성 영역과 비활성 영역을 정의하는 소자 분리막(102)을 형성한다. 예를 들어, 반도체 기판(100)을 소정 깊이로 식각하여 트렌치를 형성하고, 트렌치를 채우는 절연 물질, HDP(High Density Plasma) 산화막을 매립하고 화학적기계적연마(CMP : Chemical Mechanical Polishing) 공정으로 절연 물질을 연마하여 셀로우 트렌치(Shallow Trench Isolation : 이하 STI라 함)형 소자 분리막(102)을 형성한다.First, as the semiconductor substrate 100, an isolation layer 102 defining an active region and an inactive region is formed on a silicon substrate. For example, the semiconductor substrate 100 is etched to a predetermined depth to form a trench, an insulating material filling the trench, an HDP (High Density Plasma) oxide film is buried, and an insulating material by a chemical mechanical polishing (CMP) process. The trench trench (Shallow Trench Isolation: type STI) type device isolation film 102 is polished to form a thin film.

소자 분리막(102)이 형성된 반도체 기판(100) 전면에 절연막, 예를 들어 실리콘 산화막(SiO2)을 약 100Å 정도 증착하고, 그 위에 게이트 도전막, 예를 들어 불순물이 도핑된 도프트 폴리실리콘을 약 3000Å 정도 증착한다. 여기서, 게이트 도전막은 불순물이 도핑된 폴리실리콘 이외에, 실리콘게르마늄(SiGe), 코발트(Co), 텅스텐(W), 티타늄(Ti), 니켈(Ni), 탄탈륨(Ta), 티타늄 질화막(TiN), 탄탈륨 질화막(TaN), 텅스텐 질화막(WN) 중에서 어느 하나, 또는 이의 복합물로 구성될 수 있다.An insulating film, for example, silicon oxide film (SiO 2 ), is deposited on the entire surface of the semiconductor substrate 100 on which the device isolation film 102 is formed, and a doped polysilicon doped with a gate conductive film, for example, an impurity is deposited thereon. Deposit about 3000Å. The gate conductive layer may be formed of silicon germanium (SiGe), cobalt (Co), tungsten (W), titanium (Ti), nickel (Ni), tantalum (Ta), titanium nitride (TiN), in addition to polysilicon doped with impurities. The tantalum nitride film TaN, the tungsten nitride film WN, or a composite thereof may be formed.

사진 공정을 진행하여 게이트 도전막에 게이트 영역을 정의하는 포토레지스트 패턴(미도시됨)을 형성하고, 이 패턴에 의해 드러난 게이트 도전막을 건식 식각, 예를 들어 반응성 이온 식각(RIE : Reactive Ion Etching)하여 게이트 전극(106)을 형성하며, 그 아래의 절연막 또한 건식 식각하여 게이트 절연막(104)을 형성한다. 그리고 에슁(ashing) 공정으로 포토레지스트 패턴을 제거한다.A photolithography process is performed to form a photoresist pattern (not shown) defining a gate region in the gate conductive film, and the gate conductive film exposed by the pattern is dry etched, for example, reactive ion etching (RIE). As a result, the gate electrode 106 is formed, and the insulating film beneath it is also dry-etched to form the gate insulating film 104. The photoresist pattern is removed by an ashing process.

그 다음 게이트 전극(106)을 이온 주입 마스크로 이용하여 저농도 이온주입 공정(예를 들어, n형 도펀트를 저농도로 이온 주입)을 실시하여 LDD 영역(108)을 형성한다.Next, using the gate electrode 106 as an ion implantation mask, a low concentration ion implantation process (for example, a low concentration of ion implanted n-type dopant) is performed to form the LDD region 108.

이후, 반도체 기판(100) 전면에 절연 물질, 예를 들어 실리콘 질화막(SiN) 또는 실리콘 산화질화막(SiON)을 증착하고 이를 건식 식각, 예를 들어 반응성 이온 식각(RIE)하여 게이트 전극(106) 측벽에 스페이서(110)를 형성한다.Subsequently, an insulating material, for example, a silicon nitride layer (SiN) or a silicon oxynitride layer (SiON), is deposited on the entire surface of the semiconductor substrate 100 and then dry-etched, for example, reactive ion etching (RIE), to form a sidewall of the gate electrode 106. The spacer 110 is formed on the substrate.

그 다음 스페이서(110) 및 게이트 전극(106)을 이온 주입 마스크로 이용하여 고농도 이온주입 공정(예를 들어, n형 도펀트를 고농도로 이온 주입)을 실시하여 소스/드레인 영역(112)을 형성한다.Then, using the spacer 110 and the gate electrode 106 as an ion implantation mask, a high concentration ion implantation process (for example, a high concentration of ion implanted n-type dopant) is performed to form the source / drain region 112. .

계속해서, 게이트 전극(106), 소스/드레인 영역을 갖는 모스 트랜지스터 등과 같은 반도체 소자가 형성된 반도체 기판 구조물 전면에 식각 정지막으로서, 실리콘 질화막(SiN)을 300Å∼500Å 두께로 얇게 형성한 후, 그 상부에 층간 절연막(IMD)(114)으로서, 갭필 특성이 우수한 O3-TEOS 산화막, BPSG 절연막, HDP CVD 산화막 등의 절연막을 약 7000Å 이상 두껍게 증착한다. 여기서, 층간 절연막(IMD)(114)은 하부의 반도체 소자 사이의 공간을 갭필하는 역할을 한다.Subsequently, as a etch stop film on the entire surface of the semiconductor substrate structure on which the semiconductor element such as the gate electrode 106, the MOS transistor having the source / drain regions, etc. were formed, a silicon nitride film (SiN) was thinly formed to a thickness of 300 kV to 500 kV. As an interlayer insulating film (IMD) 114 on the top, an insulating film such as an O 3 -TEOS oxide film, a BPSG insulating film, an HDP CVD oxide film, etc., having excellent gap fill characteristics, is deposited thicker than about 7000 kPa. Here, the interlayer insulating layer (IMD) 114 serves to gapfill the space between the lower semiconductor devices.

이후, 콘택홀 형성을 위한 패터닝 공정과 식각 공정, 그리고 텅스텐 증착, CMP 공정 등을 통해 최종적으로 도 1과 같은 배선 구조를 형성한다.Thereafter, a wiring structure as shown in FIG. 1 is finally formed through a patterning process, an etching process, a tungsten deposition process, a CMP process, and the like, for forming a contact hole.

이때, 종래의 반도체 소자 제조 공정에서는, 게이트의 길이가 점점 작아짐에 따라 도 2에 예시한 바와 같은 기생용량, 예를 들면 오버랩 캐패시턴스(overlap capacitance)(A)가 발생될 수 있다.At this time, in the conventional semiconductor device manufacturing process, as the length of the gate becomes smaller and smaller, parasitic capacitance, for example, overlap capacitance A, as illustrated in FIG. 2, may be generated.

이와 같은 오버랩 캐패시턴스는, 도 2의 부호 B에서 알 수 있듯이, 소스/드레인간의 푸치 쓰루 전압(puch through voltage)을 악화시켜 반도체 소자의 안정성을 떨어뜨릴 수 있다.Such overlap capacitance, as can be seen by reference sign B of FIG. 2, may degrade the puch through voltage between the source and the drain, thereby reducing the stability of the semiconductor device.

이에 본 발명은, 반도체 LDD 구조에서 게이트 길이가 작아짐에 따라 발생하는 항복전압(breakdown voltage) 강하 현상을 개선하여 보다 안정적인 반도체 소자를 구현하고자 한다.Accordingly, the present invention is to implement a more stable semiconductor device by improving the breakdown voltage drop phenomenon occurs as the gate length is reduced in the semiconductor LDD structure.

또한 본 발명은, 기생용량인 오버랩 캐패시턴스(overlap capacitance)를 줄여 동일한 게이트 길이를 갖는 반도체 소자에서 보다 쉽고 안정적으로 아날로그 소자와의 매칭 특성을 구현하고자 한다.In addition, the present invention, by reducing the parasitic capacitance (overlap capacitance) to achieve a matching characteristic with analog devices more easily and stably in a semiconductor device having the same gate length.

본 발명의 과제를 해결하기 위한 바람직한 실시예에 따르면, 소자 분리막이 형성된 반도체 기판 전면에 제 1 포토레지스트 패턴을 형성한 한 후 상기 제 1 포토레지스트 패턴을 마스크로 상기 반도체 기판을 1차 식각하여 상기 반도체 기판 상에 제 1 식각 패턴을 형성하는 과정과, 상기 제 1 식각 패턴 형성 후 상기 반도 체 기판 상에 제 2 포토레지스트 패턴을 형성하고 상기 제 2 포토레지스트 패턴을 마스크로 상기 반도체 기판을 2차 식각하여 상기 반도체 기판 상에 제 2 식각 패턴을 형성하는 과정과, 상기 제 2 식각 패턴 형성 후 상기 반도체 기판 상에 제 3 포토레지스트 패턴을 형성하고 상기 제 3 포토레지스트 패턴을 마스크로 하여 상기 반도체 기판의 채널 영역에 대해 이온 주입 공정을 실시하는 과정과, 상기 채널 영역 상에 게이트 전극을 형성한 후 저농도 이온주입 공정을 실시하여 LDD 영역을 형성하는 과정과, 상기 게이트 전극 측벽에 측벽 스페이서를 형성한 후 상기 게이트 전극 및 측벽 스페이서 상부의 영역을 마스크로 고농도 이온주입 공정을 실시하여 소스/드레인 영역을 형성하는 과정을 포함하는 반도체 소자의 다층 배선 형성 방법.According to a preferred embodiment of the present invention, after forming a first photoresist pattern on the entire surface of the semiconductor substrate on which the device isolation layer is formed, the semiconductor substrate is first etched using the first photoresist pattern as a mask. Forming a first etching pattern on the semiconductor substrate, forming a second photoresist pattern on the semiconductor substrate after forming the first etching pattern, and secondly forming the semiconductor substrate using the second photoresist pattern as a mask; Etching to form a second etching pattern on the semiconductor substrate, and after forming the second etching pattern, forming a third photoresist pattern on the semiconductor substrate and using the third photoresist pattern as a mask. Performing an ion implantation process on the channel region of the substrate, and forming a gate electrode on the channel region Thereafter, a low concentration ion implantation process is performed to form an LDD region, and sidewall spacers are formed on the sidewalls of the gate electrode, and a high concentration ion implantation process is performed using the regions on the gate electrode and the sidewall spacers as masks, thereby forming a source / drain region. Forming a multi-layer wiring of a semiconductor device comprising the step of forming a.

본 발명에 의하면, 소스/드레인 간의 항복전압을 증가시켜 반도체 소자의 안정성을 높일 수 있으며, 기생적인 오버랩 캐패시턴스 발생을 최소화하여 RC 지연시간(delay time)을 줄임으로써 소자의 동작속도를 높일 수 있다. 또한, 아날로그 매칭 특성을 안정적으로 구현하여 회로 디자인 설계를 용이하게 하며, 동일한 게이트 길이를 갖는 반도체 소자에 비해 시장 경쟁력을 높일 수 있다.According to the present invention, the breakdown voltage between the source and the drain may be increased to increase the stability of the semiconductor device, and the operation speed of the device may be increased by reducing the RC delay time by minimizing the occurrence of parasitic overlap capacitance. In addition, it is possible to reliably implement the analog matching characteristics to facilitate circuit design design, and to increase the market competitiveness compared to semiconductor devices having the same gate length.

이하, 첨부된 도면을 참조하여 본 발명의 바람직한 실시예에 대하여 상세하 게 설명한다.Hereinafter, with reference to the accompanying drawings will be described in detail a preferred embodiment of the present invention.

도 3a 내지 도 3j는 본 발명의 바람직한 실시예에 따른 반도체 소자의 다층 배선 형성 방법을 설명하기 위한 공정 순서도이다.3A to 3J are flowcharts illustrating a method of forming a multilayer wiring of a semiconductor device according to an exemplary embodiment of the present invention.

도 3a 내지 도 3j를 참조하면, 본 발명의 바람직한 실시예에 따른 반도체 소자의 다층 배선 형성 과정은 다음과 같이 진행된다.3A to 3J, the process of forming a multilayer wiring of a semiconductor device according to an exemplary embodiment of the present invention is performed as follows.

먼저, 도 3a에 도시한 바와 같이, 반도체 기판(300)으로서 실리콘 기판에 활성 영역과 비활성 영역을 정의하는 소자 분리막(도시 생략됨)을 형성한 후, 이 소자 분리막이 형성된 반도체 기판(300) 전면에 절연막, 예를 들어 실리콘 산화막(SiO2)을 약 100Å 정도 증착하고, 그 위에 게이트 도전막, 예를 들어 불순물이 도핑된 도프트 폴리실리콘을 약 3000Å 정도 증착한다. 여기서, 게이트 도전막은 불순물이 도핑된 폴리실리콘 이외에, 실리콘게르마늄(SiGe), 코발트(Co), 텅스텐(W), 티타늄(Ti), 니켈(Ni), 탄탈륨(Ta), 티타늄 질화막(TiN), 탄탈륨 질화막(TaN), 텅스텐 질화막(WN) 중에서 어느 하나, 또는 이의 복합물로 구성될 수 있다.First, as shown in FIG. 3A, an isolation layer (not shown) defining an active region and an inactive region is formed on a silicon substrate as the semiconductor substrate 300, and then the entire semiconductor substrate 300 on which the isolation layer is formed. An insulating film, for example, a silicon oxide film (SiO 2 ) is deposited on the substrate, and a gate conductive film, for example, doped polysilicon doped with impurities, is deposited on the substrate. The gate conductive layer may be formed of silicon germanium (SiGe), cobalt (Co), tungsten (W), titanium (Ti), nickel (Ni), tantalum (Ta), titanium nitride (TiN), in addition to polysilicon doped with impurities. The tantalum nitride film TaN, the tungsten nitride film WN, or a composite thereof may be formed.

이후, 상기 반도체 기판(300) 전면에 제 1 포토레지스트(도시 생략됨)를 도포한 후 노광 공정 등을 진행하여 제 1 포토레지스트 패턴(302)을 형성한다.Thereafter, a first photoresist (not shown) is coated on the entire surface of the semiconductor substrate 300, and then an exposure process is performed to form a first photoresist pattern 302.

도 3b에서는 이와 같이 형성된 제 1 포토레지스트 패턴(302)을 마스크로 1차 건식 식각, 예를 들어 반응성 이온 식각(RIE : Reactive Ion Etching)b하여 상기 반도체 기판(300) 상에 제 1 식각 패턴(304)을 형성한다. 도 3b에서 도면부호 300'는 이와 같은 1차 건식 식각 공정 이후의 반도체 기판을 나타낸다.In FIG. 3B, the first photoresist pattern 302 formed as described above may be subjected to primary dry etching, for example, reactive ion etching (RIE) b, to form a first etching pattern on the semiconductor substrate 300. 304). In FIG. 3B, reference numeral 300 ′ represents a semiconductor substrate after such a first dry etching process.

그런 다음, 도 3c에서는, 예를 들면 에슁(ashing) 공정 등을 통해 상기 제 1 포토레지스트 패턴(302)을 제거하며, 상기 제 1 식각 패턴(304) 전면에 제 2 포토레지스트(도시 생략됨)를 도포한 후 노광 공정 등을 진행하여 제 2 포토레지스트 패턴(306)을 형성하고, 상기 제 2 포토레지스트 패턴(306)을 마스크로 2차 건식 식각, 예를 들어 반응성 이온 식각하여 상기 반도체 기판(300') 상에 제 2 식각 패턴(308)을 형성한다. 도 3c에서 도면부호 300''는 이와 같은 2차 건식 식각 공정 이후의 반도체 기판을 나타낸다. 도 3c에서 알 수 있듯이, 제 2 식각 패턴(308)은 도 3b의 제 1 식각 패턴(304)을 포함하고 있다.Next, in FIG. 3C, the first photoresist pattern 302 is removed through, for example, an ashing process, and a second photoresist (not shown) is formed on the entire surface of the first etching pattern 304. After the coating process, an exposure process is performed to form a second photoresist pattern 306, and second dry etching, for example, reactive ion etching, is performed using the second photoresist pattern 306 as a mask. A second etching pattern 308 is formed on the substrate 300 ′. In FIG. 3C, reference numeral 300 ″ denotes a semiconductor substrate after such a secondary dry etching process. As can be seen in FIG. 3C, the second etching pattern 308 includes the first etching pattern 304 of FIG. 3B.

상기 제 2 식각 패턴(308)을 형성하고 난 후, 도 3d에서는, 애슁 공정 등을 통해 제 2 포토레지스트 패턴(306)을 제거하고 제 3 포토레지스트(도시 생략됨)를 도포한다. 그리고 노광 공정 등을 진행하여 제 3 포토레지스트 패턴(309)을 형성하고, 상기 제 3 포토레지스트 패턴(309)을 마스크로 하여 반도체 소자의 채널 영역(310)에 대해 이온 주입 공정을 실시한다.After the second etching pattern 308 is formed, in FIG. 3D, the second photoresist pattern 306 is removed through an ashing process, and a third photoresist (not shown) is applied. The exposure process may be performed to form the third photoresist pattern 309, and the ion implantation process may be performed on the channel region 310 of the semiconductor device using the third photoresist pattern 309 as a mask.

이후, 도 3e에서는 사진 공정을 진행하여 게이트 도전막에 게이트 영역을 정의하는 포토레지스트 패턴(미도시됨)을 형성하고, 이 패턴에 의해 드러난 게이트 도전막을 건식 식각, 예를 들어 반응성 이온 식각(RIE)하여 게이트 전극(314)을 형성하며, 그 아래의 절연막 또한 건식 식각하여 게이트 절연막(312)을 형성한다. 그리고 에슁 공정으로 포토레지스트 패턴을 제거한다.Next, in FIG. 3E, a photoresist pattern (not shown) defining a gate region is formed on the gate conductive layer by performing a photolithography process, and the gate conductive layer exposed by the pattern is dry etched, for example, reactive ion etching (RIE). ) To form the gate electrode 314, and the insulating film underneath it is also dry-etched to form the gate insulating film 312. The photoresist pattern is removed by an etching process.

그 다음 도 3f 및 도 3g에서는 상기 게이트 전극(314) 상부에 제 4 포토레지 스트(도시 생략됨)를 도포한 후 노광 공정 등을 진행하여 제 4 포토레지스트 패턴(316)을 형성하고, 상기 제 4 포토레지스트 패턴(309)을 마스크로 저농도 이온주입 공정(예를 들어, n형 도펀트를 저농도로 이온주입)을 실시하여 LDD 영역(318)을 형성한다. 또는, 상기 제 4 포토레지스트 패턴(309)을 사용하지 않고 상기 게이트 전극(314)을 이온 주입 마스크로 이용하여 저농도 이온주입 공정을 실시하여 LDD 영역(318)을 형성할 수도 있다.3F and 3G, after applying a fourth photoresist (not shown) on the gate electrode 314, an exposure process is performed to form a fourth photoresist pattern 316. The LDD region 318 is formed by performing a low concentration ion implantation process (for example, by implanting an n-type dopant at low concentration) using the photoresist pattern 309 as a mask. Alternatively, the LDD region 318 may be formed by performing a low concentration ion implantation process using the gate electrode 314 as an ion implantation mask without using the fourth photoresist pattern 309.

이후 도 3h에서는, 반도체 기판(300'') 전면에 측벽 스페이서 형성용 산화막(도시 생략됨)을 증착한 후, 상기 측벽 스페이서 형성용 산화막을 건식 식각, 예를 들어 반응성 이온 식각(RIE)하여 게이트 전극(314) 측벽에 측벽 스페이서(320)를 형성한다. 이때, 상기 측벽 스페이서 형성용 산화막은, 바람직하게는 900Å∼1200Å, 보다 바람직하게는 1000Å의 두께로 증착될 수 있다.Next, in FIG. 3H, an oxide film for forming sidewall spacers (not shown) is deposited on the entire surface of the semiconductor substrate 300 ″, followed by dry etching, for example, reactive ion etching (RIE). Sidewall spacers 320 are formed on sidewalls of the electrode 314. In this case, the oxide film for forming the sidewall spacers may be deposited to a thickness of preferably 900 kPa to 1200 kPa, more preferably 1000 kPa.

이후 도 3i에서는, 상기 게이트 전극(314) 및 측벽 스페이서(320) 상부에 제 5 포토레지스트(도시 생략됨)를 도포한 후 노광 공정 등을 진행하여 제 5 포토레지스트 패턴(322)을 형성하고, 상기 제 5 포토레지스트 패턴(322)을 마스크로 고농도 이온주입 공정(예를 들어, n형 도펀트를 고농도로 이온주입)을 실시하여 소스/드레인 영역(324)을 형성한다. 또는, 상기 측벽 스페이서(320) 및 게이트 전극(314)을 이온 주입 마스크로 이용하여 고농도 이온주입 공정을 실시하여 소스/드레인 영역(324)을 형성할 수도 있다. 이때, 본 실시예에서는 소스/드레인 영역(324)의 에너지 및 이온주입량을 고려하여 식각 깊이를 결정하는 것을 특징으로 한다.Subsequently, in FIG. 3I, a fifth photoresist (not shown) is coated on the gate electrode 314 and the sidewall spacer 320, and an exposure process is performed to form a fifth photoresist pattern 322. The source / drain region 324 is formed by performing a high concentration ion implantation process (for example, ion implantation with a high concentration of an n-type dopant) using the fifth photoresist pattern 322 as a mask. Alternatively, the source / drain region 324 may be formed by performing a high concentration ion implantation process using the sidewall spacer 320 and the gate electrode 314 as an ion implantation mask. In this embodiment, the etching depth is determined in consideration of the energy and the ion implantation amount of the source / drain region 324.

계속해서, 도 3j에서는, 게이트 전극(314), 소스/드레인 영역을 갖는 모스 트랜지스터 등과 같은 반도체 소자가 형성된 반도체 기판 구조물 전면에 식각 정지막으로서, 실리콘 질화막(SiN)을 300Å∼500Å 두께로 얇게 형성한 후, 그 상부에 층간 절연막(IMD)(326)으로서, 갭필 특성이 우수한 O3-TEOS 산화막, BPSG 절연막, HDP CVD 산화막 등의 절연막을 약 7000Å 이상 두껍게 증착한다. 여기서, 층간 절연막(IMD)(324)은 하부의 반도체 소자 사이의 공간을 갭필하는 역할을 한다.Subsequently, in FIG. 3J, a silicon nitride film (SiN) is thinly formed to a thickness of 300 to 500 kV as an etch stop film on the entire surface of the semiconductor substrate structure on which semiconductor elements such as the gate electrode 314 and the MOS transistor having the source / drain regions are formed. After that, as an interlayer insulating film (IMD) 326, insulating films such as an O 3 -TEOS oxide film, a BPSG insulating film, and an HDP CVD oxide film having excellent gap fill characteristics are deposited at a thickness of about 7000 kPa or more. Here, the interlayer insulating layer (IMD) 324 serves to fill the space between the lower semiconductor elements.

이러한 층간 절연막(IMD)(326)을 증착한 다음, 장벽 금속층, 예를 들면 Ti/TiN을 증착한 후 금속재료, 예를 들면 텅스텐(W)을 충진한 뒤 추가적인 증착과정을 진행하여 콘택(328)을 형성한다.After depositing the interlayer insulating layer (IMD) 326, a barrier metal layer, for example, Ti / TiN, is deposited, and then filled with a metal material, for example, tungsten (W), and then an additional deposition process is performed to contact 328. ).

끝으로, 패턴 형성 공정을 진행하여 상기 콘택(328) 상부에 금속 라인(330)을 형성함으로써, 최종적인 반도체 소자의 다층 배선 구조를 완성한다.Finally, the metal line 330 is formed on the contact 328 by the pattern forming process, thereby completing the final multilayer wiring structure of the semiconductor device.

도 3j에 나타난 바와 같이, 종래의 도 2와 같은 다층 배선 구조와 비교하여, 기생용량인 오버랩 캐패시턴스가 발생되지 않음을 알 수 있다.As shown in FIG. 3J, it can be seen that the overlap capacitance, which is a parasitic capacitance, does not occur as compared with the conventional multilayer wiring structure as shown in FIG. 2.

이상과 같이, 본 발명은 반도체 소자의 LDD 구조에서 게이트 길이가 작아짐에 따라 발생하는 항복전압 강하 현상을 개선하여 동일한 게이트 길이를 갖는 반도체 소자에서 아날로그 소자와의 매칭 특성을 안정적으로 구현한 것이다.As described above, the present invention improves the breakdown voltage drop caused by the short gate length in the LDD structure of the semiconductor device, thereby stably realizing matching characteristics with the analog device in the semiconductor device having the same gate length.

한편, 지금까지 본 발명의 실시예에 대해 상세히 기술하였으나 본 발명은 이러한 실시예에 국한되는 것은 아니며, 후술하는 청구범위에 기재된 본 발명의 기술적 사상과 범주 내에서 당업자로부터 여러 가지 변형이 가능함은 물론이다.Meanwhile, the embodiments of the present invention have been described in detail, but the present invention is not limited to these embodiments, and various modifications may be made by those skilled in the art within the spirit and scope of the present invention described in the claims below. to be.

도 1은 종래 기술에 의한 반도체 소자의 다층 배선 형성 방법을 설명하기 위한 공정 단면도,1 is a cross-sectional view for explaining a method for forming a multilayer wiring of a semiconductor device according to the prior art;

도 2는 오버랩 캐패시턴스가 발생된 반도체 소자의 다층 배선 구조를 예시한 도면,2 is a diagram illustrating a multilayer wiring structure of a semiconductor device in which overlap capacitance is generated;

도 3a 내지 도 3j는 본 발명의 바람직한 실시예에 따른 반도체 소자의 다층 배선 형성 방법을 설명하기 위한 공정 순서도.3A to 3J are process flowcharts illustrating a method for forming a multilayer wiring of a semiconductor device according to a preferred embodiment of the present invention.

<도면의 주요부분에 대한 부호의 설명><Description of the code | symbol about the principal part of drawing>

300 : 반도체 기판 302 : 제 1 포토레지스트 패턴300: semiconductor substrate 302: first photoresist pattern

304 : 제 1 식각 패턴 306 : 제 2 포토레지스트 패턴304: first etching pattern 306: second photoresist pattern

308 : 제 2 식각 패턴 312 : 게이트 절연막308: second etching pattern 312: gate insulating film

314 : 게이트 전극 318 : LDD 영역314: gate electrode 318: LDD region

320 : 측벽 스페이서 324 : 소스/드레인 영역320 sidewall spacer 324 source / drain regions

Claims (5)

소자 분리막이 형성된 반도체 기판 전면에 제 1 포토레지스트 패턴을 형성한 한 후 상기 제 1 포토레지스트 패턴을 마스크로 상기 반도체 기판을 1차 식각하여 상기 반도체 기판 상에 제 1 식각 패턴을 형성하는 과정과,Forming a first etching pattern on the semiconductor substrate by first etching the semiconductor substrate using the first photoresist pattern as a mask after forming a first photoresist pattern on the entire semiconductor substrate on which the device isolation layer is formed; 상기 제 1 식각 패턴 형성 후 상기 반도체 기판 상에 제 2 포토레지스트 패턴을 형성하고 상기 제 2 포토레지스트 패턴을 마스크로 상기 반도체 기판을 2차 식각하여 상기 반도체 기판 상에 제 2 식각 패턴을 형성하는 과정과,Forming a second photoresist pattern on the semiconductor substrate after the formation of the first etching pattern and second etching the semiconductor substrate using the second photoresist pattern as a mask to form a second etching pattern on the semiconductor substrate; and, 상기 제 2 식각 패턴 형성 후 상기 반도체 기판 상에 제 3 포토레지스트 패턴을 형성하고 상기 제 3 포토레지스트 패턴을 마스크로 하여 상기 반도체 기판의 채널 영역에 대해 이온 주입 공정을 실시하는 과정과,Forming a third photoresist pattern on the semiconductor substrate after forming the second etching pattern, and performing an ion implantation process on the channel region of the semiconductor substrate using the third photoresist pattern as a mask; 상기 채널 영역 상에 게이트 전극을 형성한 후 저농도 이온주입 공정을 실시하여 LDD 영역을 형성하는 과정과,Forming a LDD region by forming a gate electrode on the channel region and then performing a low concentration ion implantation process; 상기 게이트 전극 측벽에 측벽 스페이서를 형성한 후 상기 게이트 전극 및 측벽 스페이서 상부의 영역을 마스크로 고농도 이온주입 공정을 실시하여 소스/드레인 영역을 형성하는 과정After forming sidewall spacers on the sidewalls of the gate electrode, a process of forming a source / drain region by performing a high concentration ion implantation process using the regions of the gate electrode and the upper sidewall spacers as a mask. 을 포함하는 반도체 소자의 다층 배선 형성 방법.Multi-layered wiring forming method of a semiconductor device comprising a. 제 1 항에 있어서,The method of claim 1, 상기 제 1 식각 패턴은 상기 제 2 식각 패턴 내에 포함되는 것을 특징으로 하는 반도체 소자의 다층 배선 형성 방법.And the first etching pattern is included in the second etching pattern. 제 1 항에 있어서,The method of claim 1, 상기 1차 식각 및 2차 식각은 반응성 이온 식각(RIE : Reactive Ion Etching)인 것을 특징으로 하는 반도체 소자의 다층 배선 형성 방법.The first and second etching is a method of forming a multilayer wiring of a semiconductor device, characterized in that the reactive ion etching (RIE). 제 1 항에 있어서,The method of claim 1, 상기 소스/드레인 영역의 에너지 및 이온주입량을 고려하여 식각 깊이를 결정하는 것을 특징으로 하는 반도체 소자의 다층 배선 형성 방법.And determining an etching depth in consideration of energy and ion implantation amount of the source / drain region. 제 1 항에 있어서,The method of claim 1, 상기 소스/드레인 영역을 형성한 후 층간 절연막, 콘택, 금속라인을 순차적으로 형성하는 과정을 더 포함하는 반도체 소자의 다층 배선 형성 방법.And forming an interlayer insulating film, a contact, and a metal line sequentially after forming the source / drain regions.
KR1020070121144A 2007-11-26 2007-11-26 Method for manufacturing sidewall spacer of semiconductor device KR100924880B1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020070121144A KR100924880B1 (en) 2007-11-26 2007-11-26 Method for manufacturing sidewall spacer of semiconductor device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020070121144A KR100924880B1 (en) 2007-11-26 2007-11-26 Method for manufacturing sidewall spacer of semiconductor device

Publications (2)

Publication Number Publication Date
KR20090054339A true KR20090054339A (en) 2009-05-29
KR100924880B1 KR100924880B1 (en) 2009-11-02

Family

ID=40861516

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020070121144A KR100924880B1 (en) 2007-11-26 2007-11-26 Method for manufacturing sidewall spacer of semiconductor device

Country Status (1)

Country Link
KR (1) KR100924880B1 (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2019047370A1 (en) * 2017-09-07 2019-03-14 深圳市华星光电半导体显示技术有限公司 Liquid crystal display panel and manufacturing method therefor
US10444572B2 (en) 2017-09-07 2019-10-15 Shenzhen China Star Optoelectronics Semiconductor Display Technology Co., Ltd. Liquid crystal display panel having upper and lower spacers with tappered edges

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH06244415A (en) * 1993-02-17 1994-09-02 Hitachi Ltd Semiconductor device and manufacture thereof
JPH07106557A (en) * 1993-10-04 1995-04-21 Hitachi Ltd Semiconductor device and manufacture of the same
KR20000003936A (en) * 1998-06-30 2000-01-25 김영환 Transistor of semiconductor devices and method thereof
KR20030082744A (en) * 2002-04-18 2003-10-23 아남반도체 주식회사 Method for manufacturing semiconductor device

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2019047370A1 (en) * 2017-09-07 2019-03-14 深圳市华星光电半导体显示技术有限公司 Liquid crystal display panel and manufacturing method therefor
US10444572B2 (en) 2017-09-07 2019-10-15 Shenzhen China Star Optoelectronics Semiconductor Display Technology Co., Ltd. Liquid crystal display panel having upper and lower spacers with tappered edges

Also Published As

Publication number Publication date
KR100924880B1 (en) 2009-11-02

Similar Documents

Publication Publication Date Title
US11282750B2 (en) Contact structure and method of fabricating the same
CN102891148B (en) Structures and methods for single gate non-volatile memory device
US6613621B2 (en) Methods of forming self-aligned contact pads using a damascene gate process
US10446435B2 (en) Local trap-rich isolation
CN113658868B (en) Semiconductor element and manufacturing method thereof
US7382027B2 (en) MOSFET device with low gate contact resistance
US11417739B2 (en) Contacts for semiconductor devices and methods of forming the same
US7981762B2 (en) Method of forming pre-metal dielectric layer of semiconductor device
US20070077715A1 (en) Semiconductor device and method of fabricating the same
US7323377B1 (en) Increasing self-aligned contact areas in integrated circuits using a disposable spacer
CN110571188B (en) Contact plug, semiconductor device and manufacturing method thereof
KR100924880B1 (en) Method for manufacturing sidewall spacer of semiconductor device
US11195934B2 (en) Structure and method for bi-layer self-aligned contact
CN115241129A (en) Semiconductor device and method of manufacturing the same
US6664162B2 (en) Method of manufacturing capacitor
US7544556B1 (en) Process for forming CMOS devices using removable spacers
US7227228B2 (en) Silicon on insulator device and method of manufacturing the same
KR100945870B1 (en) Method for manufacturing sidewall spacer of semiconductor device
KR100744270B1 (en) Method for forming source/drain region of the semiconductor device
KR100564432B1 (en) Method for manufacturing Transistor
US20060040481A1 (en) Methods and structures for preventing gate salicidation and for forming source and drain salicidation and for forming semiconductor device
US20080150038A1 (en) Method of fabricating semiconductor device
JP2006024679A (en) Method for manufacturing semiconductor device
KR20030055690A (en) Method for manufacturing mosfet by using damascene process

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20120926

Year of fee payment: 4

LAPS Lapse due to unpaid annual fee