KR20090037486A - Rf 변조에 의해 탄도 전자빔의 균일성을 제어하는 방법 및 시스템 - Google Patents

Rf 변조에 의해 탄도 전자빔의 균일성을 제어하는 방법 및 시스템 Download PDF

Info

Publication number
KR20090037486A
KR20090037486A KR1020097003997A KR20097003997A KR20090037486A KR 20090037486 A KR20090037486 A KR 20090037486A KR 1020097003997 A KR1020097003997 A KR 1020097003997A KR 20097003997 A KR20097003997 A KR 20097003997A KR 20090037486 A KR20090037486 A KR 20090037486A
Authority
KR
South Korea
Prior art keywords
power
plasma processing
processing system
plasma
electron beam
Prior art date
Application number
KR1020097003997A
Other languages
English (en)
Other versions
KR101333924B1 (ko
Inventor
리 첸
핑 지앙
Original Assignee
도쿄엘렉트론가부시키가이샤
텍사스 인스트루먼츠 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤, 텍사스 인스트루먼츠 인코포레이티드 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20090037486A publication Critical patent/KR20090037486A/ko
Application granted granted Critical
Publication of KR101333924B1 publication Critical patent/KR101333924B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32321Discharge generated by other radiation
    • H01J37/3233Discharge generated by other radiation using charged particles
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D3/00Pretreatment of surfaces to which liquids or other fluent materials are to be applied; After-treatment of applied coatings, e.g. intermediate treating of an applied coating preparatory to subsequent applications of liquids or other fluent materials
    • B05D3/14Pretreatment of surfaces to which liquids or other fluent materials are to be applied; After-treatment of applied coatings, e.g. intermediate treating of an applied coating preparatory to subsequent applications of liquids or other fluent materials by electrical means
    • B05D3/141Plasma treatment
    • B05D3/145After-treatment
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B44DECORATIVE ARTS
    • B44CPRODUCING DECORATIVE EFFECTS; MOSAICS; TARSIA WORK; PAPERHANGING
    • B44C1/00Processes, not specifically provided for elsewhere, for producing decorative surface effects
    • B44C1/22Removing surface-material, e.g. by engraving, by etching
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F1/00Etching metallic material by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

소스 고주파(RF) 전력을 변조하여 전자빔 플럭스의 방사 방향 균일성을 조정하는 방식으로, 탄도 전자빔을 이용하여 기판을 처리하기 위한 방법과 시스템을 개시한다. 예컨대, 기판을 지지할 수 있는 하위 전극에 제1 RF 전력을 공급하고, 그 하위 전극에 대향하는 상위 전극에 제2 RF 전력을 공급하며 상위 전극에 높은 부전압 직류(DC) 전력을 공급하여 탄도 전자빔을 형성하는 플라즈마 처리 시스템을 개시한다. 제2 RF 전력의 진폭을 변조하여 탄도 전자빔 플럭스의 균일성에 변화를 준다.

Description

RF 변조에 의해 탄도 전자빔의 균일성을 제어하는 방법 및 시스템{METHOD AND SYSTEM FOR CONTROLLING THE UNIFORMITY OF A BALLISTIC ELECTRON BEAM BY RF MODULATION}
본 발명은 기판을 플라즈마 처리하는 방법 및 장치에 관한 것이며, 더욱 구체적으로는 공정 균일성을 조정하기 위하여 플라즈마 처리 시에 전력을 변조하는 방법 및 시스템에 관한 것이다.
반도체 처리 시에, (건식)플라즈마 에칭 공정은 실리콘 기판 상에 패터닝된 비아(via) 또는 콘택트(contact) 내에서 또는 미세 라인을 따라 재료를 제거하거나 에칭하는 데에 이용될 수 있다. 일반적으로 플라즈마 에칭 공정은 처리 챔버 내에서 반도체 기판과 그 위에 놓인 패터닝된 보호층, 예컨대 포토레지스트층과의 위치 결정을 수반한다. 기판을 챔버 내에 위치시키면, 진공 펌프를 조절하여 주위 공정 압력을 달성하면서, 이온화 가능한 해리성 가스 혼합물을 챔버 내에 미리 정해진 유속으로 도입한다.
그 후, 존재하는 가스종의 일부가, 고주파(RF) 전력의 유도적 또는 용량적 공급을 통해 또는 예컨대 전자 사이클로트론 공명(ECR : Electron Cyclotron Resonance)를 이용한 마이크로파 전력을 통해 가열된 전자에 의해 이온화될 때 플 라즈마가 형성된다. 또한, 가열된 전자는 주위 가스종의 일부 종을 해리하고 노출된 표면 에칭 화학작용에 적합한 반응종을 생성하는 역할을 한다. 플라즈마가 형성되면, 그 플라즈마에 의해 기판의 선택된 표면이 에칭된다. 그 공정은 기판의 선택된 영역에서 다양한 특징부(예컨대, 트렌치, 비아, 콘택트 등)를 에칭하기 위해, 바람직한 반응물과 이온 집단의 적절한 농도를 비롯한, 적절한 상태를 달성하도록 조정된다. 에칭이 필요한 그러한 기판 재료로는, 실리콘 이산화물(SiO2), 로우 k(low-k)와 울트라 로우 k 유전체 재료, 폴리실리콘, 실리콘 탄화물, 및 실리콘 질화물이 있다.
본 발명은 기판을 에칭하는 방법 및 시스템에 관한 것이다.
일 실시예에 따르면, 탄도 전자빔에 의해 강화된 플라즈마를 이용하여 기판을 에칭하는 방법 및 시스템이 기술된다.
다른 실시예에 따르면, 탄도 전자빔 강화 플라즈마 에칭 공정에서 전자빔 플럭스의 공간 분포를 조정하기 위한 방법 및 시스템이 기술된다.
다른 실시예에 따르면, 컴퓨터 시스템으로 하여금, 기판 상의 박막을 에칭하기 위하여 탄도 전자빔을 갖는 플라즈마 처리 시스템을 제어하게 하는 프로그램 명령어를 갖는 컴퓨터 판독 가능한 매체 및 처리 방법이 기술되며, 상기 프로그램 명령어는, 플라즈마 처리 시스템 내의 기판 홀더 상에 기판을 배치하는 단계와, 탄도 전자빔을 생성하기 위하여 플라즈마 처리 시스템 내의 전극에 직류(DC) 전력을 공급하는 단계와, 플라즈마 처리 시스템에서 플라즈마를 형성하기 위하여 전극이나 기판 홀더에 또는 이들 양쪽에 교류(AC) 전력을 공급하는 단계와, 탄도 전자빔을 위해 전자빔 플럭스의 공간 분포를 조정하기 위하여 상기 AC 전력의 진폭을 변조하는 단계와, 상기 플라즈마와 탄도 전자빔으로 박막을 에칭하는 단계를 포함한다.
또 다른 실시예에 따르면, 기판을 에칭하도록 구성된 플라즈마 처리 시스템이 기술되며, 이 플라즈마 처리 시스템은, 플라즈마의 형성을 용이하게 하도록 구성된 플라즈마 처리 챔버와, 상기 플라즈마 처리 챔버에 연결되며 상기 기판을 지지하도록 구성된 기판 홀더와, 상기 플라즈마 처리 챔버에 연결되며 상기 플라즈마와 접촉하도록 구성된 전극과, 상기 플라즈마 처리 챔버에 연결되며, 플라즈마를 형성하기 위하여 상기 기판 홀더나 상기 전극에 또는 이들 양쪽에 적어도 하나의 AC 신호를 공급하도록 구성된 AC 전력 시스템과, 상기 플라즈마 처리 챔버에 연결되며, 상기 플라즈마를 통해 탄도 전자빔을 형성하기 위하여 상기 전극에 DC 전압을 공급하도록 구성된 DC 전력 시스템과, 상기 AC 전력 시스템에 연결되며, 상기 탄도 전자빔을 위해 전자빔 플럭스의 공간 분포를 조정하기 위하여 적어도 하나의 AC 신호의 하나 이상의 진폭을 변조하도록 구성된 AC 전력 변조 시스템을 포함한다.
또 다른 실시예에 따르면, 기판을 에칭하도록 구성된 플라즈마 처리 시스템이 기술되며, 이 플라즈마 처리 시스템은, 플라즈마의 형성을 용이하게 하도록 구성된 플라즈마 처리 챔버와, 상기 플라즈마 처리 챔버에 연결되며 상기 기판을 지지하도록 구성된 기판 홀더와, 상기 플라즈마를 형성하기 위하여 상기 플라즈마 처리 챔버에 AC 전력을 공급하는 수단과, 상기 플라즈마를 통해 탄도 전자빔을 형성하기 위하여 상기 플라즈마 처리 시스템에 DC 전력을 공급하는 수단과, 상기 탄도 전자빔을 위해 전자빔 플럭스의 공간 분포를 조정하기 위하여 상기 AC 전력의 진폭을 변조하는 수단을 포함한다.
도 1은 본 발명의 일 실시예에 따른 플라즈마 처리 시스템의 개략 대표도이다.
도 2는 용량 결합 플라즈마 처리 시스템에 대한 전력 밀도의 예시적인 방사형 방향 분포를 나타내는 도면이다.
도 3은 본 발명의 다른 실시예에 따른 플라즈마 처리 시스템의 개략도이다.
도 4는 본 발명의 다른 실시예에 따른 플라즈마 처리 시스템의 개략도이다.
도 5는 본 발명의 다른 실시예에 따른 플라즈마 처리 시스템의 개략도이다.
도 6은 본 발명의 다른 실시예에 따른 플라즈마 처리 시스템의 개략도이다.
도 7은 본 발명의 다른 실시예에 따른 플라즈마 처리 시스템의 개략도이다.
도 8은 본 발명의 다른 실시예에 따른 플라즈마 처리 시스템의 개략도이다.
도 9는 본 발명의 다른 실시예에 따른 플라즈마를 이용하여 기판을 처리하는 방법을 나타내는 도면이다.
설명을 위한 것이며 제한적이지 않은 이하의 기재에서는 플라즈마 처리 시스템의 특정 기하학 구조 및 다양한 공정의 기재와 같은 특정 상세내용에 대해 설명 할 것이다. 그러나, 본 발명은 이들 특정 상세내용과 상이한 다른 실시예들로도 실시될 수 있음을 알아야 한다.
재료 처리 방법론에 있어서, 패턴 에칭은 에칭 시에 후속 패턴을 기판 상에서 아래에 있는 박막에 전사하기 위한 마스크를 제공하기 위해, 그 후속 패터닝되는 기판의 상위 표면에 포토레지스트 등의 얇은 층의 감광 재료를 도포하는 것을 수반한다. 일반적으로 감광 재료의 패터닝은, 예컨대 마이크로 리소그래피 시스템을 이용하여 감광 재료의 레티클(및 연관 옵틱스)를 통해 방사원에의 노출에 이어, 현상 용매를 이용하여 감광성 재료의 조사 영역의 제거(포지티브 포토레지스트의 경우) 또는 비조사 영역의 제거(네거티브 포토레지스트의 경우)를 수반한다. 또한, 이 마스크층은 복수의 부층(sublayer)을 포함할 수 있다.
패턴 에칭 시에는 종종 건식 플라즈마 에칭 공정을 이용하며, 이 공정에서는 전자를 가열하고 공정 가스의 원자 및/또는 분자 조성물의 후속 이온화 및 해리를 일으키기 위해 고주파(RF) 전력 등의 전자기(EM) 에너지를 공정 가스에 공급함으로써 공정 가스로부터 플라즈마를 형성한다. 또한, RF 주기의 일부, 즉 공급된 RF 전력의 플러스 반주기 동안 기판 표면에 부딪히는 탄도 전자빔을 생성하기 위하여 높은 부전압 직류(DC) 전력을 플라즈마 처리 시스템에 공급할 수 있다. 탄도 전자빔은 아래에 있는 (에칭되는)박막과 마스크층 간의 에칭 선택도를 향상시킴으로써 건식 플라즈마 에칭 공정의 특성을 강화하여, 전자 쉐이딩 손상(shading damage) 등의 대전에 의한 손상(charging damage)을 줄일 수 있는 것으로 관찰되고 있다. 탄도 전자빔 생성에 관한 추가 상세내용은 발명의 명칭이 "Plasma processing apparatus and method"인 계류중인 미국 특허 출원 일련 번호가 11/156,559이고 미국 특허 출원 공개 번호가 2006/0037707A1인 특허문헌에 개시되어 있으며, 그 전체 내용은 전체가 참조로 본 명세서에 원용된다.
이제 도 1을 참조하면, 탄도 전자빔을 포함하는 플라즈마 처리 시스템의 개략도가 도시된다. 플라즈마 처리 시스템은 공정 챔버 내에서 서로 대향 배치된 제1 전극(120)과 제2 전극(172)을 포함하며, 제1 전극(120)은 기판(125)을 지지하도록 구성되어 있다. 제1 전극(120)은 제1 RF 주파수에서 RF 전력을 제공하도록 구성된 제1 RF 생성기(140)에 연결되고, 제2 전극(172)은 제2 RF 주파수에서 RF 전력을 제공하도록 구성된 제2 RF 생성기(170)에 연결된다. 예컨대, 제2 RF 주파수는 제1 RF 주파수보다 상대적으로 높은 RF 주파수일 수 있다. 제1 및 제2 전극에의 RF 전력의 공급은 플라즈마(130)의 형성을 용이하게 한다. 또한, 플라즈마 처리 시스템은 DC 전압을 제2 전극(172)에 제공하도록 구성된 DC 전원(150)을 포함한다. 여기서, 제2 전극(172)에의 DC 부전압의 공급은 탄도 전자빔(135)의 형성을 용이하게 한다. 전자빔 전력은 제2 전극(172)에서의 DC 부전압의 중첩으로부터 도출된다. 미국 특허 출원 공개 번호 2006/0037701A1에 개시되어 있는 바와 같이, 플라즈마 처리 시스템에의 DC 부전력의 인가는 기판(125)의 표면에 부딪히는 탄도(또는 비충돌) 전자빔의 형성에 영향을 미친다.
일반적으로, 탄도 전자빔은 후술하는 바와 같이 어떤 종류의 플라즈마 처리 시스템으로도 구현될 수 있다. 이 예에서는, DC 부전압이 RF 전력에 의한 용량 결합 플라즈마(CCP : Capacitively Coupled Plasma) 처리 시스템에서 중첩된다. 그러 므로, 본 발명은 이 예에 제한되지 않는다. 그것은 예시를 위해 이용될 뿐이다.
탄도 전자빔이 에칭 특성을 강화하는 데 중요한 반면, 전자빔 플럭스 Ie(r)의 균일성도 역시 중요하다. 전자빔은 비충돌적이지만, 알려진 물리 현상을 통해 플라즈마에 에너지를 공급하여 벌크 플라즈마 밀도를 상승시킬 수 있다. 전자빔으로부터 벌크 플라즈마에의 에너지 공급 및 그 후속 이온화에 대한 하나의 가능한 이론은 탈출 전자빔 에너지를 이온파에 공급하는 더블 스트림 플라즈마 불안정일 수 있다. 여기서, 특정 에너지 그룹의 벌크 볼츠만 전자는 후속하여 중성종을 이온화하는 더 높은 에너지에까지, (란다우 감쇠를 통해 에너지를 얻는)이온파에 의해 정전기적으로 가속된다. 탄도 전자빔을 위한 전자 소스는 제2 전극(172)의 이온 충격(ion bombardment)으로부터 생성된 이차 전자이다. 이에, 기판(125)에 대한 탄도 전자빔 플럭스의 균일성은 전극(172)에 대한 플라즈마 및 이온 플럭스의 균일성과 기타 파라미터에 좌우된다.
비충돌 전자빔 플럭스 Ie(r)은 다음과 같이 표현될 수 있다.
Ie(r)∼νB1ne(r)[Vp(r)-V(r)]3/2 (1)
여기서, νB1은 전극(172)에서의 이온 봄 속도(Bohm velocity)를 나타내고, Vp(r)는 플라즈마 전위의 방사 방향 변화를 나타내며, V(r)은 전극 전위[즉, 제2 전극(172)]의 방사 방향 변화를 나타내고, ne(r)는 제2 전극(172)의 시스단(sheath edge)에서의 전자 밀도(또는 벌크 플라즈마 밀도)의 방사 방향 변화를 나타낸다. 통상, 제2 전극(172)의 시스단에서 방사 방향으로 일정한 Vp(r)를 상정하는 것이 매우 합리적이다. 이에, 전자빔 플럭스 Ie(r)은 [제2 전극(172)에서 확률적 가열에 의해 운전되는](시스단) 전자 밀도 ne(r) 및 전극 전위 V(r)에 주로 영향을 받는다.
예로서, 고주파 용량성 방전에서 전극 전위 V(r), RF 전류 IRF(r) 및 그 결과에 따른 전자 밀도 ne(r)의 거동은 "Standing wave and skin effects in large-area, high frequency capacitive discharges"(M.A. Lieberman, J.P. Booth, P. Chabert, J.M. Rax, & M.M. Turner, Plasma Sources Sci. Technol., 11, 2002, 283-293)에 기재되어 있다. 이 거동은 도 2에 요약되어 있다. 고 VHF(Very High Frequency) 전력(즉, 고밀도)에서는 유도성 전력(Er 2)이 주도하고, 저 VHF 전력(즉, 저밀도)에서는 용량성 전력(Ez 2)이 주도한다.
그러므로, 일 실시예에 따르면, VHF(RF 전력) 진폭 변조는 Er 2-주도와 Ez 2-주도를 교대로 하는데 이용된다. 그렇게 함에 있어서, (공정 레시피에 따른)플라즈마(130)에의 실질적으로 유사한 총 전력 배치를 유지하면서, 미리 정해진 ne(r)와 V(r)의 분포를 달성할 수 있다. RF 전력 변조는 플라즈마 밀도 ne(r)의 공간적 균일성 및 그에 따른 전자빔 플럭스 Ie(r)를 조정하는 수단을 제공할 수 있다.
이제 도 3을 참조하면, 탄도 전자빔 플럭스의 공간적 균일성을 조정하는 수 단을 제공하도록 구성된 플라즈마 처리 시스템이 일 실시예에 따라 도시된다. 플라즈마 처리 시스템(1)은 플라즈마의 형성을 용이하게 하도록 구성된 플라즈마 처리 챔버(8)와, 플라즈마 처리 챔버(8)에 연결되며 기판(3)을 지지하도록 구성된 기판 홀더(2)와, 플라즈마 처리 챔버(8)에 연결되며 플라즈마에 접촉하도록 구성된 전극을 포함한다. 또한, 플라즈마 처리 시스템(1)은, 플라즈마 처리 챔버(8)에 연결되며, 플라즈마를 형성하기 위하여 기판 홀더(2)나 전극에 또는 이들 양쪽에 적어도 하나의 AC 신호를 공급하도록 구성된 AC 전력 시스템(4)과, 플라즈마 처리 챔버(8)에 연결되며, 플라즈마를 통해 탄도 전자빔을 형성하기 위하여 전극에 DC 전압을 공급하도록 구성된 DC 전력 시스템(5)을 포함한다. 또한, 플라즈마 처리 시스템(1)은, AC 전력 시스템(4)에 연결되며, 탄도 전자빔을 위해 전자빔 플럭스의 공간 분포를 조정하기 위하여 적어도 하나의 AC 신호의 하나 이상의 진폭을 변조하도록 구성된 AC 전력 변조 시스템(6)을 포함한다. 선택적으로, 플라즈마 처리 시스템(1)은, 플라즈마 처리 챔버(8), 기판 홀더(2), AC 전력 시스템(4), DC 전력 시스템(5) 및 AC 전력 변조 시스템(6)에 연결되며, 플라즈마 처리 챔버(8) 내에서의 공정을 실행하여 기판(3)을 처리하기 위하여 이들 구성요소의 각각과 데이터를 교환하도록 구성된 컨트롤러(7)를 더 포함한다.
도 4는 다른 실시예에 따른 플라즈마 처리 시스템을 도시한다. 플라즈마 처리 시스템(1a)은 플라즈마 처리 챔버(10)와, 피처리 기판(25)이 고정되는 기판 홀더(20)와, 진공 펌핑 시스템(30)을 포함한다. 기판(25)은 반도체 기판일 수도, 웨이퍼나 액정 디스플레이일 수도 있다. 플라즈마 처리 챔버(10)는 기판(25) 표면에 인접한 처리 영역(15)에서 플라즈마의 생성을 용이하게 하도록 구성될 수 있다. 이온화 가능한 가스 또는 가스의 혼합물이 가스 주입 시스템(도시 생략)을 통해 도입되고, 공정 압력이 조정된다. 예컨대, 제어 메커니즘(도시 생략)을 이용하여 진공 펌핑 시스템(30)을 조절할 수 있다. 플라즈마는 미리 정해진 재료 공정에 따른 재료를 생성하는 데에 및/또는 기판(25)의 노출 표면으로부터의 재료 제거를 돕는 데에 이용될 수 있다. 플라즈마 처리 시스템(1a)은 200 mm 기판, 300 mm 기판 또는 그보다 큰 기판 등의 모든 사이즈의 기판을 처리하도록 구성될 수 있다.
기판(25)은 정전기 클램핑 시스템을 통해 기판 홀더(20)에 고정될 수 있다. 더욱이, 기판 홀더(20)는, 기판 홀더(20)로부터 열을 받는 재순환 유속을 포함하며 냉각시 열을 열교환 시스템(도시 생략)에 공급하거나 가열시 열교환 시스템으로부터의 열을 유속에 전달하는 냉각 시스템 또는 가열 시스템을 더 포함할 수 있다. 또한, 기판(25)과 기판 홀더(20) 간에 가스갭 열전도율을 향상시키기 위해 후면 가스 시스템을 통해 기판(25)의 후면에 가스를 전달할 수 있다. 그러한 시스템은 온도 상승 또는 온도 하락 시 기판의 온도 제어가 필요할 때 이용될 수 있다. 예컨대, 후면 가스 시스템은 2개 영역 가스 분배 시스템을 포함할 수 있으며, 이 가스 분배 시스템에서는 후면 가스(예컨대, 헬륨) 압력이 기판(25) 중심과 기판 단부 사이에서 독립적으로 변할 수 있다. 다른 실시예들에서는, 내열 소자 또는 열전 히터/냉각기 등의 가열/냉각 소자들이 기판 홀더(20)와, 플라즈마 처리 챔버(10)의 챔버벽 그리고 플라즈마 처리 시스템(1a)의 그 밖의 다른 구성요소에 포함될 수 있다.
도 4에 나타내는 실시예에서는 기판 홀더(20)가 전극을 포함할 수 있으며, 이 전극을 통해 RF 전력이 공정 공간(15) 내의 처리 플라즈마에 공급된다. 예컨대, 기판 홀더(20)는 RF 생성기(40)로부터 선택적 임피던스 매칭 네트워크(42)를 통해 기판 홀더(20)에 RF 전력이 전송되어 RF 전압으로 전기적으로 바이어스될 수 있다. RF 바이어스는 플라즈마를 형성하고 유지하기 위해 전자를 가열하는 데에 소용될 수도 있고, 또는 시스 내에서 이온 에너지 분배 기능에 영향을 미칠 수도 있거나, 이들 양쪽 작용을 모두 할 수도 있다. 이 구성에 있어서, 시스템은 챔버 및 상위 가스 주입 전극이 접지면으로서 기능하는 반응성 이온 에칭(RIE : Reactive Ion Etch) 리액터로서 동작할 수 있다. RF 바이어스를 위한 통상의 주파수는 0.1 ㎒ 내지 100 ㎒에 이를 수 있다. 플라즈마 처리를 위한 RF 시스템은 당업계에 잘 알려져 있다.
또한, 기판 홀더(20)에 공급된 RF 전력의 진폭은 기판(25)에 대한 전자빔 플럭스의 공간 분포에 변화를 주기 위해 변조된다. RF 생성기(40)는 전술한 바와 같이 RF 주파수에서 RF 신호(또는 오실레이터 신호)를 생성하도록 구성된 오실레이터와, RF 신호를 증폭하고 파형 신호 생성기로부터의 진폭 변조 신호에 따라 그 RF 신호의 진폭을 변조하도록 구성된 증폭기를 포함할 수 있다. 예컨대, 그 증폭기는 오실레이터로부터의 오실레이터 신호 및 파형 신호 생성기로부터의 진폭 변조 신호를 수신하기에 적합한 선형 RF 증폭기를 포함할 수 있다. 파형 신호 생성기로부터 출력된 진폭 변조 신호의 일례는 펄스 파형이다. 파형 신호 생성기로부터 출력된 진폭 변조 신호의 다른 예는 정현 파형이다.
증폭기 및 내부 신호 생성기를 포함하는 예시적인 시스템은 Dressler(미국 캘리포니아주 92670 플레센치아 노스 로즈 드라이브 2501 소재)로부터 시판되는 선형 RF 증폭기(모델 라인 LPPA)이다. 상기 증폭기는 10 ㎒ 내지 500 ㎒에 이르는 주파수에서 400 내지 8000 와트(W)에 이르는 RF 전력으로 펄스 모드와 연속 모드에서 동작할 수 있다. 또한, 상기 증폭기는 20 ms만큼 짧은 펄스폭을 달성할 수 있다.
또, 임피던스 매칭 네트워크(42)는 반사 전력을 줄임으로써 플라즈마 처리 챔버(10)에서 플라즈마에의 RF 전력 공급을 개선하는 역할을 한다. 매칭 네트워크 토폴로지(예컨대, L형, π형, T형 등) 및 자동 제어 방법은 당업계에 잘 알려져 있다.
계속 도 4를 참조하면, 플라즈마 처리 시스템(1a)은 기판(25)에 대향하는 상위 전극(52)에 연결된 직류(DC) 전원(50)을 더 포함한다. 상위 전극(52)은 전극판을 포함할 수 있다. 전극판은 실리콘 함유 전극판을 포함할 수 있다. 또한, 전극판은 도핑된 실리콘 전극판을 포함할 수 있다. DC 전원은 가변 DC 전원을 포함할 수 있다. 또, DC 전원은 바이폴라 DC 전원을 포함할 수 있다. DC 전원(50)은 그 DC 전원(50)의 극성, 전류, 전압 또는 온/오프 상태를 모니터링하는 기능, 조정하는 기능 또는 제어하는 기능 중 적어도 하나를 수행하도록 구성된 시스템을 더 포함할 수 있다. 플라즈마가 형성되면, DC 전원(50)은 탄도 전자빔의 형성을 용이하게 한다. 전기 필터를 이용하여 DC 전원(50)으로부터 RF 전력을 공급하지 않게 할 수 있다.
예컨대, DC 전원(50)에 의해 전극(52)에 인가된 DC 전압은 약 -2000 볼트(V) 내지 약 1000 V에 이를 수 있다. 바람직하게는 DC 전압의 절대값은 약 100 V 이상의 값을 갖고, 더욱 바람직하게는 DC 전압의 절대값은 약 500 V 이상의 값을 갖는 것이 좋다. 또한, DC 전압은 마이너스 극성을 갖는 것이 바람직하다. 더욱이, DC 전압은 상위 전극(52)의 표면에서 생성된 셀프 바이어스 전압보다 높은 절대값을 갖는 부전압인 것이 좋다. 기판 홀더(20)를 향하는 상위 전극(52)의 표면은 실리콘 함유 재료로 이루어질 수 있다.
진공 펌핑 시스템(30)은 펌핑 속도가 초당 최대 5000 리터(그 이상) 가능한 터보 분자 진공 펌프(TMP : Turbo Molecular vacuum Pump)와, 챔버 압력을 조절하는 게이트 밸브를 포함할 수 있다. 건식 플라즈마 에칭에 이용되는 통상의 플라즈마 처리 장치에서는, 초당 1000 내지 3000 리터 TMP를 채용할 수 있다. TMP는 통상 50 mTorr 미만의 저압력 처리에 이용될 수 있다. 고압력 처리(즉, 1000 mTorr 초과)의 경우, 기계식 부스터 펌프 및 드라이 러핑 펌프(roughing pump)를 이용할 수 있다. 또한, 챔버 압력을 모니터링하는 장치(도시 생략)가 플라즈마 처리 챔버(10)에 연결될 수 있다. 압력 측정 장치는, 예컨대 MKS Instruments, Inc.(미국 매사추세츠주 앤도버 소재)으로부터 시판되는 Type 628B Baratron 절대 정전용량 마노미터(manometer)일 수 있다.
계속 도 4를 참조하면, 플라즈마 처리 시스템(1a)은, 마이크로프로세서, 메모리, 및 플라즈마 처리 시스템(1a)에 입력을 전달하고 입력을 활성시키며 플라즈마 처리 시스템(1a)으로부터의 출력을 모니터하기에 충분한 제어 전압을 생성할 수 있는 디지털 I/O 포트를 포함하는 컨트롤러(90)를 더 포함한다. 또한, 컨트롤 러(90)는 RF 생성기(40), 임피던스 매칭 네트워크(42), DC 전원(50), 가스 주입 시스템(도시 생략), 진공 펌핑 시스템(30), 그리고 후면 가스 전달 시스템(도시 생략), 기판/기판 홀더 온도 측정 시스템(도시 생략), 및/또는 정전기 클램핑 시스템(도시 생략)에 연결될 수 있고 이들과 데이터를 교환할 수 있다. 메모리에 저장된 프로그램은 박막을 에칭하는 방법을 수행하기 위하여 공정 레시피에 따라 플라즈마 처리 시스템(1a)의 전술한 구성요소에 대해 데이터를 활성시키는데 이용될 수 있다. 컨트롤러(90)의 일례는 미국 텍사스주 오스틴에 소재하는 DELL Corporation으로부터 시판되는 DELL PRECISION WORKSTATION 610TM이다.
컨트롤러(90)는 플라즈마 처리 시스템(1a)에 대해 근거리에 위치할 수도 있고 또는 인터넷이나 인트라넷을 통해 플라즈마 처리 시스템(1a)에 대해 원거리에 위치할 수도 있다. 따라서, 컨트롤러(90)는 직접 접속, 인트라넷 또는 인터넷 중 적어도 하나를 이용하여 플라즈마 처리 시스템(1a)과 데이터를 교환할 수 있다. 컨트롤러(90)는 주문자 위치(즉, 장치 제조업자 등)에서 인트라넷에 연결될 수도, 또는 공급자 위치(즉, 장비 생산자)에서 인트라넷에 연결될 수도 있다. 더욱이, 다른 컴퓨터(즉, 컨트롤러, 서버 등)가 컨트롤러(90)에 접속하여 직접 접속, 인트라넷 또는 인터넷 중 어느 하나를 통해 데이터를 교환할 수도 있다.
도 5에 도시하는 실시예에서, 플라즈마 처리 시스템(1b)은 도 3 또는 도 4의 실시예와 유사할 수 있으며, 플라즈마 밀도를 잠재적으로 상승시키고 및/또는 플라즈마 처리 균일성을 향상시키기 위하여, 도 3을 참조하여 설명한 구성요소들 외에 도, 고정형 또는 기계식이나 전기식 회전형 자계 시스템(60)을 더 포함한다. 또한, 컨트롤러(90)는 회전 속도 및 자계 세기를 조절하기 위하여 자계 시스템(60)에 연결될 수 있다. 회전형 자계 시스템의 설계 및 구현은 당업계에 잘 알려져 있다.
도 6에 도시하는 실시예에서, 플라즈마 처리 시스템(1c)은 도 3 또는 도 4의 실시예와 유사할 수 있으며, 선택적 임피던스 매칭 네트워크(72)를 통해 RF 전력을 상위 전극(52)에 공급하도록 구성된 RF 생성기(70)를 더 포함할 수 있다. RF 전력를 상위 전극(52)에 인가하기 위한 통상의 주파수는 약 0.1 ㎒ 내지 약 200 ㎒에 이를 수 있다. 또한, 전력을 기판 홀더(20)(또는 하위 전극)에 인가하기 위한 통상의 주파수는 약 0.1 ㎒ 내지 약 100 ㎒에 이를 수 있다. 예컨대, 상위 전극(52)에 공급된 RF 주파수는 기판 홀더(20)에 공급된 RF 주파수보다 상대적으로 높을 수 있다. 더욱이, RF 생성기(70)로부터 상위 전극(52)에의 RF 전력이 진폭 변조될 수도 있고, 또는 RF 생성기(40)로부터 기판 홀더(20)에의 RF 전력이 진폭 변조될 수도 있거나 이들 양쪽 RF 전력이 진폭 변조될 수도 있다. 바람직하게는 더 높은 RF 주파수에서 RF 전력이 진폭되는 것이 좋다. 더욱이, 컨트롤러(90)는 상위 전극(70)에의 RF 전력 인가를 제어하기 위하여 RF 생성기(70)와 임피던스 매칭 네트워크(72)에 연결된다. 상위 전극의 설계 및 구현은 당업계에 잘 알려져 있다.
계속 도 6을 참조하면, DC 전원(50)은 상위 전극(52)에 직접 연결될 수도 있고, 임피던스 매칭 네트워크(72)의 출력단으로부터 상위 전극(52)까지 연장되는 RF 전송 라인에 연결될 수도 있다. 전기 필터를 이용하여 DC 전원(50)으로부터 RF 전력을 공급하지 않게 할 수도 있다.
도 7에 도시한 실시예에서, 플라즈마 처리 시스템(1d)은 예컨대 도 3, 도 4 및 도 5의 실시예와 유사할 수 있으며, RF 생성기(82)로부터 선택적 임피던스 매칭 네트워크(84)를 통해 RF 전력이 공급되는 유도 코일(80)을 더 포함할 수 있다. RF 전력은 유도 코일(80)로부터 절연체 윈도우(도시 생략)를 통해 플라즈마 처리 영역(15)에 유도적으로 공급된다. RF 전력을 유도 코일(80)에 인가하기 위한 통상의 주파수는 약 10 ㎒ 내지 약 100 ㎒에 이를 수 있다. 마찬가지로, 전력을 척(chuck) 전극에 인가하기 위한 통상의 주파수는 약 0.1 ㎒ 내지 약 100 ㎒에 이를 수 있다. 또한, 슬롯형 패러데이 실드(도시 생략)을 채용하여 유도 코일(80)과 플라즈마 간의 용량 결합을 줄이게 할 수 있다. 또한, 컨트롤러(90)는 유도 코일(80)에의 전력 인가를 제어하기 위하여 RF 생성기(82)와 임피던스 매칭 네트워크(84)에 연결된다. 다른 실시예에서, 유도 코일(80)은 트랜스포머 결합 플라즈마(TCP : Transformer Coupled Plasma) 리액터에서처럼 위에서부터 플라즈마 처리 영역(15)과 통하는 "스파이럴" 코일 또는 "팬케이크(pancake)" 코일일 수 있다. 유도 결합 플라즈마(ICP : Inductively Coupled Plasma) 소스 또는 TCP 소스의 설계 및 구현은 당업계에 잘 알려져 있다.
한편, 전자 사이클로트론 공명(ECR: Electron Cyclotron Resonance)을 이용하여 플라즈마를 형성할 수도 있다. 또 다른 실시예에서는 플라즈마가 헬리콘파의 론칭(launching)으로부터 형성된다. 또 다른 실시예에서는 플라즈마가 전달 표면파로부터 형성된다. 전술한 각각의 플라즈마 소스는 당업계에 잘 알려져 있다.
도 8에 도시한 실시예에서, 플라즈마 처리 시스템(1e)은 예컨대 도 3, 도 4, 도 5의 실시예와 유사할 수 있으며, 다른 선택적 임피던스 매칭 네트워크(46)를 통해 기판 홀더(20)에 RF 전력을 공급하도록 구성된 제2 RF 생성기(44)를 더 포함할 수 있다. 기판 홀더(20)에 RF 전력을 인가하기 위한 통상의 주파수는 제1 RF 생성기(40)이나 제2 RF 생성기(44)에 대해 또는 양쪽에 대해 약 0.1 ㎒ 내지 약 200 ㎒에 이를 수 있다. 제2 RF 생성기(44)의 RF 주파수는 제1 RF 생성기(40)의 RF 주파수보다 상대적으로 높을 수 있다. 또한, 제1 RF 생성기(40)로부터 기판 홀더(20)에의 RF 전력이 진폭 변조될 수도 있고 또는 제2 RF 생성기(44)로부터 기판 홀더(20)에의 RF 전력이 진폭 변조될 수도 있거나, 이들 양쪽 RF 전력이 진폭 변조될 수도 있다. 바람직하게는 더 높은 RF 주파수에서 RF 전력이 진폭 변조되는 것이 좋다. 더욱이, 컨트롤러(90)는 기판 홀더(20)에의 RF 전력 인가를 제어하기 위하여 제2 RF 생성기(44)와 임피던스 매칭 네트워크(46)에 연결된다. 기판 홀더를 위한 RF 시스템의 설계 및 구현은 당업계에 잘 알려져 있다.
다음의 설명에서는, 탄도 전자빔으로 플라즈마 처리 시스템을 이용하여 박막을 에칭하는 방법을 기술한다. 예컨대, 플라즈마 처리 시스템은 도 1 내지 도 8에서 설명한 바와 같은 다양한 요소들과, 이들의 조합을 포함할 수 있다.
도 9는 본 발명의 실시예에 따른 탄도 전자빔을 갖는 플라즈마 처리 시스템을 이용하여 박막을 에칭하는 방법의 흐름도를 나타낸다. 순서(500)는 플라즈마와 탄도 전자빔 모두를 형성하도록 구성된 플라즈마 처리 시스템에 기판을 배치하는 단계 510에서 시작된다.
단계 520에서, DC 전력이 플라즈마 처리 시스템에 공급된다. 예컨대, DC 전 원에 의해 플라즈마 처리 시스템에 인가된 DC 전압은 약 -2000 볼트(V) 내지 약 1000V에 이를 수 있다. 바람직하게는 DC 전압의 절대값은 약 100 V 이상의 값을 갖고, 더욱 바람직하게는 DC 전압의 절대값은 약 500 V 이상의 값을 갖는 것이 좋다. 또한, DC 전압은 마이너스 극성을 갖는 것이 바람직하다. 또한, DC 전압은 플라즈마 처리 시스템의 전극면에서 생성된 셀프 바이어스 전압보다 높은 절대값을 갖는 부전압인 것이 바람직하다.
단계 530에서, RF 전력이 플라즈마 처리 시스템에 공급되고, 단계 540에서 플라즈마가 형성된다. 단계 550에서, 생성된 탄도 전자빔 플럭스의 공간 분포를 조정하기 위하여 RF 전력의 진폭이 변조된다. 예컨대, RF 전력은 약 100 W과 약 10000 W 사이에서 변조될 수 있으며, 바람직하게는 약 400 W과 약 5000 W 사이에서 변조될 수 있다. 또한, 변조 주파수는 약 0.01 ㎐과 약 1 ㎑ 사이에서 변할 수 있다. 바람직한 전자빔 플럭스의 분포 또는 공정 결과를 달성하기 위하여 진폭 변조, 변조 주파수 또는 진폭 변조의 듀티 사이클 또는 이들 중 2가지 이상의 조합을 변경할 수 있다.
이상에서 본 발명의 소정의 실시예들만 상세하게 설명하였지만, 당업자라면 본 발명의 신규한 지침 및 장점으로부터 크게 벗어나지 않고 그 실시예들에 대해 다양한 변형이 있을 수 있음을 용이하게 이해할 것이다. 따라서, 그러한 모든 변형예들은 본 발명의 범주 내에 포함되어야 한다.

Claims (24)

  1. 탄도 전자빔을 갖는 플라즈마 처리 시스템을 이용하여 기판 상의 박막을 에칭하는 방법에 있어서,
    상기 플라즈마 처리 시스템 내의 기판 홀더 상에 상기 기판을 배치하는 단계와,
    상기 탄도 전자빔을 생성하기 위하여 상기 플라즈마 처리 시스템 내의 전극에 직류(DC) 전력을 공급하는 단계와,
    상기 플라즈마 처리 시스템에서 플라즈마를 형성하기 위하여 상기 전극이나 상기 기판 홀더에 또는 이들 양쪽에 교류(AC) 전력을 공급하는 단계와,
    상기 탄도 전자빔을 위해 전자빔 플럭스의 공간 분포를 조정하기 위하여 상기 AC 전력의 진폭을 변조하는 단계와,
    상기 플라즈마와 탄도 전자빔으로 상기 박막을 에칭하는 단계
    를 포함하는 에칭 방법.
  2. 제1항에 있어서, 상기 DC 전력을 공급하는 단계는 전압이 약 -2000 V 내지 약 1000 V에 이르는 DC 전력을 공급하는 단계를 포함하는 것인 에칭 방법.
  3. 제1항에 있어서, 상기 DC 전력을 공급하는 단계는 마이너스 극성을 갖는 DC 전력을 공급하는 단계를 포함하고, 그 DC 전압의 절대값은 약 500 V 이상인 것인 에칭 방법.
  4. 제1항에 있어서, 상기 DC 전력을 상기 전극에 공급하는 단계는 상기 기판 홀더 상의 상기 기판에 대향하는 상위 전극에 DC 전력을 공급하는 단계를 포함하는 것인 에칭 방법.
  5. 제4항에 있어서, 상기 상위 전극에 DC 전력을 공급하는 단계는 상기 기판 홀더 상의 상기 기판에 대향하는 실리콘 함유 전극판에 DC 전력을 공급하는 단계를 포함하는 것인 에칭 방법.
  6. 제5항에 있어서, 상기 실리콘 함유 전극판에 DC 전력을 공급하는 단계는 상기 기판 홀더 상의 상기 기판에 대향하는 도핑된 실리콘 전극판에 DC 전력을 공급하는 단계를 포함하는 것인 에칭 방법.
  7. 제4항에 있어서, 상기 AC 전력을 공급하는 단계는 상기 전극이나 상기 기판 홀더에 또는 이들 양쪽에 고주파(RF) 전력을 공급하는 단계를 포함하는 것인 에칭 방법.
  8. 제7항에 있어서, 상기 RF 전력을 공급하는 단계는 제1 RF 주파수에서 상기 상위 전극 또는 상기 기판 홀더에 제1 RF 전력을 공급하는 단계와, 제2 RF 주파수 에서 상기 기판 홀더에 제2 RF 전력을 공급하는 단계를 포함하고, 상기 제1 RF 전력은 상기 변조 단계 시에 조정되는 것인 에칭 방법.
  9. 제8항에 있어서, 상기 제2 RF 주파수는 상기 제1 RF 주파수보다 낮은 것인 에칭 방법.
  10. 제1항에 있어서, 상기 AC 전력을 변조하는 단계는 상기 전극이나 상기 기판 홀더에 또는 이들 양쪽에서 고주파(RF) 전력을 변조하는 단계를 포함하는 것인 에칭 방법.
  11. 제10항에 있어서, 상기 RF 전력의 진폭을 변조하는 단계는 약 100 W로부터 약 10000 W에 이르는 RF 전력 레벨 사이에서 상기 RF 전력을 변조하는 단계를 포함하는 것인 에칭 방법.
  12. 제10항에 있어서, 상기 RF 전력의 진폭을 변조하는 단계는 약 0.01㎐ 내지 약 1㎑에 이르는 변조 주파수에서 RF 전력을 변조하는 단계를 포함하는 것인 에칭 방법.
  13. 제10항에 있어서, 상기 RF 전력의 진폭을 변조하는 단계는 제1 RF 전력 레벨과 제2 RF 전력 레벨 사이에서 RF 전력을 펄스 변조하는 단계를 포함하는 것인 에 칭 방법.
  14. 제13항에 있어서, 상기 RF 전력을 펄스 변조하는 단계는, 상기 플라즈마 처리 시스템이 상기 제1 RF 전력 레벨에서 동작하는 시간 부분과, 상기 플라즈마 처리 시스템이 상기 제2 RF 전력 레벨에서 동작하는 시간 부분을 정의하기 위해 듀티 사이클을 설정하는 단계를 포함하는 것인 에칭 방법.
  15. 제1항에 있어서, 진폭 변조의 범위, 진폭 변조의 주파수 또는 진폭 변조의 듀티 사이클, 또는 이들 중 2가지 이상의 조합을 변경하여 상기 AC 전력의 변조를 조정하는 단계를 더 포함하는 에칭 방법.
  16. 제1항에 있어서, 고주파(RF) 전력을 유도 코일에 공급하여 플라즈마를 형성하는 단계를 더 포함하는 에칭 방법.
  17. 제1항에 있어서,
    자계 시스템에 의해 상기 플라즈마 처리 시스템에 자계를 제공하는 단계와,
    컨트롤러로 상기 자계의 회전 속도 또는 자계 세기를 제어하는 단계
    를 더 포함하는 에칭 방법.
  18. 컴퓨터 시스템 상에서 실행되며, 상기 컴퓨터 시스템에 의해 실행될 때, 상 기 컴퓨터 시스템으로부터 하여금 플라즈마 처리 시스템을 제어하여 다음의 단계들을 수행하게 하는 프로그램 명령어를 포함하는 컴퓨터 판독 가능한 기록 매체에 있어서, 상기 단계들은
    상기 플라즈마 처리 시스템 내의 기판 홀더 상에 기판을 배치하는 단계와,
    탄도 전자빔을 생성하기 위하여 상기 플라즈마 처리 시스템 내의 전극에 직류(DC) 전력을 공급하는 단계와,
    상기 플라즈마 처리 시스템에서 플라즈마를 형성하기 위하여 상기 전극이나 상기 기판 홀더 또는 이들 양쪽에 교류(AC) 전력을 공급하는 단계와,
    상기 탄도 전자빔을 위해 전자빔 플럭스의 공간 분포를 조정하기 위하여 상기 AC 전력의 진폭을 변조하는 단계
    인 것인 컴퓨터 판독 가능한 기록 매체.
  19. 기판을 에칭하도록 구성된 플라즈마 처리 시스템에 있어서,
    플라즈마 형성을 용이하게 하도록 구성된 플라즈마 처리 챔버와,
    상기 플라즈마 처리 챔버에 연결되며 상기 기판을 지지하도록 구성된 기판 홀더와,
    상기 플라즈마 처리 챔버에 연결되며 상기 플라즈마에 접촉하도록 구성된 전극과,
    상기 플라즈마 처리 챔버에 연결되며, 상기 플라즈마를 형성하기 위하여 상기 기판 홀더나 상기 전극 또는 이들 양쪽에 적어도 하나의 AC 신호를 공급하도록 구성된 AC 전력 시스템과,
    상기 플라즈마 처리 챔버에 연결되며, 상기 플라즈마를 통해 탄도 전자빔을 형성하기 위하여 상기 전극에 DC 전압을 공급하도록 구성된 DC 전력 시스템과,
    상기 AC 전력 시스템에 연결되며, 상기 탄도 전자빔을 위해 전자빔 플럭스의 공간 분포를 조정하기 위하여 상기 적어도 하나의 AC 신호의 하나 이상의 진폭을 변조하도록 구성된 AC 전력 변조 시스템
    을 포함하는 플라즈마 처리 시스템.
  20. 제19항에 있어서, 상기 AC 전력 시스템은, 제1 RF 주파수에서 상기 전극 또는 상기 기판 홀더에 제1 RF 전력을 공급하도록 구성된 제1 RF 전력 시스템과, 제2 RF 주파수에서 상기 기판 홀더에 제2 RF 전력을 공급하도록 구성된 제2 RF 전력 시스템을 포함하고, 상기 AC 전력 변조 시스템은 상기 제1 RF 전력의 진폭을 변조하도록 구성되는 것인 플라즈마 처리 시스템.
  21. 제20항에 있어서, 상기 제2 RF 주파수는 상기 제1 RF 주파수보다 낮은 것인 플라즈마 처리 시스템.
  22. 제19항에 있어서, 상기 AC 전력 시스템은 RF 주파수에서 유도 코일에 RF 전력을 공급하도록 구성된 RF 전력 시스템을 포함하는 것인 플라즈마 처리 시스템.
  23. 제19항에 있어서,
    상기 플라즈마 처리 시스템에 자계를 제공하도록 구성된 자계 시스템과,
    상기 자계의 회전 속도 또는 자계 세기를 제어하도록 구성된 컨트롤러
    를 더 포함하는 플라즈마 처리 시스템.
  24. 기판을 에칭하도록 구성된 플라즈마 처리 시스템에 있어서,
    플라즈마의 형성을 용이하게 하도록 구성된 플라즈마 처리 챔버와,
    상기 플라즈마 처리 챔버에 연결되며 상기 기판을 지지하도록 구성된 기판 홀더와,
    상기 플라즈마를 형성하기 위하여 상기 플라즈마 처리 챔버에 AC 전력을 공급하는 수단과,
    상기 플라즈마를 통해 탄도 전자빔을 형성하기 위하여 상기 플라즈마 처리 시스템에 DC 전력을 공급하는 수단과,
    상기 탄도 전자빔을 위해 전자빔 플럭스의 공간 분포를 조정하기 위하여 상기 AC 전력의 진폭을 변조하는 수단
    을 포함하는 플라즈마 처리 시스템.
KR1020097003997A 2006-07-31 2007-06-08 에칭 방법, 컴퓨터 판독 가능한 기록 매체, 및 플라즈마 처리 시스템 KR101333924B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11/495,726 US8083961B2 (en) 2006-07-31 2006-07-31 Method and system for controlling the uniformity of a ballistic electron beam by RF modulation
US11/495,726 2006-07-31
PCT/US2007/070759 WO2008016747A2 (en) 2006-07-31 2007-06-08 Method and system for controlling the uniformity of a ballistic electron beam by rf modulation

Publications (2)

Publication Number Publication Date
KR20090037486A true KR20090037486A (ko) 2009-04-15
KR101333924B1 KR101333924B1 (ko) 2013-11-27

Family

ID=38985113

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020097003997A KR101333924B1 (ko) 2006-07-31 2007-06-08 에칭 방법, 컴퓨터 판독 가능한 기록 매체, 및 플라즈마 처리 시스템

Country Status (5)

Country Link
US (1) US8083961B2 (ko)
JP (1) JP5205378B2 (ko)
KR (1) KR101333924B1 (ko)
TW (1) TWI360844B (ko)
WO (1) WO2008016747A2 (ko)

Families Citing this family (38)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2008021609A1 (en) * 2006-08-07 2008-02-21 Tokyo Electron Limited Method of treating a mask layer prior to performing an etching process
WO2009053984A1 (en) * 2007-10-26 2009-04-30 Technion - Research & Development Foundation Ltd Aerodynamic performance enhancements using discharge plasma actuators
EP2199325A1 (de) 2008-12-22 2010-06-23 Basf Se Schaumstoffpartikel aus Styrolpolymeren
US8809196B2 (en) * 2009-01-14 2014-08-19 Tokyo Electron Limited Method of etching a thin film using pressure modulation
JP5221403B2 (ja) * 2009-01-26 2013-06-26 東京エレクトロン株式会社 プラズマエッチング方法、プラズマエッチング装置および記憶媒体
US8507855B2 (en) * 2011-07-28 2013-08-13 Applied Materials Israel, Ltd. Inductive modulation of focusing voltage in charged beam system
KR101300791B1 (ko) * 2011-12-15 2013-08-29 한국생산기술연구원 전자빔 조사를 이용한 몰리브덴 박막의 전도도 향상 방법
US10157729B2 (en) 2012-02-22 2018-12-18 Lam Research Corporation Soft pulsing
US9460894B2 (en) * 2013-06-28 2016-10-04 Lam Research Corporation Controlling ion energy within a plasma chamber
US9401263B2 (en) * 2013-09-19 2016-07-26 Globalfoundries Inc. Feature etching using varying supply of power pulses
TW201542682A (zh) * 2014-02-27 2015-11-16 Sekisui Chemical Co Ltd 用以現場形成難燃性聚胺酯發泡體之現場發泡系統
US10121708B2 (en) * 2015-11-17 2018-11-06 Lam Research Corporation Systems and methods for detection of plasma instability by optical diagnosis
KR20190014623A (ko) * 2017-08-03 2019-02-13 삼성전자주식회사 플라즈마 공정 장치 및 이를 이용한 반도체 장치 제조 방법
WO2019212592A1 (en) * 2018-05-03 2019-11-07 Applied Materials, Inc. Pulsed plasma (dc/rf) deposition of high quality c films for patterning
US10555412B2 (en) 2018-05-10 2020-02-04 Applied Materials, Inc. Method of controlling ion energy distribution using a pulse generator with a current-return output stage
US11688586B2 (en) * 2018-08-30 2023-06-27 Tokyo Electron Limited Method and apparatus for plasma processing
US10342110B1 (en) * 2018-09-14 2019-07-02 Serendipity Technologies LLC. Plasma power generator (z-box and z-tower)
US11476145B2 (en) 2018-11-20 2022-10-18 Applied Materials, Inc. Automatic ESC bias compensation when using pulsed DC bias
JP7451540B2 (ja) 2019-01-22 2024-03-18 アプライド マテリアルズ インコーポレイテッド パルス状電圧波形を制御するためのフィードバックループ
US11508554B2 (en) 2019-01-24 2022-11-22 Applied Materials, Inc. High voltage filter assembly
JP7236954B2 (ja) * 2019-08-06 2023-03-10 東京エレクトロン株式会社 プラズマ処理装置
US11170981B2 (en) * 2019-09-17 2021-11-09 Tokyo Electron Limited Broadband plasma processing systems and methods
US11295937B2 (en) * 2019-09-17 2022-04-05 Tokyo Electron Limited Broadband plasma processing systems and methods
US11043387B2 (en) * 2019-10-30 2021-06-22 Applied Materials, Inc. Methods and apparatus for processing a substrate
US11848176B2 (en) 2020-07-31 2023-12-19 Applied Materials, Inc. Plasma processing using pulsed-voltage and radio-frequency power
US11901157B2 (en) 2020-11-16 2024-02-13 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11798790B2 (en) 2020-11-16 2023-10-24 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11495470B1 (en) 2021-04-16 2022-11-08 Applied Materials, Inc. Method of enhancing etching selectivity using a pulsed plasma
US11948780B2 (en) 2021-05-12 2024-04-02 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11791138B2 (en) 2021-05-12 2023-10-17 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11967483B2 (en) 2021-06-02 2024-04-23 Applied Materials, Inc. Plasma excitation with ion energy control
US20220399185A1 (en) 2021-06-09 2022-12-15 Applied Materials, Inc. Plasma chamber and chamber component cleaning methods
US11810760B2 (en) 2021-06-16 2023-11-07 Applied Materials, Inc. Apparatus and method of ion current compensation
US11569066B2 (en) 2021-06-23 2023-01-31 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
US11776788B2 (en) 2021-06-28 2023-10-03 Applied Materials, Inc. Pulsed voltage boost for substrate processing
US11476090B1 (en) 2021-08-24 2022-10-18 Applied Materials, Inc. Voltage pulse time-domain multiplexing
US11694876B2 (en) 2021-12-08 2023-07-04 Applied Materials, Inc. Apparatus and method for delivering a plurality of waveform signals during plasma processing
US11972924B2 (en) 2022-06-08 2024-04-30 Applied Materials, Inc. Pulsed voltage source for plasma processing applications

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR970064327A (ko) * 1996-02-27 1997-09-12 모리시다 요이치 고주파 전력 인가장치, 플라즈마 발생장치, 플라즈마 처리장치, 고주파 전력 인가방법, 플라즈마 발생방법 및 플라즈마 처리방법
JP4013271B2 (ja) * 1997-01-16 2007-11-28 日新電機株式会社 物品表面処理方法及び装置
KR100429850B1 (ko) * 1997-10-02 2004-09-18 삼성전자주식회사 광도파로 제조방법
US6507155B1 (en) * 2000-04-06 2003-01-14 Applied Materials Inc. Inductively coupled plasma source with controllable power deposition
US6562190B1 (en) * 2000-10-06 2003-05-13 Lam Research Corporation System, apparatus, and method for processing wafer using single frequency RF power in plasma processing chamber
US20050241762A1 (en) * 2004-04-30 2005-11-03 Applied Materials, Inc. Alternating asymmetrical plasma generation in a process chamber
US7740737B2 (en) * 2004-06-21 2010-06-22 Tokyo Electron Limited Plasma processing apparatus and method
CN102263026B (zh) * 2004-06-21 2016-01-20 东京毅力科创株式会社 等离子体处理装置和方法
US7740704B2 (en) * 2004-06-25 2010-06-22 Tokyo Electron Limited High rate atomic layer deposition apparatus and method of using

Also Published As

Publication number Publication date
JP2009545890A (ja) 2009-12-24
TWI360844B (en) 2012-03-21
KR101333924B1 (ko) 2013-11-27
WO2008016747A3 (en) 2008-11-20
TW200814189A (en) 2008-03-16
US8083961B2 (en) 2011-12-27
JP5205378B2 (ja) 2013-06-05
US20080023440A1 (en) 2008-01-31
WO2008016747A2 (en) 2008-02-07

Similar Documents

Publication Publication Date Title
KR101333924B1 (ko) 에칭 방법, 컴퓨터 판독 가능한 기록 매체, 및 플라즈마 처리 시스템
US7829469B2 (en) Method and system for uniformity control in ballistic electron beam enhanced plasma processing system
TWI795589B (zh) 處理微電子工件的方法、以及處理基板的方法
US7416677B2 (en) Exhaust assembly for plasma processing system and method
JP3381916B2 (ja) 低周波誘導型高周波プラズマ反応装置
US5607542A (en) Inductively enhanced reactive ion etching
US7449414B2 (en) Method of treating a mask layer prior to performing an etching process
US8409459B2 (en) Hollow cathode device and method for using the device to control the uniformity of a plasma process
US7754615B2 (en) Method and apparatus for detecting endpoint in a dry etching system by monitoring a superimposed DC current
KR101346897B1 (ko) 에칭 방법 및 플라즈마 처리 시스템
KR20100126528A (ko) 단일 에너지의 중성 빔 활성화된 화학적 처리 시스템 및 사용 방법
JP2002507315A (ja) トロイダル低電場反応性ガスソース
US7572386B2 (en) Method of treating a mask layer prior to performing an etching process
JP7374362B2 (ja) プラズマ処理方法及びプラズマ処理装置
US7642193B2 (en) Method of treating a mask layer prior to performing an etching process
JPH08255782A (ja) プラズマ表面処理装置
KR100455350B1 (ko) 유도 결합형 플라즈마 발생 장치 및 방법
Pu Plasma Etch Equipment
JP3599670B2 (ja) プラズマ処理方法および装置
TWI645440B (zh) 電漿處理裝置、熱電子產生器、電漿點燃裝置及其方法
JPH1167493A (ja) プラズマ処理装置及びプラズマ処理方法
US20060061287A1 (en) Plasma processing apparatus and control method thereof
KR100253274B1 (ko) 플라즈마 식각장치
KR20030078560A (ko) 플라즈마 식각 장치

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20161019

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20171018

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20181030

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20191029

Year of fee payment: 7