KR20080099688A - Method for forming a metal contact in semiconductor device - Google Patents

Method for forming a metal contact in semiconductor device Download PDF

Info

Publication number
KR20080099688A
KR20080099688A KR1020070045561A KR20070045561A KR20080099688A KR 20080099688 A KR20080099688 A KR 20080099688A KR 1020070045561 A KR1020070045561 A KR 1020070045561A KR 20070045561 A KR20070045561 A KR 20070045561A KR 20080099688 A KR20080099688 A KR 20080099688A
Authority
KR
South Korea
Prior art keywords
etching
forming
metal contact
semiconductor device
etching process
Prior art date
Application number
KR1020070045561A
Other languages
Korean (ko)
Inventor
이상도
Original Assignee
주식회사 하이닉스반도체
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 주식회사 하이닉스반도체 filed Critical 주식회사 하이닉스반도체
Priority to KR1020070045561A priority Critical patent/KR20080099688A/en
Publication of KR20080099688A publication Critical patent/KR20080099688A/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • H01L28/60Electrodes
    • H01L28/82Electrodes with an enlarged surface, e.g. formed by texturisation
    • H01L28/90Electrodes with an enlarged surface, e.g. formed by texturisation having vertical extensions
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/01Manufacture or treatment
    • H10B12/09Manufacture or treatment with simultaneous manufacture of the peripheral circuit region and memory cells

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Semiconductor Memories (AREA)

Abstract

In a method of forming metal contact which at the same time, exposes the object film which is covered with a plurality of materials which has the different etch rate and the different step height through an etching process using the identical etching mask. The metal contact is formed by the in-situ process in the identical plasma etching chamber. The number of process can be reduced. Provided is the forming method of metal contact of the semiconductor device. A step is for forming a conductive pattern on the substrate(100). A step is for forming a first interlayer insulating film on the substrate including a conductive pattern. A step is for forming the contact plug(113) within the first interlayer insulating film not to be overlapped with the conductive pattern. A step for forming an etch stopping layer(114A) on the substrate including a contact plug. A step for exposing the contact plug to etch the etch stopping layer. A step for forming the capacitor(118) with which the contact plug and bottom electrode are connected. A step for forming the protective film(119A) on the upper electrode of capacitor. A step is for forming a second intermetal dielectric on the substrate including the protective film. A step is for etching the second intermetal dielectric and the etch stopping layer overlapped with the conductive pattern. At the same time, while the second inter metal dielectric is etched through the first etching process and a part of the protective film is exposed. A step is for exposing the upper electrode to etch the protective film exposed through the second etching process. A step is for etching the first interlayer insulating film to expose the conductive pattern through the third etching process.

Description

반도체 소자의 메탈 콘택 형성방법{METHOD FOR FORMING A METAL CONTACT IN SEMICONDUCTOR DEVICE}METHOD FOR FORMING A METAL CONTACT IN SEMICONDUCTOR DEVICE

도 1a 내지 도 1d는 본 발명의 실시예에 따른 반도체 소자의 메탈 콘택 형성방법을 도시한 공정 단면도.1A to 1D are cross-sectional views illustrating a method for forming a metal contact of a semiconductor device according to an embodiment of the present invention.

<도면의 주요 부분에 대한 부호의 설명><Explanation of symbols for the main parts of the drawings>

100 : 기판 101 : 게이트 절연막100 substrate 101 gate insulating film

102 : 게이트 도전막 103 : 보호막102 gate conductive film 103 protective film

104 : 게이트 105 : 스페이서104: gate 105: spacer

106, 108, 112, 120 : 층간 절연막106, 108, 112, 120: interlayer insulating film

107 : 랜딩 플러그 109 : 도전막107: landing plug 109: conductive film

110 : 보호막 111 : 비트 라인110: protective film 111: bit line

113 : 스토리지 노드 콘택 플러그 113: storage node contact plug

114 : 식각 정지막 115 : 하부전극114: etching stop film 115: lower electrode

116 : 유전체막 117 : 상부전극116: dielectric film 117: upper electrode

118 : 캐패시터 119 : 보호막118: capacitor 119: protective film

121 : 콘택홀121: contact hole

본 발명은 반도체 제조 기술에 관한 것으로, 특히 실린더형 캐패시터(cylinder type capacitor)를 포함하는 반도체 소자의 메탈 콘택(metal contact) 형성방법에 관한 것이다. BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to semiconductor manufacturing technology, and more particularly, to a method of forming metal contact of a semiconductor device including a cylinder type capacitor.

COB(Capacitor On Bitline) 구조의 반도체 메모리 소자에서 상부의 금속배선(metal line)과 하부의 비트 라인(bitline)을 연결하는 메탈 콘택 형성공정은 캐패시터의 높이가 증가하면서 높은 종횡비(aspect ratio)로 인해 많은 어려움이 대두되고 있다. 메탈 콘택이라 함은 상부 금속배선과 캐패시터의 상부전극, 주변회로 영역의 비트 라인 및 트랜지스터의 접합영역을 각각 연결하기 위한 콘택을 의미한다. In the semiconductor memory device having a capacitor on bitline (COB) structure, the metal contact forming process connecting the upper metal line and the lower bit line has a high aspect ratio as the height of the capacitor increases. Many difficulties are emerging. The metal contact refers to a contact for connecting the upper metal wiring and the upper electrode of the capacitor, the bit line of the peripheral circuit region, and the junction region of the transistor, respectively.

반도체 메모리 소자에서 캐패시터는 콘케이브형(concave type)과 실린더형 구조로 형성되며, 이중 실린더형 구조에서는 캐패시터의 상부전극이 콘케이브형 구조에 비해 비교적 하부-기판에 근접한 부위-에 형성됨에 따라 메탈 콘택을 형성하기 위한 식각공정시 그 만큼 공정 제어가 어려워 캐패시터의 상부전극이 뚫리는 펀치 쓰루(punch through) 현상과 같은 문제가 발생된다. 이에 따라, 실린더형 구조에서는 캐패시터 제조공정시 캐패시터의 상부전극을 보호하기 위하여 상부전극 상에 식각 정지막으로 비정질 실리콘막을 형성하고 있다. 이를 통해, 식각공정시 비정질 실리콘막 상부에서 식각이 정지되도록 하여 상부전극이 손상되는 것을 방지하 고 있다. In a semiconductor memory device, a capacitor is formed in a concave type and a cylindrical structure, and in a double cylinder type structure, as the upper electrode of the capacitor is formed at a portion relatively close to the lower substrate than the concave type structure, the metal is formed. In the etching process for forming a contact, such a process control is difficult, so problems such as a punch through phenomenon in which the upper electrode of the capacitor is drilled occur. Accordingly, in the cylindrical structure, an amorphous silicon film is formed on the upper electrode as an etch stop layer to protect the upper electrode of the capacitor during the capacitor manufacturing process. As a result, the etching is stopped on the amorphous silicon layer during the etching process, thereby preventing the upper electrode from being damaged.

그러나, 종래기술에 따른 반도체 소자의 메탈 콘택 형성방법에서는 캐패시터의 상부전극 상에 형성된 비정질 실리콘막을 식각하기 위해 별도의 비정질 실리콘막 식각 장비에서 공정을 진행하기 때문에 그만큼 공정 수가 증가되는 문제가 발생된다. However, in the method of forming a metal contact of a semiconductor device according to the related art, a process is increased in a separate amorphous silicon film etching apparatus to etch an amorphous silicon film formed on an upper electrode of a capacitor.

따라서, 본 발명은 상기한 종래기술의 문제점을 해결하기 위해 제안된 것으로서, 서로 다른 단차를 가지면서 서로 다른 식각율을 갖는 복수의 물질로 덮혀진 대상막을 동일 식각 마스크로 동시에 노출시키는 메탈 콘택 형성방법에 있어서, 공정 수를 감소시킬 수 있는 반도체 소자의 메탈 콘택 형성방법을 제공하는데 그 목적이 있다. Accordingly, the present invention has been proposed to solve the above problems of the prior art, and a method of forming a metal contact by simultaneously exposing the target film covered with a plurality of materials having different etch rates with different steps with the same etching mask. An object of the present invention is to provide a metal contact forming method of a semiconductor device capable of reducing the number of processes.

상기한 목적을 달성하기 위한 일 측면에 따른 본 발명은, 서로 다른 단차를 가지면서 서로 다른 식각율을 갖는 복수의 물질로 덮혀진 대상막을 동일 식각 마스크를 이용한 식각공정을 통해 동시에 노출시키는 반도체 소자의 메탈 콘택 형성방법에 있어서, 상기 식각 마스크를 이용하고, 동일 플라즈마 식각 챔버 내에서 인-시튜(in-situ) 공정으로 상기 물질에 따라 식각 가스를 선택적으로 상기 챔버 내부로 공급하여 상기 물질을 식각하여 상기 대상막을 동시에 노출시키는 반도체 소자 의 메탈 콘택 형성방법을 제공한다.According to an aspect of the present invention, there is provided a semiconductor device for simultaneously exposing a target film covered with a plurality of materials having different etching rates with different steps through an etching process using the same etching mask. In the method for forming a metal contact, using the etching mask, in-situ process in the same plasma etching chamber by selectively supplying the etching gas in accordance with the material in the chamber by etching the material Provided is a method for forming a metal contact of a semiconductor device to expose the target film at the same time.

또한, 상기한 목적을 달성하기 위한 다른 측면에 따른 본 발명은, 기판 상에 도전 패턴을 형성하는 단계와, 상기 도전 패턴을 포함하는 상기 기판 상에 제1 층간 절연막을 형성하는 단계와, 상기 도전 패턴과 중첩되지 않도록 상기 제1 층간 절연막 내에 콘택 플러그를 형성하는 단계와, 상기 콘택 플러그를 포함하는 상기 기판 상에 식각 정지막을 형성하는 단계와, 상기 식각 정지막을 식각하여 상기 콘택 플러그를 노출시키는 단계와, 상기 콘택 플러그와 하부전극이 접속되는 캐패시터를 형성하는 단계와, 상기 캐패시터의 상부전극 상에 보호막을 형성하는 단계와, 상기 보호막을 포함하는 상기 기판 상에 제2 층간 절연막을 형성하는 단계와, 제1 식각공정을 통해 상기 제2 층간 절연막을 식각하여 상기 보호막의 일부를 노출시키는 동시에 상기 도전 패턴과 중첩되는 상기 제2 층간 절연막과 상기 식각 정지막을 식각하는 단계와, 제2 식각공정을 통해 노출되는 상기 보호막을 식각하여 상기 상부전극을 노출시키는 단계와, 제3 식각공정을 통해 상기 도전 패턴이 노출되도록 상기 제1 층간 절연막을 식각하는 단계를 포함하되, 상기 제1 내지 제3 식각공정은 동일 챔버 내에서 인-시튜(in-situ) 공정으로 실시하는 반도체 소자의 메탈 콘택 형성방법을 제공한다.In addition, the present invention according to another aspect for achieving the above object, forming a conductive pattern on a substrate, forming a first interlayer insulating film on the substrate comprising the conductive pattern, the conductive Forming a contact plug in the first interlayer insulating layer so as not to overlap with the pattern, forming an etch stop layer on the substrate including the contact plug, and etching the etch stop layer to expose the contact plug Forming a capacitor to which the contact plug and the lower electrode are connected, forming a protective film on the upper electrode of the capacitor, and forming a second interlayer insulating film on the substrate including the protective film; Etching the second interlayer insulating layer through a first etching process to expose a portion of the passivation layer; Etching the second interlayer insulating layer and the etch stop layer overlapping the turn; etching the passivation layer exposed through a second etching process to expose the upper electrode; and forming the conductive pattern through a third etching process. And etching the first interlayer insulating layer to expose the exposed portions, wherein the first to third etching processes are performed in-situ in the same chamber. do.

이하, 본 발명이 속하는 기술분야에서 통상의 지식을 가진 자가 본 발명의 기술적 사상을 용이하게 실시할 수 있을 정도로 상세히 설명하기 위해 본 발명의 가장 바람직한 실시예를 첨부한 도면을 참조하여 설명한다. 또한, 도면들에 있어서, 층 및 영역들의 두께는 명확성을 기하기 위하여 과장되어진 것이며, 층이 다른 층 또는 기판 "상"에 있다고 언급되어지는 경우에 그것은 다른 층 또는 기판 상에 직접 형성될 수 있거나, 또는 그들 사이에 제3의 층이 개재될 수도 있다. 또한, 명세서 전체에 걸쳐서 동일한 도면번호로 표시된 부분은 동일한 층을 나타내며, 각 도면번호에 영어 대문자를 포함하는 경우 동일층이 식각공정을 통해 변형된 것을 의미한다. DETAILED DESCRIPTION Hereinafter, exemplary embodiments of the present invention will be described with reference to the accompanying drawings so that those skilled in the art may easily implement the technical idea of the present invention. In addition, in the drawings, the thicknesses of layers and regions are exaggerated for clarity, and in the case where the layers are said to be "on" another layer or substrate, they may be formed directly on another layer or substrate or Or a third layer may be interposed therebetween. In addition, the parts denoted by the same reference numerals throughout the specification represent the same layer, and when the uppercase letters included in each reference number means that the same layer is modified through an etching process.

실시예Example

도 1a 내지 도 1d는 본 발명의 실시예에 따른 반도체 소자의 메탈 콘택 형성방법을 설명하기 위하여 도시한 공정 단면도이다. 여기서는 반도체 소자 중 반도체 메모리 소자를 일례로 도시하였으며, 도면에서 'CELL'은 메모리 셀이 형성될 셀 영역을 나타내고, 'PERI'는 셀을 구동시키기 위한 구동회로가 형성될 주변회로 영역을 나타낸다. 1A to 1D are cross-sectional views illustrating a method for forming a metal contact of a semiconductor device according to an embodiment of the present invention. Here, a semiconductor memory device is illustrated as an example of the semiconductor devices. In the drawing, 'CELL' represents a cell region in which a memory cell is to be formed, and 'PERI' represents a peripheral circuit region in which a driving circuit for driving the cell is to be formed.

먼저, 도 1a에 도시된 바와 같이, 셀 영역(CELL)에 복수의 게이트(104), 게이트 스페이서(105), 접합영역(소스 및 드레인)(미도시)을 형성한다. 이때, 게이트(104), 게이트 스페이서(105), 접합영역은 도시되진 않았지만 주변회로 영역에도 동일하게 형성된다. First, as illustrated in FIG. 1A, a plurality of gates 104, gate spacers 105, and junction regions (source and drain) (not shown) are formed in a cell region CELL. In this case, the gate 104, the gate spacer 105, and the junction region are also formed in the peripheral circuit region, although not shown.

게이트(104)는 워드 라인(wordline)과 연결되며, 게이트 절연막(101), 게이트 도전막(102), 하드 마스크(hard mask)로 불리는 보호막(103)을 포함한다. 게이트 절연막(101)은 산화막, 또는 산화막과 질화막의 적층 구조(산화막/질화막)로 형성한다. 게이트 도전막(102)은 폴리실리콘막, 전이 금속-예컨대, 철(Fe), 코발트(Co), 텅스텐(W), 니켈(Ni), 팔라듐(Pd), 백금(Pt), 몰리브덴(Mo) 또는 티타 늄(Ti) 등-또는 희토류 금속-예컨대, 어븀(Er), 이터륨(Yb), 사마륨(Sm), 이트륨(Y), 란탄(La), 세륨(Ce), 테르븀(Tb), 디스프로슘(Dy), 홀뮴(Ho), 톨륨(Tm) 및 루테튬(Lu) 등-으로 형성한다. 보호막(103)은 질화막 또는 산화막/질화막으로 형성한다. 한편, 게이트 도전막(102) 상에는 금속 질화막, 금속 실리사이드층 또는 금속 질화막과 금속실리사이드층의 적층 구조로 이루어진 게이트 금속막-예컨대, WN/Wsix-을 더 형성할 수도 있다. The gate 104 is connected to a word line and includes a gate insulating film 101, a gate conductive film 102, and a protective film 103 called a hard mask. The gate insulating film 101 is formed of an oxide film or a stacked structure (oxide film / nitride film) of an oxide film and a nitride film. The gate conductive film 102 may be formed of a polysilicon film, a transition metal such as iron (Fe), cobalt (Co), tungsten (W), nickel (Ni), palladium (Pd), platinum (Pt), and molybdenum (Mo). Or titanium (Ti) or the like or rare earth metals such as erbium (Er), ytterium (Yb), samarium (Sm), yttrium (Y), lanthanum (La), cerium (Ce), terbium (Tb), It is formed of dysprosium (Dy), holmium (Ho), tolium (Tm) and lutetium (Lu). The protective film 103 is formed of a nitride film or an oxide film / nitride film. On the other hand, a gate metal film, for example, WN / Wsix, formed of a metal nitride film, a metal silicide layer, or a laminated structure of the metal nitride film and the metal silicide layer may be further formed on the gate conductive film 102.

게이트 스페이서(105)는 질화막, 또는 산화막과 질화막이 적층된 구조로 형성한다. The gate spacer 105 is formed of a nitride film, or a structure in which an oxide film and a nitride film are stacked.

이어서, 게이트(104)를 포함하는 기판(100) 상에 층간 절연막(Inter Layer Dielectric, 이하 ILD1이라 함)(106)을 형성한다. 이때, ILD1(106)은 USG(Un-doped Silicate Glass), TEOS(Tetra Ethyle Ortho Silicate), HDP(High Density Plasma)막 등으로 형성하거나, 불순물이 도핑된 BPSG(BoroPhosphoSilicate Glass), PSG(PhosphoSilicate Glass)막으로 형성하거나, SOG(Spin On Glass)막으로 형성한다. Subsequently, an interlayer dielectric (Interlayer Dielectric, ILD1) 106 is formed on the substrate 100 including the gate 104. At this time, the ILD1 106 is formed of USG (Un-doped Silicate Glass), TEOS (Tetra Ethyle Ortho Silicate), HDP (High Density Plasma) film, or doped with impurities, BPSG (BoroPhosphoSilicate Glass), PSG (PhosphoSilicate Glass) Film) or a spin on glass (SOG) film.

이어서, 게이트(104) 사이의 ILD1(106)을 식각한 후 식각된 부위에 랜딩 플러그(landing plug, 107)를 형성한다. 이때, 랜딩 플러그(107)는 폴리실리콘막, 텅스텐, 알루미늄, 구리 등을 증착한 후 화학적 기계적 연마(Chemical Mechanical Polishing) 공정으로 형성하거나, SEG(Selective Epitaxial Growing) 공정으로 형성할 수도 있다. Subsequently, the ILD1 106 between the gates 104 is etched to form a landing plug 107 at the etched portion. In this case, the landing plug 107 may be formed by depositing a polysilicon layer, tungsten, aluminum, copper, or the like by a chemical mechanical polishing process, or by a selective epitaxial growing (SEG) process.

이어서, 랜딩 플러그(107) 상에 층간 절연막(이하, ILD2라 함)(108)을 형성 한다. 이때, ILD2(108)는 ILD1(106)과 동일 물질 중 선택된 어느 하나의 물질로 형성한다. Next, an interlayer insulating film (hereinafter referred to as ILD2) 108 is formed on the landing plug 107. At this time, the ILD2 108 is formed of any one material selected from the same materials as the ILD1 106.

이어서, 셀 영역(CELL)과 주변회로 영역(PERI)에 비트 라인(111)을 형성한다. 이때, 비트 라인(111)은 도전층(109)과 보호막(110)을 포함하며, 도전층(109)은 전이 금속 또는 희토류 금속 중 선택된 어느 하나의 금속으로 형성하며, 보호막(110)은 질화막으로 형성한다. Subsequently, the bit line 111 is formed in the cell region CELL and the peripheral circuit region PERI. In this case, the bit line 111 includes a conductive layer 109 and a protective layer 110, and the conductive layer 109 is formed of any one metal selected from transition metals and rare earth metals, and the protective layer 110 is formed of a nitride layer. Form.

이어서, 비트 라인(111)을 포함하는 기판(100) 상에 층간 절연막(이하, ILD3라 함)(112)을 형성한다. 이때, ILD3(112)은 단일막 또는 서로 다른 물질이 적층된 구조로 형성할 수 있으며, 그 물질로는 ILD1(106)을 구성하는 물질 중 어느 하나의 물질로 형성한다. Subsequently, an interlayer insulating film (hereinafter referred to as ILD3) 112 is formed on the substrate 100 including the bit lines 111. In this case, the ILD3 112 may be formed in a single layer or a structure in which different materials are stacked, and the material of the ILD3 112 may be formed of any one material of the ILD1 106.

이어서, 랜딩 플러그(107)가 노출되도록 ILD3(112)과 ILD2(108)를 식각한 후 식각된 부위에 스토리지 노드 콘택 플러그(113)를 형성한다. 이때, 스토리지 노드 콘택 플러그(1163)는 폴리실리콘막, 텅스텐, 알루미늄, 구리 중 어느 하나로 형성한다. Subsequently, the ILD3 112 and the ILD2 108 are etched to expose the landing plug 107, and then the storage node contact plug 113 is formed at the etched portion. In this case, the storage node contact plug 1163 is formed of any one of a polysilicon film, tungsten, aluminum, and copper.

이어서, ILD3(112) 상에 식각 정지막(114)을 형성한다. 이때, 식각 정지막(114)은 후속 공정을 통해 형성될 희생 절연막(미도시)-실린더형 캐패시터의 하부전극을 형성하기 위한 주형 틀로 기능하는 막-과의 식각 선택비를 갖는 물질로 형성한다. 예컨대, 상기 희생 절연막이 실리콘산화막으로 형성된 경우 실리콘질화막(Si3N4)으로 형성한다. Next, an etch stop film 114 is formed on the ILD3 112. In this case, the etch stop layer 114 is formed of a material having an etch selectivity with a sacrificial insulating film (not shown) to be formed through a subsequent process-a film serving as a template for forming the lower electrode of the cylinder type capacitor. For example, when the sacrificial insulating film is formed of a silicon oxide film, the sacrificial insulating film is formed of a silicon nitride film (Si 3 N 4 ).

이어서, 상기 희생 절연막을 이용하여 실린더형 캐패시터의 하부전극(115)을 형성한다. 이때, 하부전극(115)은 전이 금속 또는 희토류 금속 중 어느 하나의 금속으로 형성하거나, 이들의 질화막으로 형성한다. Subsequently, the lower electrode 115 of the cylindrical capacitor is formed using the sacrificial insulating film. In this case, the lower electrode 115 is formed of any one metal of a transition metal or a rare earth metal, or formed of a nitride film thereof.

이어서, 하부전극(115)의 내측벽에 유전체막(116)을 형성한다. 이때, 유전체막(116)은 산화막, 질화막, 산화막의 적층 구조(산화막/질화막/산화막), 유전율이 4 이상인 고유전막으로 형성한다. 이때, 고유전막으로는 Al2O3, HfO2, ZrO2 등이 있다. Next, a dielectric film 116 is formed on the inner wall of the lower electrode 115. At this time, the dielectric film 116 is formed of an oxide film, a nitride film, a stacked structure of an oxide film (oxide film / nitride film / oxide film), and a high dielectric film having a dielectric constant of 4 or more. At this time, the high dielectric film includes Al 2 O 3 , HfO 2 , ZrO 2 .

이어서, 유전체막(116)을 포함하는 기판(100)의 단차면을 따라 상부전극(117)을 형성한다. 이때, 상부전극(117)은 전이 금속 또는 희토류 금속 중 선택도니 어느 하나의 금속으로 형성하거나, 이들의 질화막, 예컨대 TiN, TaN, WN막으로 형성한다. Next, the upper electrode 117 is formed along the stepped surface of the substrate 100 including the dielectric film 116. In this case, the upper electrode 117 is formed of any one of a transition metal or a rare earth metal, or a nitride film thereof, such as a TiN, TaN, or WN film.

이어서, 상부전극(117) 상에 식각 정지막으로 기능하는 보호막(119)을 형성한다. 이때, 보호막(119)은 후속 공정을 통해 형성될 층간 절연막(이하, ILD4라 함)(120)과, 그리고 상부전극(117)과 높은 식각 선택비를 갖는 물질로 형성하는 것이 바람직하다. 예컨대, ILD4(120)가 실리콘산화막으로 형성되고, 상부전극(117)이 TiN막으로 형성된 경우 식각 선택비를 무한대로 가져갈 수 있는 비정질 실리콘막으로 형성한다. 또한, 보호막(119)의 두께는 메탈 콘택을 형성하기 위한 ILD4(120) 식각공정시 상부전극(117)을 보호하는 동시에 상부전극(117)을 노출시키기 위한 식각공정시 쉽게 식각되는 범위 내에서 결정된다. 예컨대, 보호막(119)은 200~500Å 두께로 형성한다. Subsequently, a passivation layer 119 functioning as an etch stop layer is formed on the upper electrode 117. In this case, the passivation layer 119 is preferably formed of a material having a high etching selectivity with the interlayer insulating layer (hereinafter referred to as ILD4) 120 and the upper electrode 117 to be formed through a subsequent process. For example, when the ILD4 120 is formed of a silicon oxide film and the upper electrode 117 is formed of a TiN film, the ILD4 120 is formed of an amorphous silicon film that can bring an etch selectivity to infinity. In addition, the thickness of the passivation layer 119 is determined within a range that is easily etched during the etching process for exposing the upper electrode 117 while protecting the upper electrode 117 during the etching process of the ILD4 120 for forming the metal contact. do. For example, the protective film 119 is formed to a thickness of 200 ~ 500Å.

이어서, 보호막(119)을 덮도록 ILD4(120)를 형성한다. 이때, ILD4(120)는 단일막 또는 서로 다른 물질이 적층된 구조로 형성할 수 있으며, 그 물질로는 ILD1(106)을 구성하는 물질 중 어느 하나의 물질로 형성한다. Next, the ILD 4 120 is formed to cover the protective film 119. In this case, the ILD4 120 may be formed of a single layer or a structure in which different materials are stacked, and the material of the ILD4 120 is formed of any one material of the ILD1 106.

이어서, ILD4(120)에 대해 화학적 기계적 연마공정을 실시하여 평탄화할 수 있다. Subsequently, the chemical mechanical polishing process may be performed on the ILD4 120 to be planarized.

이어서, 도 1b에 도시된 바와 같이, 포토 공정을 실시하여 메탈 콘택용 식각 마스크(미도시)를 형성한 후 상기 식각 마스크를 이용한 식각공정(이하, 제1 식각공정이라 함)을 실시하여 콘택홀(이하, 제1 콘택홀이라 함)(121)을 형성한다. 이때, 제1 식각공정은 플라즈마(plasma) 식각 장비를 이용하여 실시하며, 보호막(119)에 대한 식각 선택비가 높은 식각 조건으로 실시한다. 예컨대, 보호막(119)이 비정질 실리콘막으로 이루어진 경우, 실리콘에 대한 높은 식각 선택비를 얻기 위해 불화탄소 화합물들 중 C4F6, C4F8 또는 이들의 혼합가스(C4F6/C4F8)를 사용하며, 이에 더하여, 이온 충돌을 증가시켜 식각 속도를 높이기 위해 Ar, O2 또는 이 두 가스(Ar, O2)를 모두 첨가할 수도 있다. 또한, 실리콘에 대한 식각 선택비를 더욱 높이기 위해 H2를 첨가할 수도 있다. Subsequently, as shown in FIG. 1B, a photo process is performed to form an etching mask (not shown) for the metal contact, and then an etching process using the etching mask (hereinafter referred to as a first etching process) is performed. (Hereinafter referred to as a first contact hole) 121 is formed. In this case, the first etching process is performed using a plasma etching equipment, and the etching process is performed under an etching condition having a high etching selectivity with respect to the passivation layer 119. For example, when the protective film 119 is made of an amorphous silicon film, C 4 F 6 , C 4 F 8 of the fluorocarbon compounds in order to obtain a high etching selectivity to silicon Or a mixture of these gases (C 4 F 6 / C 4 F 8 ), and in addition, Ar, O 2 or both gases (Ar, O 2 ) are added to increase the ion collision to increase the etching rate. You may. In addition, H 2 may be added to further increase the etching selectivity to silicon.

이와 같은 식각조건으로 제1 식각공정을 실시함으로써 셀 영역(CELL)에서는 ILD4(120A)만이 식각되어 보호막(119)이 노출되고, 주변회로 영역(PERI)에서는 ILD4(120A)와 식각 정지막(114A)이 식각되어 ILD3(112)가 노출되는 제1 콘택 홀(121)이 형성된다. By performing the first etching process under such etching conditions, only the ILD4 120A is etched in the cell region CELL to expose the passivation layer 119, and the ILD4 120A and the etch stop layer 114A in the peripheral circuit region PERI. ) Is etched to form a first contact hole 121 through which the ILD3 112 is exposed.

이어서, 도 1c에 도시된 바와 같이, 도 1b에서 제1 식각공정시 사용된 플라즈마 식각 장비를 그대로 이용하여 동일 식각 챔버 내에서 인-시튜(in-situ) 공정으로 식각공정(이하, 제2 식각공정이라 함)을 실시하여 보호막(119A)만을 선택적으로 식각한다. 이때, 제2 식각공정은 보호막(119A)을 구성하는 비정질 실리콘막만을 선택적으로 식각하기 위하여 산화막에 대한 높은 식각 선택비를 갖는 Cl2와 O2의 혼합가스(Cl2/O2) 또는 CF4와 O2의 혼합가스(CF4/O2)를 사용하고, 이에 더하여 Ar 또는 HBr를 더 첨가할 수도 있다. Subsequently, as illustrated in FIG. 1C, an etching process (hereinafter, referred to as a second etching process) is performed in-situ in the same etching chamber using the plasma etching equipment used in the first etching process in FIG. 1B as it is. Step) to selectively etch only the protective film 119A. In this case, the second etching process is a mixture of Cl 2 and O 2 having a high etching selectivity with respect to the oxide film (Cl 2 / O 2 ) or CF 4 to selectively etch only the amorphous silicon film constituting the protective film 119A Mixture gas of O 2 and O 2 (CF 4 / O 2 ) may be used, and in addition, Ar or HBr may be further added.

이어서, 도 1d에 도시된 바와 같이, 도 1c에서 제2 식각공정시 사용된 플라즈마 식각 장비를 그대로 이용하여 동일 식각 챔버 내에서 인-시튜 공정으로 식각공정(이하, 제3 식각공정이라 함)을 실시하여 ILD3(112A)과 보호막(110)을 선택적으로 식각한다. 이때, 제3 식각공정은 산화막으로 이루어진 ILD3(112A)과 질화막으로 이루어진 보호막(110)을 선택적으로 식각하기 위하여 C4F8와 CH2F2의 혼합가스(C4F8/CH2F2)를 사용하고, 이에 더하여 Ar, O2 또는 이 두 가스(Ar, O2)를 모두 첨가할 수도 있다.Subsequently, as shown in FIG. 1D, an etching process (hereinafter referred to as a third etching process) is performed in-situ in the same etching chamber using the plasma etching equipment used in the second etching process in FIG. 1C as it is. In this case, the ILD 3 112A and the protective film 110 are selectively etched. In this case, the third etching process is a mixture of C 4 F 8 and CH 2 F 2 (C 4 F 8 / CH 2 F 2 to selectively etch the ILD 3 (112A) consisting of an oxide film and the protective film 110 consisting of a nitride film) ), And in addition, Ar, O 2 or both gases (Ar, O 2 ) can be added.

본 발명의 기술 사상은 바람직한 실시예에서 구체적으로 기술되었으나, 상기한 실시예는 그 설명을 위한 것이며, 그 제한을 위한 것이 아님을 주의하여야 한다. 특히, 본 발명의 실시예에서는 실린더형 캐패시터를 포함하는 반도체 메모리 소자의 메탈 콘택 형성방법을 일례로 들어 설명하였으나, 서로 다른 단차를 가지면 서 서로 다른 식각율을 갖는 복수의 물질로 덮혀진 대상막을 동일 식각 마스크로 동시에 노출시키는 메탈 콘택 형성방법에는 모두 적용할 수 있다. 또한, 본 발명은 이 기술 분야의 통상의 전문가라면 본 발명의 기술 사상의 범위 내에서 다양한 실시예들이 가능함을 이해할 수 있을 것이다.Although the technical spirit of the present invention has been described in detail in the preferred embodiments, it should be noted that the above-described embodiments are for the purpose of description and not of limitation. In particular, in the exemplary embodiment of the present invention, a method of forming a metal contact of a semiconductor memory device including a cylindrical capacitor is described as an example. However, the target film covered with a plurality of materials having different etching rates while having different steps is the same. Any method may be applied to the method of forming a metal contact exposed simultaneously with an etching mask. In addition, it will be understood by those skilled in the art that various embodiments are possible within the scope of the technical idea of the present invention.

이상에서 설명한 바와 같이, 본 발명에 의하면, 서로 다른 단차를 가지면서 서로 다른 식각율을 갖는 복수의 물질로 덮혀진 대상막을 동일 식각 마스크를 이용한 식각공정을 통해 동시에 노출시키는 메탈 콘택 형성방법에 있어서, 동일 플라즈마 식각 챔버 내에서 인-시튜 공정으로 메탈 콘택을 형성함으로써 공정 수를 감소시킬 수 있다. As described above, according to the present invention, in the metal contact forming method of simultaneously exposing the target film covered with a plurality of materials having different steps and different etching rates through an etching process using the same etching mask, The number of processes can be reduced by forming metal contacts in an in-situ process in the same plasma etching chamber.

Claims (14)

서로 다른 단차를 가지면서 서로 다른 식각율을 갖는 복수의 물질로 덮혀진 대상막을 동일 식각 마스크를 이용한 식각공정을 통해 동시에 노출시키는 반도체 소자의 메탈 콘택 형성방법에 있어서,In the method of forming a metal contact of a semiconductor device to expose the target film covered with a plurality of materials having different steps and different etching rates at the same time through an etching process using the same etching mask, 상기 식각 마스크를 이용하고, 동일 플라즈마 식각 챔버 내에서 인-시튜(in-situ) 공정으로 상기 물질에 따라 식각 가스를 선택적으로 상기 챔버 내부로 공급하여 상기 물질을 식각하여 상기 대상막을 동시에 노출시키는 반도체 소자의 메탈 콘택 형성방법.A semiconductor using the etching mask and selectively supplying an etching gas into the chamber according to the material in an in-situ process in the same plasma etching chamber to etch the material to simultaneously expose the target layer. Metal contact formation method of the device. 기판 상에 도전 패턴을 형성하는 단계;Forming a conductive pattern on the substrate; 상기 도전 패턴을 포함하는 상기 기판 상에 제1 층간 절연막을 형성하는 단계;Forming a first interlayer insulating film on the substrate including the conductive pattern; 상기 도전 패턴과 중첩되지 않도록 상기 제1 층간 절연막 내에 콘택 플러그를 형성하는 단계;Forming a contact plug in the first interlayer insulating layer so as not to overlap the conductive pattern; 상기 콘택 플러그를 포함하는 상기 기판 상에 식각 정지막을 형성하는 단계;Forming an etch stop layer on the substrate including the contact plug; 상기 식각 정지막을 식각하여 상기 콘택 플러그를 노출시키는 단계;Etching the etch stop layer to expose the contact plug; 상기 콘택 플러그와 하부전극이 접속되는 캐패시터를 형성하는 단계;Forming a capacitor to which the contact plug and the lower electrode are connected; 상기 캐패시터의 상부전극 상에 보호막을 형성하는 단계;Forming a protective film on the upper electrode of the capacitor; 상기 보호막을 포함하는 상기 기판 상에 제2 층간 절연막을 형성하는 단계;Forming a second interlayer insulating film on the substrate including the protective film; 제1 식각공정을 통해 상기 제2 층간 절연막을 식각하여 상기 보호막의 일부를 노출시키는 동시에 상기 도전 패턴과 중첩되는 상기 제2 층간 절연막과 상기 식각 정지막을 식각하는 단계;Etching the second interlayer insulating layer through a first etching process to expose a portion of the passivation layer and etching the second interlayer insulating layer and the etch stop layer overlapping the conductive pattern; 제2 식각공정을 통해 노출되는 상기 보호막을 식각하여 상기 상부전극을 노출시키는 단계;Etching the passivation layer exposed through a second etching process to expose the upper electrode; 제3 식각공정을 통해 상기 도전 패턴이 노출되도록 상기 제1 층간 절연막을 식각하는 단계를 포함하되, Etching the first interlayer insulating layer to expose the conductive pattern through a third etching process, 상기 제1 내지 제3 식각공정은 동일 챔버 내에서 인-시튜(in-situ) 공정으로 실시하는 반도체 소자의 메탈 콘택 형성방법.The first to third etching process is a metal contact forming method of a semiconductor device is performed in-situ process in the same chamber. 제 2 항에 있어서, The method of claim 2, 상기 캐패시터는 콘케이브형 또는 실린더형으로 형성하는 반도체 소자의 메탈 콘택 형성방법.The capacitor is a metal contact forming method of the semiconductor device to form a concave or cylindrical. 제 2 항에 있어서, The method of claim 2, 상기 제1 내지 제3 식각공정은 플라즈마 식각 공정으로 실시하는 반도체 소자의 메탈 콘택 형성방법.The first to third etching process is a metal contact forming method of a semiconductor device performed by a plasma etching process. 제 2 항에 있어서, The method of claim 2, 상기 보호막은 상기 상부전극과 식각 선택비를 갖도록 서로 다른 물질로 형성하는 반도체 소자의 메탈 콘택 형성방법. The protective layer is a metal contact forming method of a semiconductor device to form a different material to have an etching selectivity with the upper electrode. 제 5 항에 있어서, The method of claim 5, wherein 상기 보호막은 비정질 실리콘막으로 형성하는 반도체 소자의 메탈 콘택 형성방법.The protective film is a metal contact forming method of a semiconductor device formed of an amorphous silicon film. 제 6 항에 있어서, The method of claim 6, 상기 제1 및 제2 층간 절연막은 실리콘산화막으로 형성하는 반도체 소자의 메탈 콘택 형성방법.And the first and second interlayer insulating layers are formed of a silicon oxide layer. 제 7 항에 있어서, The method of claim 7, wherein 상기 식각 정지막은 실리콘질화막으로 형성하는 반도체 소자의 메탈 콘택 형성방법.The etch stop layer is a metal contact forming method of a semiconductor device formed of a silicon nitride film. 제 8 항에 있어서, The method of claim 8, 상기 제1 식각공정은 C4F6, C4F8 또는 이들의 혼합가스(C4F6/C4F8)를 사용하는 반도체 소자의 메탈 콘택 형성방법. The first etching process is C 4 F 6 , C 4 F 8 Or a metal contact forming method of a semiconductor device using a mixed gas (C 4 F 6 / C 4 F 8 ) thereof. 제 9 항에 있어서, The method of claim 9, 상기 제1 식각공정은 Ar, O2 또는 이 두 가스(Ar, O2)를 모두 첨가하여 실시하는 반도체 소자의 메탈 콘택 형성방법. The first etching process is a metal contact forming method of a semiconductor device performed by adding Ar, O 2 or both of these gases (Ar, O 2 ). 제 8 항에 있어서, The method of claim 8, 상기 제2 식각공정은 Cl2와 O2의 혼합가스 또는 CF4와 O2의 혼합가스를 사용하는 반도체 소자의 메탈 콘택 형성방법.The second etching process is a metal contact forming method of a semiconductor device using a mixed gas of Cl 2 and O 2 or a mixed gas of CF 4 and O 2 . 제 8 항에 있어서, The method of claim 8, 상기 제3 식각공정은 C4F8와 CH2F2의 혼합가스를 사용하는 반도체 소자의 메탈 콘택 형성방법.The third etching process is a metal contact forming method of a semiconductor device using a mixed gas of C 4 F 8 and CH 2 F 2 . 제 12 항에 있어서, The method of claim 12, 상기 제3 식각공정은 Ar, O2 또는 이 두 가스(Ar, O2)를 모두 첨가하여 실시하는 반도체 소자의 메탈 콘택 형성방법.The third etching process is a metal contact forming method of a semiconductor device performed by adding Ar, O 2 or both of these gases (Ar, O 2 ). 제 2 항에 있어서, The method of claim 2, 상기 도전 패턴은 최상부층에 형성된 질화막을 포함하는 반도체 소자의 메탈 콘택 형성방법.The conductive pattern is a metal contact forming method of a semiconductor device comprising a nitride film formed on the top layer.
KR1020070045561A 2007-05-10 2007-05-10 Method for forming a metal contact in semiconductor device KR20080099688A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020070045561A KR20080099688A (en) 2007-05-10 2007-05-10 Method for forming a metal contact in semiconductor device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020070045561A KR20080099688A (en) 2007-05-10 2007-05-10 Method for forming a metal contact in semiconductor device

Publications (1)

Publication Number Publication Date
KR20080099688A true KR20080099688A (en) 2008-11-13

Family

ID=40286623

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020070045561A KR20080099688A (en) 2007-05-10 2007-05-10 Method for forming a metal contact in semiconductor device

Country Status (1)

Country Link
KR (1) KR20080099688A (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101043408B1 (en) * 2010-03-26 2011-06-22 주식회사 하이닉스반도체 Method for fabricating semiconductor device

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101043408B1 (en) * 2010-03-26 2011-06-22 주식회사 하이닉스반도체 Method for fabricating semiconductor device

Similar Documents

Publication Publication Date Title
US10381373B2 (en) Three-dimensional memory device having a buried source line extending to scribe line and method of making thereof
KR20190037179A (en) Structure and method to expose memory cells with different sizes
TWI271799B (en) An innovation method to resolve arcing problem
US7196004B2 (en) Method and fabricating semiconductor device
US20060154460A1 (en) Self-aligned contact method
US7396772B2 (en) Method for fabricating semiconductor device having capacitor
US20080153276A1 (en) Method for Manufacturing Semiconductor Device
KR20150026781A (en) Flash memory structure and method of forming the same
US7119013B2 (en) Method for fabricating semiconductor device with fine patterns
US7989335B2 (en) Methods of forming insulation layer patterns and methods of manufacturing semiconductor devices including insulation layer patterns
KR20100138199A (en) Method for fabricating semiconductoer device
WO2004077438A2 (en) Process of forming a ferroelectric memory integrated circuit
KR101045092B1 (en) Method for fabricating semiconductor device
KR20080099688A (en) Method for forming a metal contact in semiconductor device
KR20010061785A (en) Method of fabricating semiconductor device for preventing interconnection line from being shorted to metal contact
KR100307968B1 (en) Method of forming interlevel dielectric layers of semiconductor device provided with plug-poly
US20230013984A1 (en) Three-dimensional memory device containing a capped isolation trench fill structure and methods of making the same
KR20090002616A (en) Method for manufacturing a semiconductor device
KR20100010812A (en) Method for fabricating semiconductor device and non-volatile random access memory
KR100416659B1 (en) Method for manufacturing capacitor of semiconductor device
KR20090074541A (en) Method for manufacturing nonvolatile memory device
KR100543459B1 (en) Method of forming a self-aligned contact
KR19980083674A (en) Microcontact and charge storage electrode formation method of semiconductor device
US20070072411A1 (en) Method for forming metal line in semiconductor device
KR100772680B1 (en) Method for fabricating semiconductor device

Legal Events

Date Code Title Description
WITN Application deemed withdrawn, e.g. because no request for examination was filed or no examination fee was paid