KR20060065016A - 포토 레지스트 제거방법 및 이를 이용한 반도체 소자의금속배선 형성방법 - Google Patents

포토 레지스트 제거방법 및 이를 이용한 반도체 소자의금속배선 형성방법 Download PDF

Info

Publication number
KR20060065016A
KR20060065016A KR1020040103720A KR20040103720A KR20060065016A KR 20060065016 A KR20060065016 A KR 20060065016A KR 1020040103720 A KR1020040103720 A KR 1020040103720A KR 20040103720 A KR20040103720 A KR 20040103720A KR 20060065016 A KR20060065016 A KR 20060065016A
Authority
KR
South Korea
Prior art keywords
photoresist
film
density plasma
interlayer insulating
high density
Prior art date
Application number
KR1020040103720A
Other languages
English (en)
Other versions
KR100603703B1 (ko
Inventor
류상욱
Original Assignee
매그나칩 반도체 유한회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 매그나칩 반도체 유한회사 filed Critical 매그나칩 반도체 유한회사
Priority to KR1020040103720A priority Critical patent/KR100603703B1/ko
Priority to US11/146,538 priority patent/US7309652B2/en
Publication of KR20060065016A publication Critical patent/KR20060065016A/ko
Application granted granted Critical
Publication of KR100603703B1 publication Critical patent/KR100603703B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/427Stripping or agents therefor using plasma means only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

본 발명은 포토 레지스트 스트립 공정시 하지층인 저유전막의 산화율을 감소시켜 소자 특성을 향상시킬 수 있는 포토 레지스트 제거방법 및 이를 이용한 반도체 소자의 금속배선 형성방법에 관한 것으로, 이를 위하여, 본 발명은 포토 레지스트를 마스크로 이용한 공정을 통해 형성된 하지층이 제공되는 단계; 고밀도 플라즈마 장비를 이용하여 상기 포토 레지스트를 제거하는 단계를 포함하는 포토 레지스트 제거방법을 제공함으로써, 포토 레지스트의 하부에 형성된 하지층 간의 산화 반응을 감소시키면서 효과적으로 포토 레지스트를 제거할 수 있다. 이를 통해, 금속배선 공정에서 저유전막으로 이루어진 하지층의 산화율을 최소화하여 반도체 소자의 특성을 향상시킬 수 있다.
반도체 소자, 포토 레지스트, 금속배선, 고밀도 플라즈마 장비, ICP, TCP, DPS

Description

포토 레지스트 제거방법 및 이를 이용한 반도체 소자의 금속배선 형성방법{METHOD FOR REMOVING PHOTORESIST AND METHOD FOR FORMING METAL LINE IN SEMICONDUCTOR DEVICE USING THE SAME}
도 1은 본 발명의 실시예1에 따른 포토 레지스트 제거 공정이 구현되는 고밀도 플라즈마 장비의 단면도.
도 2 및 도 3은 도 1에 도시된 웨이퍼를 확대하여 도시한 단면도.
도 4 내지 도 10은 본 발명의 실시예2에 따른 금속배선 형성공정을 도시한 단면도.
도 11 내지 도 19는 포토 레지스트 스트립 공정시 온도에 따른 OSG막의 산화율을 나타내는 TEM(Transmission Electron Microscopy) 및 SIMS(Secondary Ion Mass Spectroscopy) 도면.
도 20 내지 도 22는 포토 레지스트 패턴 스트립 공정시의 조건(파워 및 온도)에 따른 저항(Resistance)/캐패시턴스(Capacitance) 분포도를 나타낸 누적 그래프(Cumulative Graph).
〈도면의 주요 부분에 대한 부호의 설명〉
10 : 웨이퍼 11, 20 : 반도체 기판
12 : 하지층 13 : 포토 레지스트
21 : 게이트 절연막 22 : 폴리 실리콘막
23 : 게이트 전극 24 : 소오스/드레인 영역
25 : 제1 층간 절연막 26, 32 : 포토 레지스트 패턴
27 : 컨택홀 28 : 플러그
29 : 확산 방지막 30 : 제2 층간 절연막
31 : 반사 방지막 33 : 배선 패턴
100 : 챔버 101 : 상부전극
102 : 유도 코일 103 : 플레이트
104 : 척 105, 106 : RF 발생부
본 발명은 포토 레지스트 제거방법 및 이를 이용한 반도체 소자의 금속배선 형성방법에 관한 것으로, 특히, 포토 레지스트에 의해 식각되는 하지층이 저유전막으로 이루어진 반도체 소자에서의 포토 레지스트 제거방법 및 이를 이용한 반도체 소자의 금속배선 형성방법에 관한 것이다.
반도체 소자의 제조 공정에 있어서, 주요 공정 중 하나인 패터닝 (patterning) 공정은 일반적으로 카본(carbon)을 주성분으로 하는 포토 레지스트를 식각 마스크로 이용하여 건식식각 또는 습식식각 방식으로 진행한다. 식각공정 후 식각 마스크로 사용된 포토 레지스트는 후속 공정을 위해 스트립(strip) 공정을 통해 제거된다. 보통, 스트립 공정은 H2O2, H2SO4 등이 함유된 용액을 이용하여 실시하거나, O2, N2, H2 등의 가스를 이용하여 실시한다. 후자의 경우에는 포토 레지스트 내에 포함된 카본과 O2가 반응하여 휘발성 부산물(volatile byproduct)이 생성되고, 이를 통해 포토 레지스트가 제거되는 방식으로 이루어진다. 이 경우, 200℃ 이상의 온도를 가하여 O2 등이 카본 등과 반응할 때의 활성화 에너지(activation energy)를 낮추도록 하여 반응이 더 쉽게 일어나도록 하기도 한다.
상술한 패터닝 공정은 반도체 소자의 금속배선 공정에도 필수적으로 적용되고 있다. 금속배선 공정은 패터닝 공정을 통해 절연막을 패터닝한 후 식각된 부위에 금속물질을 채워 넣는 방식으로 진행된다. 최근, 금속배선 공정에서는 반도체 소자 중에서 고집적화와 고성능화가 요구되는 로직(logic) 소자, 예컨대 0.13㎛ 이하의 게이트 선폭을 갖는 로직 소자 등을 중심으로 RC(Resistance-Capacitance) 지연을 감소시키기 위하여 구리(copper)를 사용하는 다마신(damascene) 공정이 제안되어 사용되고 있다. 또한, 절연막으로는 저유전막(low-k dielectric)이 사용되고 있다.
저유전막으로는 SiO2 구조에 불소 또는 탄소가 국부적으로 결합되어 있는 FSG(Fluorinated Silicate Glass)막과 OSG(Organo Silicate Glass)막이 현재 범용으로 개발되어 사용되고 있다. FSG막은 포토 레지스트 스트립 공정시 200℃의 고온에서 O2 가스를 사용하는 경우에도 열적 안정성이 우수하다. 이에 반해, OSG막은 200℃ 이상의 고온에서 O2 가스를 사용하는 경우 열적 안정성이 취약하여 쉽게 산화되는 문제가 발생한다.
구체적으로, OSG막은 규소-산소-메탄(또는, 탄소)의 결합이 규소-산소의 결합에 부분적으로 존재 또는 공존하면서 유전상수를 2.2 대역까지 감소시키는 장점이 있다. 하지만, OSG막은 하기에서 설명되는 반응식 1과 같이 포토 레지스트 스트립 공정시 가해지는 O2에 의해 산화 반응이 쉽게 일어나 일반적인 실리콘 산화막으로 변질되어 유전상수가 상승하게 된다. 더 이상 저유전상수를 갖는 막이 되지 못하게 된다. 더욱이, 스트립 공정을 고온, 고압에서 실시하는 경우 OSG막 내의 탄소와 주입된 O2와의 결합을 위한 활성화 에너지가 감소되고, 이로 인하여 탄소와 결합되지 않는 O2 원자가 그 만큼 증가되어 산화 반응이 더 용이하게 일어나게 된다. 이러한 산화 반응에 의해 원래 OSG막이 가지고 있는 유전상수를 잃게 되어 유전상수가 증가하게 된다.
2SiCOH(SiOC) + 2O2 →2SiO2 + 3H2
이에 따라, 포토 레지스트 스트립 공정시 주입되는 O2 가스의 양을 감소시키 거나, O2 가스를 제외한 다른 가스(예컨대, 질소, 수소, 헬륨 등) 등을 이용하여 스트립 공정을 실시하는 방안이 제안되고 있다. 그러나, 이 경우에는 포토 레지스트가 제거되는 속도가 감소하는 한편, 잔류되는 유기 잔류물(organic residue) 등과 같은 불순물의 제거율이 감소하게 되어 반도체 소자의 신뢰성 저하를 초래하게 된다.
따라서, 본 발명은 상기한 종래기술의 문제점을 해결하기 위해 제안된 것으로서, 포토 레지스트 스트립 공정시 포토 레지스트의 하부에 위치된 저유전막의 산화율을 감소시켜 소자 특성을 향상시킬 수 있는 포토 레지스트 제거방법을 제공하는데 그 목적이 있다.
또한, 본 발명은 상기의 포토 레지스트 제거방법을 이용하여 RC 지연을 감소시킴으로써 소자의 신뢰성을 향상시킬 수 있는 금속배선 형성방법을 제공하는데 다른 목적이 있다.
상기한 목적을 달성하기 위한 일측면에 따른 본 발명은, 포토 레지스트를 마스크로 이용한 공정을 통해 형성된 하지층이 제공되는 단계와, 고밀도 플라즈마 장비를 이용하여 상기 포토 레지스트를 제거하는 단계를 포함하는 포토 레지스트 제 거방법을 제공한다.
또한, 상기한 목적을 달성하기 위한 일측면에 따른 본 발명은, 층간 절연막이 형성된 반도체 기판이 제공되는 단계와, 상기 층간 절연막 상에 포토 레지스트 패턴을 형성하는 단계와, 상기 포토 레지스트 패턴을 이용한 식각 공정을 실시하여 상기 층간 절연막 내에 배선 패턴을 형성하는 단계와, 상기한 포토 레지스트 제거공정을 통해 상기 포토 레지스트 패턴을 제거하는 단계와, 상기 배선 패턴이 매립되도록 금속배선을 형성하는 단계를 포함하는 반도체 소자의 금속배선 형성방법을 제공한다.
이하, 본 발명이 속하는 기술분야에서 통상의 지식을 가진 자가 본 발명의 기술적 사상을 용이하게 실시할 수 있을 정도로 상세히 설명하기 위하여, 본 발명의 가장 바람직한 실시예를 첨부한 도면을 참조하여 설명한다.
우선하여, 본 발명의 바람직한 실시예에 따른 포토 레지스트 제거방법은 고밀도 플라즈마(High Density Plasma, HDP) 건식식각 방식으로 구현된다. 고밀도 플라즈마 건식식각 방식은 이온화된 기체를 이용하여 식각하는 방식으로, 챔버 내에 식각 소오스 기체를 제공한 후 소오스 파워(source power)와 바이어스 파워(bias power)를 이용하여 이온화된 기체와 라디칼(radical)을 제어하여 웨이퍼의 표면에 흡착된 막을 제거하는 방식이다.
상술한 바와 같이, 본 실시예는 고밀도 플라즈마 건식식각 방식으로 구현되는데, 이를 위해 적어도 5 × 1013/㎤의 밀도를 갖는 고밀도 플라즈마(High Density Plasma, HDP) 장비가 사용된다. 고밀도 플라즈마로는, ECR(Electron Cyclotron Resonance) 플라즈마, 헬리콘(helical) 또는 휘슬러 파(whistler wave)를 이용하는 헬리콘 플라즈마, 헬리칼 공진기(helical resonator) 플라즈마 및 ICP(Inductively Coupled Plasma)가 있다. ICP의 범용 예로는 DPS(Decoupled Plasma Source), TCP(Transformer Coupled Plasma)가 있다.
도 1은 본 실시예가 구현되는 ICP 장비를 간략하게 도시한 단면도이다. 그러나, 본 발명은 ICP 장비에 한정되는 것은 아니며, 고밀도 플라즈마 장비는 모두 적용 가능하다.
도 1을 참조하면, ICP 장비는 플라즈마 챔버(100)를 포함한다. 챔버(100)의 상측에는 상부전극(101)이 배치된다. 상부전극(101)은 유도 코일(102)에 의해 구현된다. 유도 코일(102)은 RF 발생부(105)로부터 소오스 파워를 공급받는다. 챔버(100) 내부에는 기체를 챔버 내부로 공급하기 위한 플레이트(103)가 배치된다. 플레이트(103)는 다수의 홀이 천공되어 있어, 식각 소스 기체와 같은 기체성 소스 물질을 플레이트(103)와 웨이퍼(10) 사이의 RF 유도 플라즈마 영역으로 분배한다. 소스 기체는 챔버(100)의 양측벽 또는 상부에 구축된 포트를 통해 공급될 수 있다. 챔버(100)의 하측에는 웨이퍼(10)가 안착되는 척(chuck, 104)이 배치된다. 척(104)은 하부전극으로 기능하고, 이 척(104)은 RF 발생부(106)로부터 바이어스 파워를 제공받는다.
실시예1
실시예1은 상술한 ICP 장비를 이용하여 하지층(12)이 패터닝된 후 식각 마스 크로 사용된 포토 레지스트(13)를 제거하는 스트립 공정을 일례로 들어 설명하기로 한다. 여기서, 하지층(12)은 범용적으로 저유전막으로 널리 사용되는 OSG막으로 형성된 것으로 한다.
도 2와 같이 형성된 웨이퍼(10)를 챔버(100, 도 1참조) 내부로 로딩(loading)한다. 이때, 챔버(100) 내부의 압력은 1mmTorr 내지 1000mmTorr로 저압으로 유지되고, 온도는 -20℃ 내지 200℃로 저온으로 유지된다. 웨이퍼(10)가 척(104)에 안착된 상태에서 플레이트(103)를 통해 챔버(100) 내부로 식각가스를 공급한다. 이때, 식가가스는 O2, N2 및 H2 중 적어도 어느 하나가 포함된 가스이며, O2 가스는 10sccm 내지 300sccm의 유입량으로 챔버(100) 내부로 공급된다. 이런 상태에서, RF 발생부(105)를 통해 소오스 파워를 100W 내지 3000W로 공급하여 챔버(100)의 플라즈마 영역에 O2 플라즈마를 생성시킨다. 이때, RF 주파수는 1MHz 내지 10GHz가 되도록 한다. 이어서, RF 발생부(106)를 통해 척(104)으로 바이어스 파워를 0W 내지 1000W로 공급하여 O2 플라즈마 내부의 Ox(x는 1 내지 3) 이온을 웨이퍼(10)의 표면, 즉 포토 레지스트(13)에 충돌시킨다. 이때, RF 주파수는 1MHz 내지 5GHz가 되도록 한다. 이어서, 도 3에 도시된 바와 같이 포토 레지스트(13)가 모두 제거되면 퍼지(purge) 공정을 통해 불필요한 불요 가스를 모두 챔버(100) 외부로 배출시킨다.
한편, 실시예1에서 적용된 공정조건으로 스트립 공정을 진행하는 경우 하부층(12)인 OSG막의 산화 반응을 최소화하는 범위 내에서 포토 레지스트(13)를 안정 적으로 제거하는 것이 가능하였다. 한편, 실시예1을 응용하여 OSG막의 산화 반응에 가장 많은 영향을 미치는 파라메터(parameter)를 조사한 바 다음과 같은 결론을 얻을 수 있었다.
상기 실시예1에서 포토 레지스트(13) 스트립 공정시 사용되는 파라메터들 중 압력, 온도 및 상부전극(103)으로 가해지는 소오스 파워를 고정시키고, 척(104)으로 공급되는 바이어스 파워를 증가시키는 경우, 하지층(12)인 OSG막의 표면 산화가 증가되는 것을 알 수 있다. 반면, 다른 파라메터(parameter)의 조건을 고정시킨 후 온도 조건만을 증가시키는 경우 마찬 가지로 OSG막의 표면 산화가 증가되는 것을 알 수 있다.
이하에서는, 압력과 온도에 의한 OSG막의 산화 정도를 도 11 내지 도 19를 참조하여 설명하기로 한다.
도 11은 기준 압력 및 온도 조건에서의 OSG막 산화 정도를 도시한 TEM(Transmission Electron Microscopy) 분석결과와 SIMS(Secondary Ion Mass Spectroscopy) 분석결과이다.
도 12 및 도 13은 챔버 내부의 온도를 일정하게 유지시킨 상태에서 소오스 파워(RF 파워)와 바이어스 파워를 변화시켜 측정한 TEM 분석결과와 SIMS 분석결과로서, 도 12는 소오스 파워가 2000W이고, 바이어스 파워가 0W인 조건에서 측정한 분석결과들이고, 도 13은 소오스 파워가 2400W이고, 바이어스 파워가 300W인 조건에서 측정한 분석결과들이다.
도 12a에 도시된 바와 같이, 소오스 파워가 2000W이고, 바이어스 파워가 0W 인 조건에서는 OSG막이 산화되는 두께는 ~50Å으로 나타나는 것을 알 수 있고, 도 13a에 도시된 바와 같이, 소오스 파워가 2400W이고, 바이어스 파워가 300W인 조건에서는 OSG막이 산화되는 두께는 ~270Å으로 나타나는 것을 알 수 있다. 이러한 사항을 고려하여 볼 때, 파워가 증가할 수록 OSG막의 산화 정도는 그 만큼 증가하는 것을 알 수 있다.
도 14 내지 도 16은 챔버 내부의 바이어스 파워를 75W로 일정하게 유지시킨 상태에서 챔버 내부의 온도를 변화시켜 측정한 TEM 분석결과와 SIMS 분석결과로서, 도 14는 챔버 내부의 온도가 100℃인 조건에서 측정한 분석결과들이고, 도 15는 온도가 125℃인 조건에서 측정한 분석결과들이며, 도 16은 온도가 150℃인 조건에서 측정한 분석결과들이다.
도 14a에 도시된 바와 같이, 챔버 내부의 온도가 100℃인 조건에서 OSG막이 산화되는 두께는 ~30Å으로 나타나는 것을 알 수 있고, 도 15a에 도시된 바와 같이, 온도가 125℃인 조건에서 OSG막이 산화되는 두께는 ~80Å으로 나타나는 것을 알 수 있으며, 도 16a에 도시된 바와 같이, 온도가 150℃인 조건에서 OSG막이 산화되는 두께는 ~150Å으로 나타나는 것을 알 수 있다. 이러한 사항을 고려하여 볼 때, 챔버 내부의 온도가 증가할 수록 OSG막의 산화 정도는 그 만큼 증가하는 것을 알 수 있다.
도 17 내지 도 19는 챔버 내부의 바이어스 파워를 100W로 일정하게 유지시킨 상태에서 챔버 내부의 온도를 변화시켜 측정한 TEM 분석결과와 SIMS 분석결과로서, 도 17은 챔버 내부의 온도가 100℃인 조건에서 측정한 분석결과들이고, 도 18은 온 도가 125℃인 조건에서 측정한 분석결과들이며, 도 19는 온도가 150℃인 조건에서 측정한 분석결과들이다.
도 17a에 도시된 바와 같이, 챔버 내부의 온도가 100℃인 조건에서 OSG막이 산화되는 두께는 ~60Å으로 나타나는 것을 알 수 있고, 도 18a에 도시된 바와 같이, 온도가 125℃인 조건에서 OSG막이 산화되는 두께는 ~120Å으로 나타나는 것을 알 수 있으며, 도 19a에 도시된 바와 같이, 온도가 150℃인 조건에서 OSG막이 산화되는 두께는 ~170Å으로 나타나는 것을 알 수 있다. 이러한 사항을 고려하여 종합하여 볼 때, 챔버 내부의 온도와 바이어스 파워가 증가할 수록 OSG막의 산화 정도는 그 만큼 증가하는 것을 알 수 있다.
실시예2
실시예2는 상술한 실시예1을 통해 설명한 포토 레지스트 제거방법을 반도체 소자의 금속배선 형성방법에 적용한 일례이다. 실시예2를 도 4 내지 도 9를 참조하여 설명한다.
도 4를 참조하면, 전처리 세정공정에 의해 세정된 반도체 기판(20)이 제공된다. 상기 전처리 세정공정은 DHF(Diluted HF)로 세정한 후 SC-1(NH4OH/H2O2/H 2O)로 실시하거나, BOE(Buffer Oxide Etchant)로 세정한 후 SC-1로 순차적으로 실시할 수 있다.
이어서, 웰(well) 이온주입공정과 문턱전압 이온주입공정을 실시하여 반도체 기판(20)에 웰영역(미도시)과 문턱전압 이온주입영역(미도시)을 형성한다. 이때, 반도체 기판(20)의 손상을 방지하기 위하여 웰 이온주입공정과 문턱전압 이온주입공정을 실시하기 전(前) 반도체 기판(20) 상에 스크린 산화막(screen oxide)을 형성할 수도 있다.
이어서, 반도체 기판(20) 상에 게이트 절연막(21)을 형성한다. 이때, 게이트 절연막(21)은 습식산화(wet oxidation)공정 및 어닐(anneal)공정으로 형성할 수 있다.
이어서, 게이트 절연막(21) 상에 폴리 실리콘막(22)을 증착한다. 이때, 폴리 실리콘막(22)은 LPCVD(Low Pressure Chemical Vapor Deposition) 방식을 이용하여 SiH4 또는 Si2H6와 PH3 가스로 증착한다.
이어서, 포토 리소그래피(photolithography) 공정을 실시하여 폴리 실리콘막(22) 및 게이트 산화막(21)을 순차적으로 식각하여 게이트 전극(23)을 형성한다.
이어서, 게이트 전극(23)의 양측으로 노출되는 반도체 기판(20)에 소오스/드레인 이온주입공정을 실시한다. 이로써, 소오스/드레인 영역(24)이 형성된다. 여기서, 소오스/드레인 영역(24)은 저농도 접합영역과 고농도 접합영역으로 이루어질 수 있다.
이어서, 소오스/드레인 영역(24)과 게이트 전극(23)의 상에 금속 실리사이드층(미도시)을 형성할 수 있다. 이때, 실리사이드층은 코발트(Co) 또는 티타늄(Ti) 또는 니켈(Ni) 또는 이 들이 적층된 구조로 이루어진 금속층으로 형성할 수도 있다.
이어서, 도 5에 도시된 바와 같이 실리사이드층이 형성된 전체 구조 상부에 PMD(Poly-Metal Dielectric 또는 Pre-Metal Dielectric) 또는 ILD(Inter Layer Dielectric)(25)(이하, '제1 층간 절연막'이라 함)를 증착한다. 이때, 제1 층간 절연막(25)은 2000Å 내지 15000Å의 두께로 증착한다. 여기서, 제1 층간 절연막(25)은 소자의 RC 지연을 고려하여 유전상수가 1.8 내지 5.0 대역인 저유전막으로 형성하는 것이 바람직하다. 제1 층간 절연막(25)은 1.5 대역 내지 4.5 대역의 SiO 또는 SiO2 계열에 국부적으로 불소(F), 수소(H), 탄소(C), 메틸(CH3), 실리콘 또는 인(P) 등이 결합(substitutional) 또는 삽입(interstitial)된 막으로 형성한다. 예컨대, BPSG(Boron Phosphorus Silicate Glass)막, PSG(Phosphorus Silicate Glass)막, PETEOS(Plasma Enhanced Tetra Ethyle Ortho Silicate)막, USG(Un-doped Silicate Glass)막, FSG(Fluorinated Silicate Glass)막, CDO(Carbon Doped Oxide)막 또는 OSG막 등이 있다.
이어서, CMP(Chemical Mechanical Polishing) 공정을 실시하여 제1 층간 절연막(25)을 평탄화할 수 있다.
이어서, 도 6에 도시된 바와 같이, 컨택홀(contact hole, 27)을 형성하기 위하여 포토 레지스트를 도포한 후 포토 마스크를 이용한 노광 및 현상공정을 실시하여 포토 레지스트 패턴(26)을 형성한다.
이어서, 포토 레지스트 패턴(26)을 이용한 식각공정을 실시하여 제1 층간 절연막(25)을 식각한다. 이로써, 반도체 기판(20)의 액티브 영역, 즉 소오스/드레인 영역(24)이 노출되는 컨택홀(27)이 형성된다.
이어서, 도 7에 도시된 바와 같이, 컨택홀(27)이 형성된 후 식각 마스크로 사용된 포토 레지스트 패턴(26, 도 6참조)을 제거하기 위한 스트립 공정을 실시한다. 이때, 스트립 공정은 고밀도 플라즈마 장비를 이용하여 상술한 실시예1과 동일한 조건으로 실시할 수 있다. 한편, 실시예2에서는 Ox(x는 1 내지 3) 이온을 한 방향으로 끌어 당기기 위하여 전기장을 이용하는 대신에 자기장을 이용할 수 있는데, 이 경우, 자기장은 0 내지 200 가우스(Gauss)를 갖도록 하는 것이 바람직하다. 이로써, 스트립 효율을 증가시킬 수 있다.
이어서, 컨택홀(27)이 매립되도록 금속물질을 증착한 후 CMP 공정을 실시하여 플러그(plug, 28)를 형성한다. 이때, 플러그(28)는 알루미늄, 텅스텐, 구리 등으로 형성할 수 있다. 물론, 구리의 경우에는 구리원자의 확산을 방지하기 위하여 컨택홀(27)과 플러그(28) 간에는 Ti/TiN막으로 이루어진 베리어막이 개재될 수 있다.
이어서, 도 8에 도시된 바와 같이, 플러그(28)를 포함하는 전체 구조 상부에 확산 방지막(29)을 형성한다. 여기서, 확산 방지막(29)은 150Å 내지 1000Å의 두께로 증착한다. 또한, 확산 방지막(29)은 PVD(Physical Vapor Chemical Vapor Deposition), CVD(Chemical Vapor Deposition) 또는 ALD(Atomic Layer Depostion) 방식을 이용하여 SiC, SiN, SiON, Ta, TaN, TaC, TaAlN, TaSiN, TaSi2, Ti, TiN, TiSiN, WN, WBN, WC, Co 및 CoSi2 중 어느 하나로 형성하거나, 이 들이 적어도 2층 이상 적층된 구조로 형성한다. 한편, 확산 방지막(29)은 후속 금속배선 공정을 구리금속을 이용하여 듀얼 다마신 공정으로 진행하는 경우 구리 원자가 확산되는 것을 방지하는 기능을 수행한다.
이어서, 확산 방지막(29) 상에 절연막(30)(이하, '제2 층간 절연막'이라 함)을 증착한다. 여기서, 제2 층간 절연막(30)은 제1 층간 절연막(25)과 동일한 물질로 형성하거나, OSG막으로 형성한다. 이러한 제2 층간 절연막(30)은 1000Å 내지 3000Å의 두께로 증착된다.
이어서, CMP 공정을 실시하여 제2 층간 절연막(30)을 평탄화할 수도 있다.
이어서, 평탄화된 제2 층간 절연막(30) 상에 절연막(미도시)(이하, '제3 층간 절연막'이라 함)을 더 증착할 수도 있다. 여기서, 제3 층간 절연막은 제1 층간 절연막(25)과 동일한 물질로 형성한다. 이외에, 제3 층간 절연막은 TEOS(Tetra Ethyl Ortho Silicate)막으로 형성할 수 있다. 이러한 제3 층간 절연막(30)은 300Å 내지 2000Å의 두께로 증착된다.
이어서, CMP 공정을 실시하여 제3 층간 절연막을 평탄화할 수도 있다.
이어서, 제3 층간 절연막 상에 캡핑층(capping layer) 또는 반사 방지막(31)을 증착한다. 캡핑층은 SiON, SiC, SiN(Si3N4), SiOC, SiOCH, SiON 등으로 증착한다. 반사 방지막(31)은 유기(organic) 물질로 200Å 내지 1600Å의 두께로 증착한다.
이어서, 도 9에 도시된 바와 같이, 캡핑층 또는 반사 방지막(31) 상부에 포 토 레지스트를 도포한 후 포토 마스크를 이용한 노광 및 현상공정을 실시하여 포토레지스트 패턴(32)을 형성한다.
이어서, 포토 레지스트 패턴(32)을 식각 마스크로 이용한 식각공정을 실시하여 반사 방지막(31)(또는, 캡핑층), 제2 층간 절연막(30) 및 확산 방지막(29)을 순차적으로 식각하여 플러그(28)의 상부를 노출시키는 비아홀(via hole) 또는 트렌치(trench)(33)(이하, '배선 패턴'이라 함)를 형성한다. 상기 식각공정은 듀얼 다마신 공정으로 진행하는 것이 바람직하다. 여기서, 식각공정은 건식식각방식으로 CxHyFz(x,y,z는 0 또는 자연수)를 주식각가스로 이용하고, 이 주식각가스에 O2, N2, Ar, He 등을 첨가하여 실시한다. 한편, 확산 방지막(29)은 식각 정지층으로도 기능할 수 있으며, 이 경우 식각공정시 잔류되는데, 잔류되는 확산 방지막(29)은 후속 세정공정을 통해 제거된다.
이어서, 도 10에 도시된 바와 같이, 포토 레지스트 패턴(32, 도 9참조)은 스트립 공정을 통해 제거된다. 이때, 스트립 공정은 실시예1에서와 동일한 조건으로 실시할 수 있다.
이 외에, 스트립 공정은 고밀도 플라즈마 장비 대신에 별도의 스트립 장비를 이용하여 우선적으로 포토 레지스트 패턴을 제거한 후 포토 레지스트 패턴이 제거된 웨이퍼를 고밀도 플라즈마 장비 내부로 로딩시켜 포토 레지스트 패턴 제거공정시 생성되어 배선 패턴(33)의 내측벽에 들러 붙어 있는 잔유물을 고밀도 플라즈마 식각을 이용하여 제거할 수도 있다. 후자의 경우에도 저유전막인 제2 층간 절연막 (30)이 산화를 최소화하기 위하여 저압, 저온(200℃ 이하) 조건 내에서 실시하는 것이 바람직하다.
이어서, 반사 방지막(31, 도 9참조)을 제거한다. 보통은 포토 레지스트 패턴(32) 제거공정시 이 반사 방지막(31) 또한 제거되기 때문에 별도의 제거공정은 실시되지 않는다.
이어서, 배선 패턴(33)를 포함하는 전체 구조 상부면의 단차를 따라 베리어막(도시되지 않음)을 증착한다. 여기서, 베리어막은 PVD, CVD 또는 ALD 방식으로 SiC, SiON, Ta, TaN, TaC, TaAlN, TaSiN, TaSi2, Ti, TiN, TiSiN, WN, WBN, WC, Co 및 CoSi2 중 어느 하나로 형성하거나, 이 들이 적어도 2층 이상 적층된 구조로 형성한다. 바람직하게는 Ti막/TiN막 적층 구조로 형성한다. 예컨대, 구리배선의 경우에는 Ti막은 접착층(glue layer)으로 기능하고, TiN막은 구리원자의 확산을 방지하기 위한 확산 방지막으로 기능한다.
이어서, 배선 패턴(33)이 매립되도록 전체 구조 상부에 금속물질을 증착한다. 여기서, 금속물질은 Al, Pt(Platinum), Pd(Palladium), Ru(Rubidium), St(Strontium), Rh(Rhadium), Co 및 구리 중 어느 하나의 금속물질로 형성한다. 바람직하게는 구리로 형성한다.
이어서, CMP 공정 또는 SF6/Cl2/BCl3 등 주기율표상 할로겐족 원소가 포함된 식각가스를 주식각가스로 하고, 이 주식각가스에 O2, N2, Ar 또는 He 가스 등의 첨가가스를 이용한 에치백 공정으로 평탄화 공정을 실시하여 금속배선(도시되지 않 음)을 형성한다.
한편, 도 21 내지 도 23은 포토 레지스트 패턴 스트립 공정시의 조건(파워 및 온도)에 따른 저항(Resistance)/캐패시턴스(Capacitance) 분포도를 나타낸 누적 그래프들이다. 여기서, 도 21은 0.20㎛ 구리배선에서의 저항(Resistance) 분포를 도시한 누적 그래프이고, 도 22는 듀얼 다마신 공정을 통해 형성된 0.20/0.21㎛ 서퍼타인(serpentine) 패턴 구조의 Cu/OSG막에서의 캐패시턴스(Capacitance) 분포를 도시한 누적 그래프이며, 도 23은 듀얼 다마신 공정을 통해 형성된 0.20/0.21㎛ 서퍼타인 패턴 구조의 Cu/OSG막에서의 저항/캐패시턴스(Capacitance) 분포를 도시한 누적 그래프이다.
상기에서 설명한 본 발명의 기술적 사상은 바람직한 실시예들에서 구체적으로 기술되었으나, 상기한 실시예들은 설명을 위한 것이며, 식각 공정, 금속배선 공정 뿐만 아니라, 이온주입공정시 마스크로 사용되는 포토 레지스트 제거공정에 모두 적용할 수 있다. 더 나아가, 본 발명의 기술적 사상은 OSG막으로 형성된 층간 절연막에 대하여 듀얼 다마신 공정을 진행하는 경우, 발생되는 카본성 폴리머에 의해 식각정지 현상이 발생할 수 있는데, 이때 수평방향의 산화현상은 감소시키면서, 수직방향으로의 카본성 폴리머를 제거하여 식각정지 현상을 억제하기 위한 방법으로 응용될 수도 있다. 아울러, 본 발명은 본 발명의 기술 분야의 통상의 전문가라면 본 발명의 기술적 사상의 범위 내에서 다양한 실시예가 가능함을 이해할 수 있을 것이다.
이상에서 설명한 바와 같이, 본 발명에 의하면, 포토 레지스트 스트립 공정시 저온(200℃ 이하) 특성을 갖는 고밀도 플라즈마 장비를 이용하여 포토 레지스트를 제거함으로써 가스(O2, H2, N2 등)와 포토 레지스트의 하부에 형성된 하지층 간의 산화 반응을 감소시키면서 효과적으로 포토 레지스트를 제거할 수 있다. 이를 통해, 스트립 공정시 하지층의 산화율을 최소화하여 소자 특성을 향상시킬 수 있다.

Claims (18)

  1. 포토 레지스트를 마스크로 이용한 공정을 통해 형성된 하지층을 제공하는 단계; 및
    고밀도 플라즈마 장비를 이용하여 상기 포토 레지스트를 제거하는 단계;
    를 포함하는 포토 레지스트 제거방법.
  2. 제 1 항에 있어서,
    상기 고밀도 플라즈마 장비는 ICP 장비인 포토 레지스트 제거방법.
  3. 제 1 항에 있어서,
    상기 고밀도 플라즈마 장비의 챔버 내부는 -20℃ 내지 200℃의 온도로 유지되는 포토 레지스트 제거방법.
  4. 제 1 항에 있어서,
    상기 고밀도 플라즈마 장비는 적어도 5×1013/㎤의 밀도를 갖는 장비인 포토 레지스트 제거방법.
  5. 제 1 항에 있어서,
    상기 포토 레지스트 제거공정은 1mTorr 내지 1000mTorr의 압력에서 실시되는 포토 레지스트 제거방법.
  6. 제 1 항에 있어서,
    상기 포토 레지스트 제거공정은 200W 내지 3000W의 소오스 파워로 실시되는 포토 레지스트 제거방법.
  7. 제 1 항에 있어서,
    상기 포토 레지스트 제거공정시 바이어스 파워를 조절하여 상기 포토 레지스트의 제거율을 제어하는 포토 레지스트 제거방법.
  8. 제 7 항에 있어서,
    상기 바이어스 파워는 1W 내지 1000W인 포토 레지스트 제거방법.
  9. 제 1 항에 있어서,
    상기 포토 레지스트 제거공정시 O2, N2 및 H2 중 적어도 어느 하나가 포함된 가스를 이용하는 포토 레지스트 제거방법.
  10. 제 1 항에 있어서,
    상기 하지층은 1.5 내지 4.5 대역의 SiO 또는 SiO2 계열에 국부적으로 불소(F), 수소(H), 탄소(C), 메틸(CH3), 실리콘 또는 인(P)이 결합 또는 삽입되어 이루어진 저유전막인 포토 레지스트 제거방법.
  11. 제 1 항에 있어서,
    상기 고밀도 플라즈마 장비는 전기장 또는 자기장을 이용하여 챔버 내부에 발생된 플라즈마 이온을 한 방향으로 끌어 당겨 상기 포토 레지스트의 제거율을 증가시키는 포토 레지스트 제거방법.
  12. 제 11 항에 있어서,
    상기 자기장은 1 내지 200 가우스인 포토 레지스트 제거방법.
  13. 제 1 항에 있어서, 상기 포토 레지스트 제거단계는,
    상기 포토 레지스트를 제거하는 단계; 및
    상기 포토 레지스트가 제거된 기판을 상기 고밀도 플라즈마 장비로 로딩시킨 후 건식방식으로 고밀도 플라즈마 식각공정을 실시하여 상기 포토 레지스트 제거시 상기 하지층에 잔류되는 잔류물을 제거하는 단계;
    를 포함하는 포토 레지스트 제거방법.
  14. 제 1 항에 있어서,
    상기 하지층은 이온주입공정을 통해 불순물 이온이 주입된 층인 포토 레지스트 제거방법.
  15. 층간 절연막이 형성된 반도체 기판을 제공하는 단계;
    상기 층간 절연막 상에 포토 레지스트 패턴을 형성하는 단계;
    상기 포토 레지스트 패턴을 이용한 식각 공정을 실시하여 상기 층간 절연막 내에 배선 패턴을 형성하는 단계;
    제 1 항 내지 제 13 항 중 어느 하나의 항에 개시된 포토 레지스트 제거공정을 통해 상기 포토 레지스트 패턴을 제거하는 단계; 및
    상기 배선 패턴이 매립되도록 금속배선을 형성하는 단계;
    를 포함하는 금속배선 형성방법.
  16. 제 15 항에 있어서,
    상기 층간 절연막은 1.5 내지 4.5 대역의 SiO 또는 SiO2 계열에 국부적으로 불소(F), 수소(H), 탄소(C), 메틸(CH3), 실리콘 또는 인(P)이 결합 또는 삽입되어 이루어진 저유전막으로 이루어지거나, 상기 저유전막들 중 서로 다른 저유전막이 적어도 2층 이상 적층된 구조로 형성되는 금속배선 형성방법.
  17. 제 15 항에 있어서,
    상기 포토 레지스트 패턴을 형성하기 전에 상기 층간 절연막 상에 캡핑층을 형성하는 단계를 더 포함하는 금속배선 형성방법.
  18. 제 17 항에 있어서,
    상기 캡핑층은 SiO2, SiC, SiN(Si3N4), SiOC, SiOC, SiOCH 및 SiON막 중 어느 하나로 이루어진 금속배선 형성방법.
KR1020040103720A 2004-12-09 2004-12-09 포토 레지스트 제거방법 및 이를 이용한 반도체 소자의금속배선 형성방법 KR100603703B1 (ko)

Priority Applications (2)

Application Number Priority Date Filing Date Title
KR1020040103720A KR100603703B1 (ko) 2004-12-09 2004-12-09 포토 레지스트 제거방법 및 이를 이용한 반도체 소자의금속배선 형성방법
US11/146,538 US7309652B2 (en) 2004-12-09 2005-06-06 Method for removing photoresist layer and method for forming metal line in semiconductor device using the same

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020040103720A KR100603703B1 (ko) 2004-12-09 2004-12-09 포토 레지스트 제거방법 및 이를 이용한 반도체 소자의금속배선 형성방법

Publications (2)

Publication Number Publication Date
KR20060065016A true KR20060065016A (ko) 2006-06-14
KR100603703B1 KR100603703B1 (ko) 2006-07-20

Family

ID=36584559

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020040103720A KR100603703B1 (ko) 2004-12-09 2004-12-09 포토 레지스트 제거방법 및 이를 이용한 반도체 소자의금속배선 형성방법

Country Status (2)

Country Link
US (1) US7309652B2 (ko)
KR (1) KR100603703B1 (ko)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7659206B2 (en) * 2005-01-18 2010-02-09 Applied Materials, Inc. Removal of silicon oxycarbide from substrates
US7208325B2 (en) * 2005-01-18 2007-04-24 Applied Materials, Inc. Refreshing wafers having low-k dielectric materials
US8083963B2 (en) 2007-02-08 2011-12-27 Applied Materials, Inc. Removal of process residues on the backside of a substrate
US10038063B2 (en) 2014-06-10 2018-07-31 International Business Machines Corporation Tunable breakdown voltage RF FET devices

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5747116A (en) * 1994-11-08 1998-05-05 Micron Technology, Inc. Method of forming an electrical contact to a silicon substrate
KR100226793B1 (ko) * 1996-09-13 1999-10-15 김영환 반도체 소자의 제조방법
KR100230397B1 (ko) * 1996-12-20 1999-11-15 윤종용 플라즈마 드라이 클리닝방법
KR19980054502A (ko) * 1996-12-27 1998-09-25 김영환 포토레지스트 패턴 제거방법
US6930034B2 (en) * 2002-12-27 2005-08-16 International Business Machines Corporation Robust ultra-low k interconnect structures using bridge-then-metallization fabrication sequence
US6869542B2 (en) * 2003-03-12 2005-03-22 International Business Machines Corporation Hard mask integrated etch process for patterning of silicon oxide and other dielectric materials
US7012027B2 (en) * 2004-01-27 2006-03-14 Taiwan Semiconductor Manufacturing Company, Ltd. Zirconium oxide and hafnium oxide etching using halogen containing chemicals

Also Published As

Publication number Publication date
US20060128151A1 (en) 2006-06-15
US7309652B2 (en) 2007-12-18
KR100603703B1 (ko) 2006-07-20

Similar Documents

Publication Publication Date Title
KR102033707B1 (ko) 수증기 처리를 이용하여 기판으로부터 재료 층을 제거하는 방법들
US6821884B2 (en) Method of fabricating a semiconductor device
US7045455B2 (en) Via electromigration improvement by changing the via bottom geometric profile
KR20010079765A (ko) 구리 이중 상감구조에 사용되는 인-시튜 집적 산화물 에칭방법
US11315830B2 (en) Metallic interconnect structures with wrap around capping layers
JP2002141348A (ja) 成膜方法、半導体装置の製造方法、および成膜装置
CN107564888B (zh) 互连结构及其制造方法
TWI321346B (en) Method of forming metal line in semiconductor device
TW200534389A (en) Method for fabricating semiconductor device capable of preventing damage by wet cleaning process
KR100612593B1 (ko) 무반사 코팅 및 그의 제조 방법
CN108321083B (zh) 半导体结构及其形成方法
KR20030027453A (ko) 비아 콘택 식각 후의 감광막 제거 및 건식 세정 방법
JP2022519703A (ja) 能動的なプロセスにわたるゲートコンタクト
US20200135552A1 (en) High breakdown voltage inter-metal dielectric layer
US7732304B2 (en) Method of manufacturing semiconductor device
US20050140012A1 (en) Method for forming copper wiring of semiconductor device
US6881661B2 (en) Manufacturing method of semiconductor device
US7485574B2 (en) Methods of forming a metal line in a semiconductor device
US7309652B2 (en) Method for removing photoresist layer and method for forming metal line in semiconductor device using the same
JP2005005697A (ja) 半導体装置の製造方法
KR100571402B1 (ko) 텅스텐 플러그 상에 형성된 구리 배선층을 포함하는반도체 소자의 제조 방법
KR101103550B1 (ko) 반도체 소자의 금속배선 형성방법
KR100564119B1 (ko) 반도체 소자의 금속배선 형성 방법
JP2009117673A (ja) 半導体装置およびその製造方法
KR101138082B1 (ko) 반도체 소자의 듀얼 다마신 패턴 형성방법

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20130620

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20140618

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20150617

Year of fee payment: 10

FPAY Annual fee payment

Payment date: 20160620

Year of fee payment: 11

FPAY Annual fee payment

Payment date: 20170626

Year of fee payment: 12

FPAY Annual fee payment

Payment date: 20180618

Year of fee payment: 13

FPAY Annual fee payment

Payment date: 20190619

Year of fee payment: 14