KR20060052683A - Method and apparatus for generating a precursor for a semiconductor processing system - Google Patents

Method and apparatus for generating a precursor for a semiconductor processing system Download PDF

Info

Publication number
KR20060052683A
KR20060052683A KR1020057022469A KR20057022469A KR20060052683A KR 20060052683 A KR20060052683 A KR 20060052683A KR 1020057022469 A KR1020057022469 A KR 1020057022469A KR 20057022469 A KR20057022469 A KR 20057022469A KR 20060052683 A KR20060052683 A KR 20060052683A
Authority
KR
South Korea
Prior art keywords
canister
tantalum
precursor
gas
upper region
Prior art date
Application number
KR1020057022469A
Other languages
Korean (ko)
Other versions
KR101104058B1 (en
Inventor
링 첸
빈센트 더블유. 쿠
후아 츙
크리스토프 마카달
세샤드리 간구리
제니 린
디엔-예 우
알랜 오우예
메이 창
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US10/447,255 external-priority patent/US6905541B2/en
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20060052683A publication Critical patent/KR20060052683A/en
Application granted granted Critical
Publication of KR101104058B1 publication Critical patent/KR101104058B1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/18Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metallo-organic compounds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/4481Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by evaporation using carrier gas in contact with the source material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/4487Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by using a condenser
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition

Abstract

Embodiments of the present invention are directed to an apparatus for generating a precursor for a semiconductor processing system (320). The apparatus includes a canister (300) having a sidewall (402), a top portion and a bottom portion. The canister (300) defines an interior volume (438) having an upper region (418) and a lower region (434). In one embodiment, the apparatus further includes a heater (430) partially surrounding the canister (300). The heater (430) creates a temperature gradient between the upper region (418) and the lower region (434). Also claimed is a method of forming a barrier layer from purified pentakis (dimethylamido) tantalum, for example a tantalum nitride barrier layer by atomic layer deposition.

Description

반도체 처리 장치를 위한 프리커서를 생성하는 방법 및 장치 {METHOD AND APPARATUS FOR GENERATING A PRECURSOR FOR A SEMICONDUCTOR PROCESSING SYSTEM}Method and apparatus for generating a precursor for a semiconductor processing apparatus {METHOD AND APPARATUS FOR GENERATING A PRECURSOR FOR A SEMICONDUCTOR PROCESSING SYSTEM}

본 발명은 일반적으로 반도체 기판 상에 배리어 층을 증착하는 것에 관한 것이다.The present invention generally relates to depositing a barrier layer on a semiconductor substrate.

초미세 및 그보다 더 작은 피처들을 신뢰할만하게 생성하는 것은 반도체 디바이스들의 차세대 초대규모 집적회로(VLSI) 및 초고밀도 집적회로(ULSI)를 위한 핵심 기술들 중 하나이다. 그러나, 회로 기술 지식이 위축됨에 따라, VLSI 및 ULSI에서의 상호 연결부들의 감소하는 치수들로 인해 처리 용량에 있어 추가의 요구사항이 발생하여 왔다. 이러한 기술의 핵심에 놓여있는 다중레벨 상호 연결부는 비아 및 다른 상호 연결부와 같은 높은 종횡비 피처들의 정확한 처리를 필요로 한다. 이러한 상호연결부들의 신뢰할만한 형성은 VLSI 및 ULSI의 성공, 및 개별 기판들의 회로 밀도 및 품질을 증가시키는 끊임없는 노력에 있어 매우 중요하다.Reliable creation of ultrafine and smaller features is one of the key technologies for the next generation of ultra-large scale integrated circuits (VLSI) and ultra high density integrated circuits (ULSI) in semiconductor devices. However, as circuit technical knowledge shrinks, additional requirements have arisen in the processing capacity due to the decreasing dimensions of the interconnects in the VLSI and ULSI. The multilevel interconnects at the heart of this technology require accurate handling of high aspect ratio features such as vias and other interconnects. Reliable formation of such interconnects is critical to the success of VLSI and ULSI and the constant effort to increase the circuit density and quality of individual substrates.

회로 밀도가 증가함에 따라, 비어들, 접촉부들 및 다른 피처들의 너비들 뿐만 아니라 그것들 사이의 유전 물질들은 초미세의 치수들(약 0.20마이크로미터 또는 그 이하보다 작은 치수들)로 감소하는 반면, 유전체 층들의 두께는 실질적으로 일정하게 유지되므로, 피처들의 종횡비들, 즉 피처들의 높이/너비는 증가한다. 다 수의 종래 증착 프로세스들은 초미세의 구조물들을 충전하는데 어려움을 가지고 있고, 상기 구조물의 종횡비는 4:1을 초과하고 특정하게는 종횡비가 10:1을 초과한다. 그러므로, 높은 종횡비들 가지면서 실질적으로 보이드가 없고 이음새가 없는 형성법에 대한 상당한 노력 및 연구가 진행중이다.As the circuit density increases, the dielectric materials between them as well as the widths of the vias, contacts and other features decrease to ultrafine dimensions (dimensions smaller than about 0.20 micrometers or less), while the dielectric Since the thickness of the layers remains substantially constant, the aspect ratios of the features, ie the height / width of the features, increase. Many conventional deposition processes have difficulty filling ultrafine structures, the aspect ratio of which exceeds 4: 1 and specifically the aspect ratio exceeds 10: 1. Therefore, considerable efforts and research are underway on the formation of voids and seamless formation with high aspect ratios.

현재, 구리 및 구리 합금들은 초미세 상호 연결 기술에 대한 금속들로 선택되어 왔는데, 그 이유는 알루미늄보다 더 낮은 저항성을 가지며(알루미늄의 3.1μΩㆍ㎝와 비교하여 1.7μΩㆍ㎝), 높은 전류 전달 용량 및 상당히 더 높은 전자이동 저항성을 갖기 때문이다. 이러한 특성들은 높은 집적 레벨에서 나타나는 높은 전류 밀도, 및 증가된 디바이스 속도를 지원하기에 매우 중요하다. 더욱이, 구리는 열 전도성을 가지고, 고 순수 상태에서도 이용가능하다.Currently, copper and copper alloys have been selected as metals for ultra-fine interconnect technologies because they have lower resistivity than aluminum (1.7 μΩ · cm compared to 3.1 μΩ · cm of aluminum), and high current transfer This is because it has a capacity and a significantly higher electromigration resistance. These properties are very important to support the high current density and increased device speed seen at high integration levels. Moreover, copper has thermal conductivity and is available even in high purity conditions.

구리 금속화는 다양한 기술들에 의해 달성될 수 있다. 전형적 방법은 일반적으로 피처에 대해 배리어 층을 물리적 기상 증착시키는 단계, 상기 배리어 층에 대해 구리 시드층을 물리적 기상 증착시키는 단계, 및 피처를 충전시키기 위해 상기 구리 시드층에 대해 구리 전도 물질층을 전기도금시키는 단계를 포함한다. 마지막으로, 증착된 층들 및 유전체 층들은 전도성 상호연결 피처들을 형성하기 위해 화학적 기계 폴리싱(CMP: chemical mechanical polishing)등에 의해 평탄화된다.Copper metallization can be accomplished by various techniques. Typical methods generally include physical vapor deposition of a barrier layer to a feature, physical vapor deposition of a copper seed layer to the barrier layer, and electrical transfer of a layer of copper conductive material to the copper seed layer to fill the feature. Plating. Finally, the deposited layers and dielectric layers are planarized by chemical mechanical polishing (CMP) or the like to form conductive interconnect features.

그러나, 구리의 사용에 있어 한가지 문제점은, 구리는 실리콘, 실리콘 다이옥사이드, 및 디바이스들의 집적도를 떨어뜨릴 수 있는 다른 유전체 물질들로 확산한다는 점이다. 그러므로, 같은꼴의 배리어 층들은 구리 확산 방지에 있어 상당히 중요하게 되었다. 탄탈 질화물은, 구리가 그 하부에 놓인 층들로 확산되는 걸 방 지하기 위한 배리어 물질로써 이용되어 왔다. 그러나, 펜타키스(디메틸아미도) 탄탈(PDMAT;Ta[NH2(CH3)2]5)과 같이, 배리어 층 증착시 이용되는 화학물질은 불순물을 포함할 수 있는데, 그러한 불순물로 인해 반도체 디바이스들의 제조시 결함이 유발될 수 있고 프로세스 수율을 감소시킬 수 있다. 그러므로, 고-순도 프리커서로부터 배리어 층을 증착시키는 방법이 필요하다.However, one problem with the use of copper is that copper diffuses into silicon, silicon dioxide, and other dielectric materials that can degrade the density of devices. Therefore, barrier layers of the same shape have become extremely important in preventing copper diffusion. Tantalum nitride has been used as a barrier material to prevent copper from diffusing into the underlying layers. However, chemicals used in depositing barrier layers, such as pentakis (dimethylamido) tantalum (PDMAT; Ta [NH 2 (CH 3 ) 2 ] 5 ), may contain impurities, which may cause semiconductor devices to Defects can be caused in the manufacture of these and the process yield can be reduced. Therefore, a need exists for a method of depositing a barrier layer from a high-purity precursor.

본 발명의 실시예들은 반도체 처리 시스템을 위한 프리커서를 생성하기 위한 방치에 관한 것이다. 그러한 장치는 측벽, 상부 및 하부를 갖는 캐니스터를 포함한다. 상기 캐니스터는 상부 영역과 하우 영역을 갖는 내부 볼륨을 한정한다. 상기 장치는 상기 캐니스터를 둘러싸는 가열기를 더 포함한다. 상기 가열기는 상기 상부 영역과 상기 하부 영역 사이에 온도 기울기를 생성한다.Embodiments of the invention relate to neglect to create a precursor for a semiconductor processing system. Such a device includes a canister having side walls, top and bottom. The canister defines an internal volume having an upper region and a lower region. The apparatus further includes a heater surrounding the canister. The heater creates a temperature gradient between the upper region and the lower region.

본 발명의 언급된 특성들이 유지되고 더욱 이해되는 방식으로, 위에서 요약된 본 발명에 대한 상세한 설명은 첨부된 도면들에서 도시되는 본 발명의 실시예들을 참조하여 더욱 상세해질 것이다. 그러나, 첨부된 도면들은 단지 본 발명의 전형적 실시예들일 뿐이므로, 본 발명을 제한하는 것으로 간주되서는 안되며, 다른 동일한 효과를 갖는 실시예들이 가능함을 이해해야 할 것이다.In the manner in which the mentioned features of the invention are retained and better understood, the detailed description of the invention summarized above will be further detailed with reference to the embodiments of the invention shown in the accompanying drawings. However, it is to be understood that the accompanying drawings are merely exemplary embodiments of the present invention, and therefore should not be regarded as limiting the present invention, and that embodiments having other equal effects are possible.

도 1은 원자층 증착(ALD)에 의해 기판에 대해 형성되는 배리어 층의 일실시예의 개략적 횡단면도.1 is a schematic cross-sectional view of one embodiment of a barrier layer formed on a substrate by atomic layer deposition (ALD).

도 2A 내지 도 2C는 기판의 예시적 부분 상에 탄탈 함유 화합물과 질소 함유 화합물의 단층들을 교대로 화학흡착시키는 일실시예를 도시한 도면들.2A-2C illustrate an embodiment of alternating chemisorption of monolayers of tantalum containing compound and nitrogen containing compound on an exemplary portion of a substrate.

도 3은 원자층 증착에 의해 하나 또는 그 이상의 배리어층들을 형성하는데 이용될 수 있는 처리 시스템의 예시적 일실시예의 개략적 횡단면도.3 is a schematic cross-sectional view of one exemplary embodiment of a processing system that may be used to form one or more barrier layers by atomic layer deposition.

도 4A는 가스 생성 캐니스터의 일실시예의 섹션 측면도.4A is a section side view of one embodiment of a gas generating canister;

도 4B는 도 4A의 가스 생성 캐니스터의 섹션 상부도.4B is a section top view of the gas generating canister of FIG. 4A.

도 5는 가스 생성 캐니스터의 다른 실시예의 섹션도.5 is a section view of another embodiment of a gas generating canister.

도 6은 가스 생성 캐니스터의 다른 실시예의 섹션 측면도.6 is a section side view of another embodiment of a gas generating canister.

도 7은 본 발명의 일실시예에 따른 캐니스터 가열기에 의해 둘러싸인 캐니스터의 섹션도.7 is a section view of a canister surrounded by a canister heater in accordance with one embodiment of the present invention.

도 8은 본 발명의 일실시예에 따른 다수의 고형 입자들을 포함하는 캐니스터의 섹션도.8 is a section view of a canister including a plurality of solid particles in accordance with one embodiment of the present invention.

도 9는 본 발명의 일실시예에 따른 캐니스터의 하부 부분에서 상부 영역으로 연장하는 다수의 사일로들의 횡단면도.9 is a cross-sectional view of a number of silos extending from the lower portion of the canister to the upper region in accordance with one embodiment of the present invention.

도 10은 본 발명의 일실시예에 따른 캐니스터의 하부 부분에서 상부 영역으로 연장하는 다수의 사일로들의 상부도.10 is a top view of a number of silos extending from the lower portion of the canister to the upper region in accordance with one embodiment of the present invention.

도 1은 유전체 층(102) 및 그 위에 증착되는 배리어 층(104)을 갖는 기판의 일실시예의 개략적 횡단면도이다. 처리 단계에 따라, 기판(100)은 실리콘 반도체 기판, 또는 기판 상에 형성되어온 다른 물질 층일 수 있다. 유전체 층(102)은 옥 사이드, 실리콘 옥사이드, 카본-실리콘-옥사이드, 플루오루-실리콘, 다공성 유전체, 또는 기판(100)의 노출된 표면 부분(102T)으로 연장하는 접촉 홀 또는 비아(102H)를 제공하도록 형성되고 패턴화된 다른 적합한 유전체일 수 있다. 명료화를 위해, 기판(100)은 막 처리가 수행되는 임의의 워크 피스로 언급되고, 기판 구조물(150)은 기판 뿐만 아니라 유전체 층(102)과 같이 기판(100) 상에 형성된 임의의 물질 층들을 나타내는데 이용된다. 본 발명은 이중 다마스크 프로세스 처리에서 이용될 수도 있다는 것을 당업자라면 이해될 것이다. 배리어층(104)이 원자층 증착(ALD)에 의해 도 1A의 기판 구조물(150)에 대해 형성된다. 배리어 층은 질화 탄탈 층을 포함하는 것이 바람직하다.1 is a schematic cross-sectional view of one embodiment of a substrate having a dielectric layer 102 and a barrier layer 104 deposited thereon. Depending on the processing step, the substrate 100 may be a silicon semiconductor substrate, or another layer of material that has been formed on the substrate. Dielectric layer 102 may include contact holes or vias 102H extending to an oxide side, silicon oxide, carbon-silicon-oxide, fluoro-silicon, porous dielectric, or exposed surface portion 102T of substrate 100. It may be another suitable dielectric formed and patterned to provide. For clarity, the substrate 100 is referred to as any workpiece on which film processing is performed, and the substrate structure 150 is formed of any material layers formed on the substrate 100, such as the dielectric layer 102 as well as the substrate. Used to indicate. It will be understood by those skilled in the art that the present invention may be used in dual damask process processing. Barrier layer 104 is formed against substrate structure 150 of FIG. 1A by atomic layer deposition (ALD). The barrier layer preferably comprises a tantalum nitride layer.

일실시예에서, 질화 탄탈 배리어층의 원자층 증착은 탄탈 함유 화합물과 질소 함유 화합물을 순차적으로 처리 챔버에 제공하는 단계를 포함한다. 탄탈 함유 화합물과 질소 함유 화합물을 순차적으로 제공함으로써, 탄탈 함유 화합물의 단층들 및 질소 함유 화합물의 단일 층이 기판 구조물(150) 상에 교대로 화학흡착된다.In one embodiment, atomic layer deposition of the tantalum nitride barrier layer includes sequentially providing the tantalum containing compound and the nitrogen containing compound to the processing chamber. By sequentially providing the tantalum containing compound and the nitrogen containing compound, monolayers of the tantalum containing compound and a single layer of the nitrogen containing compound are alternately chemisorbed onto the substrate structure 150.

도 2A 내지 도 2C는 집적 회로 제조 단계, 더욱 상세하게는 배리어 층 형성 단계에서, 기판(200)의 예시적 부분 상에 탄탈 함유 화합물과 질소 함유 화합물의 단층들을 교대로 화학흡착하는 것을 도시한다. 도 2A에서, 탄탈 함유 화합물의 단층이 탄탈 함유 화합물(205)의 펄스를 처리 챔버로 유입시킴으로서 기판(200) 상에 화학흡착된다.2A-2C illustrate alternate chemoadsorption of monolayers of tantalum containing compound and nitrogen containing compound on an exemplary portion of substrate 200 in an integrated circuit fabrication step, more particularly barrier layer forming step. In FIG. 2A, a monolayer of tantalum containing compound is chemisorbed onto the substrate 200 by introducing a pulse of tantalum containing compound 205 into the processing chamber.

탄탈 함유 화합물(205)은 하나 또는 그 이상의 반응성 종들(215)을 갖는 탄탈 원자들(210)을 포함하는 것이 일반적이다. 일실시예에서, 탄탈 함유 화합물은 펜타디메틸라미노-탄탈(PDMAT;Ta(NMe2)5)이다. PDMAT는 다수의 이유에 있어 장점을 갖는다. PDMAT는 상대적으로 안정적이다. 게다가, PDMAT는 전달하기 쉽게 하여 주는 적합한 증기압을 갖는다. 특히, PDMAT는 낮은 할라이드 함유량으로 생성될 수 있다. PDMAT의 할라이드 함유량은 100ppm 이하의 할라이드 함유량으로 생성되어야 한다. 이론상의 한계에 반하여, 낮은 할라이드 함유량을 갖는 유기질소 프리커서가 유익한데, 왜냐하면 배리어층 내에 통합된 염소와 같은 할라이드들은 그 위에 증착된 구리층을 침범할 수 있다.Tantalum containing compound 205 typically includes tantalum atoms 210 having one or more reactive species 215. In one embodiment, the tantalum containing compound is pentadimethylamino-tantalum (PDMAT; Ta (NMe 2 ) 5 ). PDMAT has advantages for many reasons. PDMAT is relatively stable. In addition, the PDMAT has a suitable vapor pressure that facilitates delivery. In particular, PDMAT can be produced with low halide content. The halide content of the PDMAT should be produced with a halide content of 100 ppm or less. Contrary to theoretical limitations, organic nitrogen precursors with low halide content are beneficial because halides such as chlorine integrated in the barrier layer can invade the copper layer deposited thereon.

생성 동안에 PDMAT의 열 분해는 이후에 질화 탄탈 배리어 층을 형성하는데 이용되는 PDMAT 제품 내에 불순물을 유발시킬 수 있다. 이러한 불순물들은 CH3NTa(N(CH3)2)3 및 ((CH3)2N)3Ta(NCH2CH3)와 같은 화합물들을 포함할 수 있다. 또한, 수분과의 반응성으로 인해, PDMAT 제품 내에 탄탈 옥소 아미드 화합물들이 존재하게 된다. 바람직하게, 탄탈 옥소 아미드 화합물들은 승화에 의해 PDMAT으로부터 제거된다. 예를 들어, 탄탈 옥소 아미드 화합물들은 버블러에서 제거된다. PDMAT 제품은 약 5ppm 이하의 염소를 갖는 것이 바람직하다. 또한, 리튬, 철, 플루오르, 브롬 및 요오드의 레벨들은 최소화되어야 한다. 불순물들의 총 레벨은 약 5ppm 이하인 것이 가장 바람직하다.Thermal decomposition of the PDMAT during production can lead to impurities in the PDMAT article which are then used to form the tantalum nitride barrier layer. Such impurities may include compounds such as CH 3 NTa (N (CH 3 ) 2 ) 3 and ((CH 3 ) 2 N) 3 Ta (NCH 2 CH 3 ). In addition, due to its reactivity with water, tantalum oxoamide compounds are present in PDMAT products. Preferably, tantalum oxo amide compounds are removed from the PDMAT by sublimation. For example, tantalum oxo amide compounds are removed in a bubbler. PDMAT products preferably have less than about 5 ppm chlorine. In addition, the levels of lithium, iron, fluorine, bromine and iodine should be minimized. Most preferably, the total level of impurities is about 5 ppm or less.

탄탈 함유 화합물은 가스로써 제공될 수 있거나, 캐리어 가스의 목적으로 제공될 수도 있다. 사용될 수 있는 캐리어 가스들의 예들은 헬륨(He), 아르곤(Ar), 질소(N2), 및 수소(H2)를 포함하지만 그것들로 제한되지는 않는다.The tantalum containing compound may be provided as a gas or may be provided for the purpose of a carrier gas. Examples of carrier gases that can be used include, but are not limited to, helium (He), argon (Ar), nitrogen (N 2 ), and hydrogen (H 2 ).

탄탈 함유 화합물의 단층들이 기판(200) 상으로 화학흡착된 이후에, 초과의 탄탈 함유 화합물은 퍼지 가스를 처리 챔버에 주입시킴으로서 처리 챔버로부터 제거된다. 이용될 수 있는 퍼지 가스들의 예들은 헬륨(He), 아르곤(Ar), 질소(N2), 및 수소(H2) 및 다른 가스들을 포함하지만 그것들로 제한되지는 않는다. After monolayers of tantalum containing compound are chemisorbed onto the substrate 200, excess tantalum containing compound is removed from the processing chamber by injecting purge gas into the processing chamber. Examples of purge gases that can be used include, but are not limited to, helium (He), argon (Ar), nitrogen (N 2 ), and hydrogen (H 2 ) and other gases.

도 2B를 다시 참조하면, 처리 챔버가 퍼지된 이후에, 질소 함유 화합물(225)의 펄스가 처리 챔버로 유입된다. 질소 함유 화합물(225) 단독으로 제공될 수 있거나, 캐리어 가스의 목적으로 제공될 수 있다. 질소 함유 화합물(225)은 하나 또는 그 이상의 반응성 종들(235)을 갖는 질소 원자들(230)을 포함할 수 있다. 질소 함유 화합물은 암모니아 가스(NH3)를 포함하는 것이 바람직하다. 다른 질소 함유 화합물들이 이용될 수 있고, NXHY(X 및 Y는 정수이고, 예를 들어 히드라진(N2H4)), 디메틸 히드라진((CH3)2N2H2), t-부틸히드라진(C4H9N2H3), 페닐히드라진(C6H5N2H3), 다른 히드라진 유도체들, 질소 프라즈마 소스(예를 들어, N2, N2/H2, NH3 또는 N2H4 플라즈마), 2,2'-아조이소부탄((CH3)6C2N2), 에틸라지드(C2H5N3), 및 다른 적합한 가스들을 포함할 수 있으나 그것들로 제한되지는 않는다. 캐리어 가스는 필요시 질소 함유 화합물을 전달하는데 이용될 수 있다.Referring again to FIG. 2B, after the processing chamber is purged, a pulse of nitrogen containing compound 225 is introduced into the processing chamber. Nitrogen containing compound 225 may be provided alone or may be provided for the purpose of a carrier gas. Nitrogen containing compound 225 may include nitrogen atoms 230 having one or more reactive species 235. The nitrogen-containing compound preferably contains ammonia gas (NH 3 ). Other nitrogen containing compounds may be used, where N X H Y (X and Y are integers, for example hydrazine (N 2 H 4 )), dimethyl hydrazine ((CH 3 ) 2 N 2 H 2 ), t-butylhydrazine ( C 4 H 9 N 2 H 3 ), phenylhydrazine (C 6 H 5 N 2 H 3 ), other hydrazine derivatives, nitrogen plasma sources (eg N 2 , N 2 / H 2 , NH 3 or N 2 H 4 plasma), 2,2'-azoisobutane ((CH 3 ) 6 C 2 N 2 ), ethylazide (C 2 H 5 N 3 ), and other suitable gases, including but not limited to Does not. Carrier gases can be used to deliver nitrogen containing compounds if desired.

질소 함유 화합물(225)의 단층은 탄탈 함유 화합물(205)의 단층 상에 화학흡착될 수 있다. 원자-층 증착(ALD) 동안에는 표면 상의 프리커서들의 조성 및 구조가 정밀하게 공지되지는 않는다. 이론상의 한계에 반하여, 질소 함유 화합물(225) 의 화학흡착된 단층은 탄탈 질소 층(209)을 형성하기 위해 탄탈 함유 화합물(205)의 단층과 반응한다. 반응성 종들(215, 235)은 진공 시스템에 의해 기판 표면으로부터 이송되는 부산물들(240)을 형성한다. A monolayer of nitrogen containing compound 225 may be chemisorbed onto the monolayer of tantalum containing compound 205. During atomic-layer deposition (ALD) the composition and structure of the precursors on the surface are not precisely known. Contrary to theoretical limitations, the chemisorbed monolayer of nitrogen containing compound 225 reacts with the monolayer of tantalum containing compound 205 to form tantalum nitrogen layer 209. Reactive species 215 and 235 form byproducts 240 that are transported from the substrate surface by a vacuum system.

질소 함유 화합물(225)의 단층이 탄탈 함유 화합물의 단층 상에 화학흡착된 이후에, 임의의 초과 질소 함유 화합물은 다른 퍼지 가스 펄스를 처리 챔버로 유입시킴으로써 처리 챔버로부터 제거된다. 그 후, 도 2C에서 도시된 바와 같이, 탄탈 함유 화합물의 단층들과 질소 함유 화합물의 단층들이 교대로 화학흡착되는 탄탈 질소층의 순차 증착은 필요하다면, 원하는 탄탈 질소 두께가 달성될 때까지 반복된다.After the monolayer of nitrogen containing compound 225 has been chemisorbed onto the monolayer of tantalum containing compound, any excess nitrogen containing compound is removed from the processing chamber by introducing another purge gas pulse into the processing chamber. Then, as shown in FIG. 2C, the sequential deposition of the tantalum nitrogen layer, in which the monolayers of the tantalum containing compound and the monolayers of the nitrogen containing compound are alternately chemisorbed, is repeated if desired, until the desired tantalum nitrogen thickness is achieved. .

도 2A 내지 도 2C에서, 탄탈 질소층 형성은 탄탈 함유 화합물의 단층을 기판 상에 화학흡착시킨 후 질소 함유 화합물의 단층을 화학흡착시키는 것으로 시작되는 것으로 도시된다. 대안으로써, 탄탈 질소 층 형성은 질소 함유 화합물의 단층을 기판 상에 화학흡착시킨 후 탄탈 함유 화합물의 단층을 화학흡착시키는 것으로 시작될 수 있다. 더욱이, 대안적 실시예에서, 반응성 가스들의 펄스들 사이에서만의 펌프 진공은 반응성 가스들의 혼합을 방지하는데 이용될 수 있다.In FIGS. 2A-2C, the formation of tantalum nitrogen layer begins with chemisorption of a monolayer of tantalum containing compound onto the substrate followed by chemisorption of the monolayer of nitrogen containing compound. Alternatively, tantalum nitrogen layer formation can begin by chemisorbing a monolayer of the nitrogen containing compound onto the substrate followed by chemisorbing the monolayer of the tantalum containing compound. Moreover, in alternative embodiments, pump vacuum only between pulses of reactive gases may be used to prevent mixing of reactive gases.

탄탈 함유 화합물, 질소 탄탈 화합물, 및 퍼지 가스의 각 펄스에 대한 지속 시간은 가변적이고, 사용되는 증착 챔버뿐만 아니라 챔버에 결합된 진공 시스템의 진공 용량에 의존한다. 예를 들어, (1) 낮은 챔버 가스 압력은 긴 펄스 시간을 필요로 할 것이고; (2) 낮은 가스 유속은 챔버 압력을 상승시키고 안정화시키는데 더 긴 시간을 요구하여 더 긴 펄스 시간을 필요로 할 것이며; (3) 대-볼륨 챔버는 충 전이 더 오래 걸릴 것이고 챔버 압력을 안정화시키는데 더 오래 걸릴 것이므로 더 긴 펄스 시간을 필요로 하게 된다. 이와 유사하게, 각 펄스 사이의 시간 또한 가변적이고, 처리 챔버의 볼륨 용량뿐만 아니라 챔버에 결합된 진공 시스템의 볼륨 용량에 의존한다. 일반적으로, 탄탈 함유 화합물 또는 질소 함유 화합물의 단층들은 화합물 단층의 화학흡착가 되기에 충분히 길어야만 한다. 일반적으로, 퍼지 가스의 펄스 시간은 반응성 부산물 및/또는 처리 챔버에 남아있는 임의의 잔유물질들을 제거하기에 충분히 길어야만 한다.The duration for each pulse of tantalum containing compound, nitrogen tantalum compound, and purge gas is variable and depends on the deposition capacity used as well as the vacuum capacity of the vacuum system coupled to the chamber. For example, (1) low chamber gas pressures will require long pulse times; (2) low gas flow rates will require longer time to raise and stabilize the chamber pressure and will require longer pulse times; (3) The large-volume chamber will take longer to charge and will take longer to stabilize the chamber pressure, requiring longer pulse times. Similarly, the time between each pulse is also variable and depends on the volume capacity of the processing system as well as the volume capacity of the vacuum system coupled to the chamber. In general, the monolayers of tantalum containing compounds or nitrogen containing compounds should be long enough to allow chemisorption of the compound monolayers. In general, the pulse time of the purge gas should be long enough to remove reactive byproducts and / or any residues remaining in the processing chamber.

일반적으로, 탄탈 함유 화합물에 대한 약 1.0초 또는 그 이하의 펄스 시간, 및 질소 함유 화합물에 대한 약 1.0초 또는 그 이하의 펄스 시간은 전형적으로 기판 상에 단층들을 교대로 화학흡착시키기에 충분하다. 퍼지 가스의 약 1.0 초 또는 그 이하의 펄스 시간은 전형적으로 반응성 부산물들 뿐만 아니라 처리 챔버 내에 남아있는 임의의 잔유 물질들을 제거하기에 충분하다. 물론, 탄탈 함유 화합물 및 질소 함유 화합물의 화학흡착를 보장하고 반응성 부산물들의 제거를 보장하기 위해 더 긴 펄스 시간이 이용될 수 있다.In general, a pulse time of about 1.0 seconds or less for a tantalum containing compound, and a pulse time of about 1.0 seconds or less for a nitrogen containing compound is typically sufficient to alternately chemisorb monolayers on a substrate. A pulse time of about 1.0 second or less of the purge gas is typically sufficient to remove reactive byproducts as well as any residual material remaining in the processing chamber. Of course, longer pulse times can be used to ensure chemisorption of tantalum containing compounds and nitrogen containing compounds and to ensure the removal of reactive byproducts.

원자층 증착 동안에, 기판은 선택된 탄탈 함유 화합물의 열 분해 온도 이하 정도로 유지될 수 있다. 본 명세서에서 식별되는 탄탈 함유 화합물들과 함께 사용되는 예시적 가열기 온도 범위는 약 100 토르 이하, 바람직하게는 50 토르 이하의 챔버 압력에서 대략 약 20℃ 내지 약 500℃ 이다. 탄탈 함유 가스가 PDMAT일때, 가열기 온도는 약 100℃ 내지 약 300℃인 것이 바람직하고, 약 175℃ 내지 약 250℃인 것이 더 바람직하다. 다른 실시예들에서, 다른 온도가 이용될 수 있다는 것 이 이해되어야 한다. 예를 들어, 열 분해 온도 이상의 온도가 이용될 수 있다. 그러나, 온도는, 증착 작용의 50% 이상이 화학흡착 처리들에 의해 이루어지도록 선택되어야만 한다. 다른 실시예에서, 열 분해 온도 이상의 온도는, 각각의 프리커서 증착 동안의 분해량이 제한되어 성장 모드가 원자 층 성장 모드와 유사할 정도로 이용될 수 있다. During atomic layer deposition, the substrate can be maintained at or below the thermal decomposition temperature of the selected tantalum containing compound. Exemplary heater temperature ranges used with tantalum containing compounds identified herein are from about 20 ° C to about 500 ° C at chamber pressures of about 100 Torr or less, preferably 50 Torr or less. When the tantalum containing gas is PDMAT, the heater temperature is preferably about 100 ° C to about 300 ° C, more preferably about 175 ° C to about 250 ° C. In other embodiments, it should be understood that other temperatures may be used. For example, temperatures above the thermal decomposition temperature can be used. However, the temperature must be chosen such that at least 50% of the deposition action is achieved by chemisorption processes. In other embodiments, temperatures above the thermal decomposition temperature may be used such that the amount of decomposition during each precursor deposition is limited so that the growth mode is similar to the atomic layer growth mode.

처리 챔버에서 원자층 증착에 의해 탄탈 질소 층을 증착시키는 하나의 예시적 프로세스는 약 100 sccm 내지 약 1000 sccm 사이의 유속, 바람직하게는 약 200 sccm 내지 약 500 sccm의 유속으로 1.0 초 또는 그 이하의 시간 주기 동안에 펜타디메틸아미노-탄탈(PDMAT)를 제공하는 단계, 약 100 sccm 내지 약 1000 sccm 사이의 유속, 바람직하게는 약 200 sccm 내지 약 500 sccm의 유속으로 1.0 초 또는 그 이하의 시간 주기 동안에 암모니아를 제공하는 단계, 및 약 100 sccm 내지 약 1000 sccm 사이의 유속, 바람직하게는 약 200 sccm 내지 약 500 sccm의 유속으로 1.0 초 또는 그 이하의 시간 주기 동안에 퍼지 가스를 제공하는 단계를 포함한다. 가열기 온도는 약 1.0 내지 약 5.0 토르 사이의 챔버압력에서 약 100℃ 내지 약 300℃ 로 유지되는 것이 바람직하다. 프로세스는 주기당 약 0.5Å 내지 약 1.0Å 의 두께의 탄탈 질소 층을 제공한다. 순차 교대는 원하는 두께가 달성될 때까지 반복된다.One exemplary process for depositing a tantalum nitrogen layer by atomic layer deposition in a processing chamber is 1.0 second or less at a flow rate between about 100 sccm and about 1000 sccm, preferably between about 200 sccm and about 500 sccm. Providing pentadimethylamino-tantalum (PDMAT) during the time period, ammonia for 1.0 seconds or less at a flow rate between about 100 sccm and about 1000 sccm, preferably between about 200 sccm and about 500 sccm Providing a purge gas for a time period of 1.0 second or less at a flow rate between about 100 sccm and about 1000 sccm, preferably between about 200 sccm and about 500 sccm. The heater temperature is preferably maintained at about 100 ° C. to about 300 ° C. at a chamber pressure between about 1.0 to about 5.0 Torr. The process provides a layer of tantalum nitrogen of about 0.5 kPa to about 1.0 kPa per cycle. Sequential shifts are repeated until the desired thickness is achieved.

도 3은 본 발명에 따라 원자 층 증착에 의해 하나 또는 그 이상의 배리어 층들을 형성하기 위해 이용될 수 있는 처리 시스템(320)의 예시적 실시예의 개략적 횡단면도이다. 물론, 다른 처리 시스템들 역시 이용될 수 있다.3 is a schematic cross-sectional view of an exemplary embodiment of a processing system 320 that may be used to form one or more barrier layers by atomic layer deposition in accordance with the present invention. Of course, other processing systems may also be used.

처리 시스템(320)은 가스 전달 시스템(304)에 결합된 처리 챔버(306)를 포함 하는 것이 일반적이다. 처리 챔버(306)는 예를 들어, 미국 캘리포니아 산타 클라라 소재의 Applied Materials, Inc로부터 이용가능한 것들과 같은, 임의의 적합한 처리 챔버일 수 있다. 예시적 처리 챔버들은 그중에서도 특히 DPS CENTURA

Figure 112005067900685-PCT00001
에칭 챔버들, PRODUCER
Figure 112005067900685-PCT00002
화학적 기상 증착 챔버들, 및 ENDURA
Figure 112005067900685-PCT00003
물리적 기상 증착 챔버들을 포함한다.The processing system 320 generally includes a processing chamber 306 coupled to the gas delivery system 304. Process chamber 306 may be any suitable process chamber, such as, for example, those available from Applied Materials, Inc. of Santa Clara, California. Exemplary processing chambers, among others, are DPS CENTURA
Figure 112005067900685-PCT00001
Etching Chambers, PRODUCER
Figure 112005067900685-PCT00002
Chemical vapor deposition chambers, and ENDURA
Figure 112005067900685-PCT00003
Physical vapor deposition chambers.

가스 전달 시스템(304)은 일반적으로, 다양한 프로세스 및 비활성 가스들이 처리 챔버(306)로 전달될 때의 속도 및 압력을 제어한다. 프로세스, 및 처리 챔버(306)에 전달되는 다른 가스들의 개수 및 유형들은 일반적으로 결합된 처리 챔버(306)에서 수행되는 프로세스에 기초하여 선택된다. 간소화를 위해 도 3에서는 단일 가스 전달 회로가 가스 전달 시스템(304) 내에 도시되어 있을지라도, 추가의 가스 전달 회로들이 이용될 수 있음이 고려된다.Gas delivery system 304 generally controls the speed and pressure when various processes and inert gases are delivered to processing chamber 306. The number and types of processes and other gases delivered to the processing chamber 306 are generally selected based on the processes performed in the combined processing chamber 306. For simplicity it is contemplated in FIG. 3 that additional gas delivery circuits may be used, although a single gas delivery circuit is shown in gas delivery system 304.

가스 전달 시스템(304)은 일반적으로 캐리어 가스 소스(302)와 처리 챔버(306) 사이에 결합된다. 캐리어 가스 소스(302)는 설비 전체에 대해 캐리어 가스를 제공하는 로컬 또는 원격 관, 또는 중앙 설비 소스일 수 있다. 캐리어 가스 소스(302)는 일반적으로 아르곤, 질소, 헬륨 또는 다른 비활성 가스나 비-반응성 가스와 같은 캐리어 가스를 제공한다.Gas delivery system 304 is generally coupled between carrier gas source 302 and processing chamber 306. Carrier gas source 302 may be a local or remote pipe or central facility source that provides carrier gas for the entire installation. Carrier gas source 302 generally provides a carrier gas such as argon, nitrogen, helium or other inert or non-reactive gas.

가스 전달 시스템(304)은 캐리어 가스 소스(302)와 처리 가스 소스 캐니스터(300) 사이에 결합된 유속 제어기(310)를 포함하는 것이 일반적이다. 유속 제어기(310)는 비례 밸브, 변조 밸브, 니들 밸브, 유량 제어기 들일 수 있다. 이용될 수 있는 하나의 유량 제어기(310)는 미국 캘리포니아 몬터리 소재의 Sierra Instruments, Inc로부터 이용가능하다.Gas delivery system 304 generally includes a flow rate controller 310 coupled between carrier gas source 302 and process gas source canister 300. The flow rate controller 310 may be a proportional valve, a modulation valve, a needle valve, and flow controllers. One flow controller 310 that may be used is available from Sierra Instruments, Inc. of Monterrey, CA.

소스 캐니스터(300)는 전형적으로 결합되어 제 1 및 제 2 밸브들(312, 314) 사이에 위치된다. 일실시예에서, 제 1 및 제 2 밸브들(312, 314)은 소스 캐니스터(300)에 결합되고, 가스 전달 시스템(304)으로부터 소스 캐니스터(300)와 함께 밸브들(312, 314)의 제거를 용이하게 하기 위해 분리 피팅기(미도시됨)로 고정된다. 제 3 밸브(316)는 제 2 밸브와 처리 챔버(306) 사이에 위치되어, 가스 전달 시스템(304)으로부터 소스 캐니스터(300)가 제거된 이후 처리 챔버(306)로 오염물질들이 유입되는 것을 방지한다. Source canister 300 is typically coupled and positioned between first and second valves 312 and 314. In one embodiment, the first and second valves 312 and 314 are coupled to the source canister 300 and the removal of the valves 312 and 314 together with the source canister 300 from the gas delivery system 304. It is secured with a separate fitting (not shown) to facilitate. The third valve 316 is positioned between the second valve and the processing chamber 306 to prevent contaminants from entering the processing chamber 306 after the source canister 300 is removed from the gas delivery system 304. do.

도 4A 및 도 4B는 소스 캐니스터(300)의 일실시예의 섹션도이다. 소스 캐니스터(300)는 일반적으로 앰플, 또는 프리커스 물질들(414)을 유지하도록 적응된 하우징(420)을 구비하는 밀봉된 콘테이너를 포함하는데, 그것을 통해 처리(또는 다른) 가스가 승화 또는 기화 프로세스에 의해 생성될 수 있다. 승화 프로세스를 통해 소스 캐니스터(300)에서 처리 가스를 생성할 수 있는 몇몇 고형 프리커서 물질들(414)은 그중에서도 특히 디플루오르화 크세논, 카보닐 니켈, 헥사-카보닐 텅스텐, 및 펜타키스(디메틸아미도) 탄탈(PDMAT)을 포함한다. 기화 처리를 통해 소스 캐니스터(300)에서 처리 가스를 생성할 수 있는 몇몇 유동체 프리커서 물질들(414)은 그 중에서도 특히 테트라키스(디메틸아미노) 티타늄(TDMAT), 테르트부틸이미토트리스(디에틸아미노) 탄탈(TBTDET) 및 펜타키스(에틸메틸아미노) 탄탈(PEMAT)을 포함한다. 하우징(420)은 일반적으로 프리커서 물질들(414)에 실질적으로 비활성인 물질 및 그러한 물질들로부터 생성된 가스로부터 제조되므로, 구성 물질은 생성 되는 가스에 기초하여 변화될 수 있다.4A and 4B are section views of one embodiment of source canister 300. Source canister 300 generally includes a sealed container having an ampoule or housing 420 adapted to hold the fructus materials 414 through which a process (or other) gas is sublimed or vaporized. Can be generated by Some solid precursor materials 414 that can generate process gas in the source canister 300 through a sublimation process include inter alia difluoride xenon, carbonyl nickel, hexa-carbonyl tungsten, and pentakis (dimethylami). And tantalum (PDMAT). Some fluid precursor materials 414 capable of producing process gas in the source canister 300 through vaporization include, inter alia, tetrakis (dimethylamino) titanium (TDMAT), tertbutylimitotris (diethyl). Amino) tantalum (TBTDET) and pentakis (ethylmethylamino) tantalum (PEMAT). Since housing 420 is generally made from a material that is substantially inert to precursor materials 414 and a gas produced from those materials, the constituent material may vary based on the gas produced.

하우징(420)은 임의의 개수의 기학학적 형태들을 가질 수 있다. 도 4A 및 도 4B에 도시된 실시예에서, 하우징(420)은 리드(404)에 의해 밀봉된 원통형 측벽(402) 및 하부면(432)을 포함한다. 리드(404)는 용접, 본딩, 접착제들 또는 약결합 방식에 의해 측벽(402)에 결합 될 수 있다. 대안으로써, 측벽(402)과 리드(404) 사이의 결합부는 소스 캐니스터(300)으로부터의 누설을 방지하기 위해 그 사이에 위치되는 밀봉부, o-링, 개스킷등을 가질 수 있다. 측벽(402)은 대안으로서 예를 들어 속이 빈 사각 관과 같은 다른 기하학적 형상체를 포함할 수 있다.The housing 420 can have any number of geometrical forms. In the embodiment shown in FIGS. 4A and 4B, the housing 420 includes a cylindrical sidewall 402 and a bottom surface 432 sealed by the lid 404. Lead 404 may be coupled to sidewall 402 by welding, bonding, adhesives or a weak bonding method. Alternatively, the coupling between sidewall 402 and lid 404 may have seals, o-rings, gaskets, and the like positioned therebetween to prevent leakage from source canister 300. Sidewall 402 may alternatively include other geometric shapes, such as, for example, hollow square tubes.

인입 포트(406) 및 출구 포트(408)는 소스 캐니스터(300) 내외로 가스가 흐를 수 있도록 소스 캐니스터를 통해 형성된다. 포트들(406, 408)은 소스 캐니스터(300)의 리드(404) 및/또는 측벽(402)을 통해 형성될 수 있다. 포트들(406, 408)은 일반적으로 가스 전달 시스템(304)로부터 소스 캐니스터가 제거된 동안 주변 환경으로부터 소스 캐니스터(300)의 내부가 분리되도록 밀봉가능하다. 일실시예에서, 밸브들(312, 314)은, 프리커서 물질(414)의 재충전 또는 소스 캐니스터(300)의 교체를 위해 가스 전달 시스템(304)(도 3에 도시됨)으로부터 제거될 때, 소스 캐니스터(300)로부터의 누설을 방지하기 위해 포트들(406, 408)에 밀봉식으로 결합된다. 짝 형태의 분리 피팅들(436A, 436B)은 가스 전달 시스템(304)으로의/으로부터의 소스 캐니스터(300)의 제거 및 교체를 용이하게 하기 위해 밸브들(312, 314)에 결합될 수 있다. 밸브들(312, 314)은 전형적으로 볼 밸브 또는 다른 포지티브 밀봉 밸브인데, 상기 밸브들에 의해 소스 캐니스터(300)는 효과적으로 로딩되고 재순 환된 시스템으로부터 제거되면서 동시에, 가스 전달 시스템(304)에 충전, 이송 또는 결합되는 동안 소스 캐니스터(300)로부터의 잠재적 누설을 최소화시킨다. 대안으로써, 소스 캐니스터(3O0)는 소스 캐니스터(300)의 리드(404) 상에 위치된 VCR 리팅을 갖는 작은 관과 같은 재충전 포트(미도시됨)를 통해 재충전될 수 있다.Inlet port 406 and outlet port 408 are formed through the source canister to allow gas to flow into and out of the source canister 300. Ports 406 and 408 may be formed through leads 404 and / or sidewalls 402 of source canister 300. The ports 406, 408 are generally sealable such that the interior of the source canister 300 is separated from the surrounding environment while the source canister is removed from the gas delivery system 304. In one embodiment, the valves 312, 314 are removed from the gas delivery system 304 (shown in FIG. 3) for refilling the precursor material 414 or replacing the source canister 300. Sealedly coupled to ports 406 and 408 to prevent leakage from source canister 300. Paired disconnect fittings 436A, 436B may be coupled to valves 312, 314 to facilitate removal and replacement of source canister 300 to / from gas delivery system 304. Valves 312 and 314 are typically ball valves or other positive seal valves, by which the source canister 300 is removed from the effectively loaded and recirculated system while simultaneously filling the gas delivery system 304, Minimize potential leakage from the source canister 300 during transfer or mating. Alternatively, the source canister 300 may be refilled through a refill port (not shown), such as a small tube with VCR readings located on the lid 404 of the source canister 300.

소스 캐니스터(300)는 상부 영역(418) 및 하부 영역(434)을 갖는 내부 볼륨(438)을 갖는다. 소스 캐니스터(300)의 하부 영역(434)은 적어도 부분적으로는 프리커서 물질들(414)로 충전된다. 대안으로써, 유동체(416)가 슬러리(412) 형성을 위해 고형 프리커서 물질(414)에 추가될 수 있다. 프리커서 물질들(414), 유동체(416), 도는 사전 혼합된 슬러리(412)는 리드(404)를 제거하거나 포트들(406, 408) 중 하나를 통해 소스 캐니스터(300)로 유입될 수 있다. 유동체(416)는, 유동체(416)가 프리커서 물질들(414)과 비-반응하고, 프리커서 물질들(414)이 상기 유동체 내에서 불용성이며, 유동체(416)가 프리커서 물지들(414)에 비해 무시할 만한 증기압을 갖고, 유동체(416)의 증기압에 대한 고형 프리커서 물질(414) 예를 들어 헥사-카보닐 텅스텐의 증기압의 비율이 103 이상이도록 선택된다.Source canister 300 has an internal volume 438 having an upper region 418 and a lower region 434. Lower region 434 of source canister 300 is at least partially filled with precursor materials 414. Alternatively, fluid 416 can be added to solid precursor material 414 to form slurry 412. Precursor materials 414, fluid 416, or premixed slurry 412 may be removed from lead 404 or introduced into source canister 300 through one of ports 406 and 408. . Fluid 416 is such that fluid 416 is non-reacting with precursor materials 414, precursor materials 414 are insoluble in the fluid, and fluid 416 precursor precursors 414. Has a negligible vapor pressure, and the ratio of the vapor pressure of the solid precursor material 414, for example hexa-carbonyl tungsten, to the vapor pressure of the fluid 416 is at least 10 3 .

유동체(416)와 혼합된 프리커서 물질들(414)은 슬러리(412) 내에서 유동체(416)에 프리커서 물질들(414)이 부유되도록 유지시키기 위해 가끔 교반(agitate)될 수 있다. 일실시예에서, 프리커서 물질(414) 및 유동체(416)는 자기성 젓개(440)에 의해 교반된다. 자기성 젓개(440)는 소스 캐니스터(300)의 하부면(432) 아래쪽에 위치된 자기 모터(442), 및 소스 캐니스터(300)의 하부 영역(434)에 위치 된 자기 필(444)를 포함한다. 자기 모터(442)는 소스 캐니스터(300) 내부에서 자기 필(444)을 회전시키도록 동작하고, 이에 의해 슬러리(412)를 혼합시키게 된다. 자기 필(44)은 외부 코팅 물질을 가져야 하고, 상기 물질은 프리커서 물질들(414), 유동체(416), 또는 소스 캐니스터(300)와 비-반응성이다. 적합한 자기 혼합기는 상업적으로 이용가능하다. 적합한 자기 혼합기의 일예는 미국 노스 캐롤리나 윌밍턴 소재의 IKw

Figure 112005067900685-PCT00004
Works로부터 이용가능한 IKAMAG
Figure 112005067900685-PCT00005
REO 이다. 대안으로써, 슬러리(412)는 혼합기, 버블러등과 같은 다른 수단에 의해 교반될 수 있다.The precursor materials 414 mixed with the fluid 416 may be occasionally agitated to keep the precursor materials 414 suspended in the fluid 416 within the slurry 412. In one embodiment, precursor material 414 and fluid 416 are agitated by magnetic paddle 440. Magnetic stir 440 includes a magnetic motor 442 located below the bottom surface 432 of the source canister 300, and a magnetic fill 444 located in the lower region 434 of the source canister 300. do. The magnetic motor 442 operates to rotate the magnetic fill 444 inside the source canister 300, thereby mixing the slurry 412. Magnetic fill 44 should have an outer coating material that is non-reactive with precursor materials 414, fluid 416, or source canister 300. Suitable magnetic mixers are commercially available. One example of a suitable magnetic mixer is IKw, Wilmington, North Carolina, USA.
Figure 112005067900685-PCT00004
IKAMAG available from Works
Figure 112005067900685-PCT00005
REO. Alternatively, slurry 412 may be agitated by other means, such as a mixer, bubbler, and the like.

유동체(416)의 교반은 캐리어 가스에 실려서 처리 챔버(306)로 이송되는 유동체(416) 입자들을 유도할 수 있다. 그러한 유동체(416) 입자들이 처리 챔버(306)에 도달하는 것을 방지하기 위해, 오일 트랩(450)이 선택적으로 소스 캐니스터(300)의 출구 포트(408)에 결합될 수 있다. 오일 트랩(450)은 사이사이에 존재하는 다수의 배플들(454)을 포함하는 바디(452)를 포함하는데, 상기 배플들은 오일 트랩 바디(452)의 중앙선(456)을 지나 연장하여 소스 캐니스터(300) 쪽으로 적어도 약간 하향되도록 경사진다. 배플들(454)은 배플들(454) 주변의 꼬불꼬불한 경로를 흐르도록 하기 위해 가스를 처리 챔버(306)쪽으로 흐르도록 만든다. 배플들(454)의 표면 영역은 흐르는 가스에 노출된 대면적 영역을 제공하고, 상기 가스 내에 실려질 수 있는 오일 입자들이 상기 면적에 부착된다. 배플들(454)의 하향각은 오일 트랩 내에 축적된 임의의 오일로 하여금 하향하여 소스 캐니스터(300) 속으로 다시 흐르도록 한다.Agitation of the fluid 416 may lead to fluid 416 particles that are carried in the carrier gas and are sent to the processing chamber 306. To prevent such fluid 416 particles from reaching the processing chamber 306, an oil trap 450 may optionally be coupled to the outlet port 408 of the source canister 300. The oil trap 450 includes a body 452 including a plurality of baffles 454 present between the baffles, which extend beyond the centerline 456 of the oil trap body 452 to extend the source canister ( Inclined to at least slightly downward toward 300). The baffles 454 cause gas to flow toward the processing chamber 306 to allow the tortuous path around the baffles 454 to flow. The surface area of the baffles 454 provides a large area area exposed to the flowing gas, and oil particles that can be loaded in the gas adhere to the area. The downward angle of the baffles 454 causes any oil accumulated in the oil trap to flow downward and back into the source canister 300.

소스 캐니스터(300)는 소스 캐니스터(300)의 상부 영역(418) 내부에 위치된 적어도 하나의 배플(410)을 포함한다. 배플(410)은 인입 포트(406)와 출구 포트(408) 사이에 위치되어 연장된 평균 흐름 경로를 생성하며, 이에 의해 캐리어 가스의 직접(즉, 직선) 흐름이 출구 포트(408)의 인입 포트(406)로 유입되는 것을 방지한다. 이는 소스 캐니스터(300) 내의 캐리어 가스의 평균 잔류 시간을 증가시키고, 캐리어 가스에 의해 이송되는 승화 또는 기화 프리커서 가스량을 증가시키는 효과를 갖는다. 추가로, 배플들(410)은 소스 캐니스터(300) 내에 위치된 프리커서 물질(414)의 전체 노출 표면에 캐리어 가스를 조정하여 반복적인 가스 생성 특성 및 프리커서 물질들(414)의 효율적인 소비를 보장한다.Source canister 300 includes at least one baffle 410 located within upper region 418 of source canister 300. The baffle 410 is positioned between the inlet port 406 and the outlet port 408 to create an extended average flow path, whereby a direct (ie, straight) flow of carrier gas is caused by the inlet port of the outlet port 408. Prevent entry into 406. This has the effect of increasing the average residence time of the carrier gas in the source canister 300 and increasing the amount of sublimation or vaporization precursor gas carried by the carrier gas. In addition, the baffles 410 adjust the carrier gas to the entire exposed surface of the precursor material 414 located in the source canister 300 to allow for repeated gas generation characteristics and efficient consumption of the precursor materials 414. To ensure.

배플들(410)의 개수, 간격 및 형상은 프리커서 가스의 최적 생성을 위한 소스 캐니스터(300)를 조정하도록 선택될 수 있다. 예를 들어, 상당히 많은 수의 배플들(410)은 프리커서 물질(414)에서 더 높은 캐리어 가스 속도들을 제공하도록 선택될 수 있고, 배플들(410)의 형상은 프리커서 물질의 좀더 효율적인 사용을 위해 프리커서 물질(414)의 소비를 제어하도록 구성될 수 있다.The number, spacing and shape of the baffles 410 may be selected to adjust the source canister 300 for optimal generation of precursor gas. For example, a fairly large number of baffles 410 may be selected to provide higher carrier gas velocities in the precursor material 414, and the shape of the baffles 410 may result in more efficient use of the precursor material. Can be configured to control the consumption of precursor material 414.

배플(410)은 측벽(402) 또는 리드(404)에 부착될 수 있거나, 배플(410)은 소스 캐니스터(300) 내부에 피팅되도록 사전 제조된 삽입 설계형일 수 있다. 일실시예에서, 소스 캐니스터(300)에 배치된 배플들(410)은 측벽(402)과 동일한 물질로 제조된 다섯개의 직사각형 판들을 포함한다. 도 4B를 참조하면, 배플들(410)은 용접되거나 그렇지 않으면 서로 평행하도록 측벽(402)에 고정된다. 배플들(410)은 , 꾸불꾸불한 연장된 평균 흐름 경로가 생성되도록, 교대 방식으로 소스 캐니스터의 대향측들에 사이사이에 고정된다. 또한, 배플들(410)은 측벽(402) 상에 위치될 때 는 출구 포트(408)와 리드(404) 사이에 위치되고, 배플들(410)과 리드(404) 사이에 공기가 없도록 위치된다. 배플들(410)은 소스 캐니스터(300)의 하부 영역(434)으로 적어도 부분적으로 추가 연장함으로써, 상부 영역(418)을 통해 흐르는 캐리어 가스에 대한 연장된 평균 흐름 경로를 한정하게 된다.The baffle 410 may be attached to the sidewall 402 or the lead 404, or the baffle 410 may be prefabricated insert design to fit inside the source canister 300. In one embodiment, the baffles 410 disposed in the source canister 300 include five rectangular plates made of the same material as the sidewall 402. 4B, the baffles 410 are welded or otherwise secured to the sidewall 402 to be parallel to each other. The baffles 410 are secured between the opposite sides of the source canister in an alternating manner such that a sinuous extended average flow path is created. Also, the baffles 410 are positioned between the outlet port 408 and the lid 404 when positioned on the sidewall 402, and there is no air between the baffles 410 and the lid 404. . The baffles 410 extend at least partially further into the lower region 434 of the source canister 300, thereby defining an extended average flow path for the carrier gas flowing through the upper region 418.

선택적으로, 인입 관(422)은 소스 캐니스터(300)의 내부 볼륨(438)에 위치될 수 있다. 관(422)은 제 1 단부(424)에 의해 소스 캐니스터(300)의 인입 포트(406)에 결합되어 소스 캐니스터(300)의 상부 영역(418) 내의 제 2 단부(426)에서 끝난다. 관(422)은 프리커서 물질들(414) 또는 슬러리(412)에 가까운 위치에서 소스 캐니스터(300)의 상부 영역으로 캐리어 가스를 주입시킨다.Optionally, inlet tube 422 may be located in internal volume 438 of source canister 300. The tube 422 is coupled to the inlet port 406 of the source canister 300 by the first end 424 and ends at the second end 426 in the upper region 418 of the source canister 300. The tube 422 injects a carrier gas into the upper region of the source canister 300 at a location near precursor materials 414 or slurry 412.

프리커서 물질들(414)은 사전정의된 온도 및 압력에서 프리커서 가스를 생성한다. 프리커서 물질들(414)로부터의 승화 또는 기화된 가스는 소스 캐니스터(300)의 상부 영역(418)에 축적되어, 인입 포트(406)를 통해 입력되어 출구 포트(408)를 빠져나가는 비활성 캐리어 가스에 의해 휩쓸려 처리 챔버(306)로 이송된다. 일실시예에서, 프리커서 물질들(414)은 측벽(402) 근처에 위치된 저항성 가열기(430)에 의해 사전정의된 온도로 가열된다. 대안으로써, 프리커서 물질들(414)은 소스 캐니스터(300)의 상부 영역(418) 또는 하부 영역(434)에 배치된 카트리지 가열기와 같은 다른 수단에 의해, 또는 캐리어 가스 인입 포트(406)의 상향 위치된 가열기(미도시됨)에 의해 캐리지 가스를 사전 가열함으로써 가열될 수 있다. 슬러리(412) 전체에 대한 최대의 균일한 열 분포를 위해, 유동체(416) 및 배플들(410)은 양호한 열 도체들이어야 한다.Precursor materials 414 produce the precursor gas at a predefined temperature and pressure. Sublimed or vaporized gas from precursor materials 414 accumulates in the upper region 418 of the source canister 300 and enters through the inlet port 406 and exits the outlet port 408. Are swept away and transferred to the processing chamber 306. In one embodiment, precursor materials 414 are heated to a predefined temperature by resistive heater 430 located near sidewall 402. Alternatively, the precursor materials 414 may be raised by other means, such as a cartridge heater disposed in the upper region 418 or lower region 434 of the source canister 300, or upward of the carrier gas inlet port 406. It can be heated by preheating the carriage gas by means of a located heater (not shown). For maximum uniform heat distribution over the entirety of the slurry 412, the fluid 416 and baffles 410 should be good thermal conductors.

본 발명의 또다른 실시예에 따라, 질화 알루미늄 또는 질화 브롬과 같이 높은 열전도성을 갖는 다수의 고형 비드들 또는 입자들(810)이 도 8에 도시된 바와 같이 유동체(416) 대신에 이용될 수 있다. 그러한 고형 입자들(810)은 유동체(416)보다 더 많은 열을, 캐니스터(800)의 측벽으로부터 프리커서 물질들(414)로 전달하는데 이용된다. 고형 입자들(810)은 유동체(416)와 동일한 특성들을 갖는데, 프리커서 물질들(414)과 반응하지 않고, 불용해성이며, 프리커서 물질들(414)과 비교할 때 무시할 만한 증기압을 갖는다. 이처럼, 고형 입자들(810)은 열을 캐니스터(800)의 측벽으로부터 캐니스터(800)의 중앙 부분으로 효과적으로 전달하도록 구성되고, 이에 의해 승화 또는 기화 동안에 더 많은 프리커서 물질 이용을 가져온다. 고형 입자들(810)은 또한 캐니스터(800)로 증착되기 전에, 탈기체화되어 오염물질, 수증기등이 세정된다.According to another embodiment of the present invention, a plurality of solid beads or particles 810 having high thermal conductivity, such as aluminum nitride or bromine nitride, may be used instead of the fluid 416 as shown in FIG. have. Such solid particles 810 are used to transfer more heat than the fluid 416 from the sidewall of the canister 800 to the precursor materials 414. Solid particles 810 have the same properties as fluid 416, do not react with precursor materials 414, are insoluble, and have negligible vapor pressure when compared to precursor materials 414. As such, the solid particles 810 are configured to effectively transfer heat from the sidewall of the canister 800 to the central portion of the canister 800, thereby resulting in more precursor material utilization during sublimation or vaporization. Solid particles 810 are also degassed to clean contaminants, water vapor, etc., before being deposited into canister 800.

동작 모드의 일예에서, 소스 캐니스터(300)의 하부 영역(434)은 슬러리(412) 형성을 위해 헥사-카보닐 텅스텐과 확산 펌프 오일의 혼합물로 적어도 부분적으로 충전된다. 슬러리(412)는 약 5토르의 압력으로 유지되고, 소스 캐니스터(300) 부근에 위치된 저항성 가열기(430)에 의해 약 40℃ 내지 약 50의 온도로 가열된다. 아르콘 형태의 캐리어 가스는 약 400 표준 cc/min의 속도로 인입 포트(406)에서 상부 영역(418)로 흐른다. 아르곤은 출구 포트(408)를 통해 소스 캐니스터(300)를 빠져나가기 전에, 꼬불꼬불한 경로에 의해 한정된 연장된 평균 흐름 경로를 배플들(410)을 통해 흐르고, 이에 의해 소스 캐니스터(300)의 상부 영역(418)에서의 아르곤의 평균 잔류 시간은 증가하게 되어 유리해진다. 소스 캐니스터(300)에서의 증 가된 잔류 시간으로 인해 캐리어 가스 내의 승화된 헥사-카보닐 증기들의 포화 레벨이 유리하게 증가한다. 더욱이, 배플들(410)을 통한 꼬불꼬불한 경로는 프리커서 물질(414)의 균일한 소비 및 프리커서 가스의 생성을 위해 프리커서 물질(414)의 노출된 실질적 전체 표면적을 캐리어 가스에 유리하게 노출시킨다.In one example of the mode of operation, the lower region 434 of the source canister 300 is at least partially filled with a mixture of hexa-carbonyl tungsten and diffusion pump oil to form the slurry 412. The slurry 412 is maintained at a pressure of about 5 Torr and heated to a temperature of about 40 ° C. to about 50 by a resistive heater 430 located near the source canister 300. Carrier gas in the form of arcon flows from the inlet port 406 to the upper region 418 at a rate of about 400 standard cc / min. Argon flows through the baffles 410 through the extended average flow path defined by the winding path, before exiting the source canister 300 through the outlet port 408, thereby allowing the top of the source canister 300 to flow. The average residence time of argon in region 418 is increased and advantageous. The increased residence time in the source canister 300 advantageously increases the saturation level of the sublimed hexa-carbonyl vapors in the carrier gas. Moreover, the tortuous path through the baffles 410 favors the carrier gas with the exposed substantially entire surface area of the precursor material 414 for uniform consumption of the precursor material 414 and generation of the precursor gas. Expose

도 7은 프리커서 물질들(414)을 가열하기 위한 다른 실시예를 도시한다. 더욱 상세하게는, 도 7은 캐니스터 가열기(730)에 의해 둘러싸인 캐니스터(700)의 섹션도를 도시하고, 상기 캐니스터는 캐니스터(700)의 하부 영역(434)과 캐니스터(700)의 상부 영역(418) 사이에 온도 기울기를 생성하도록 구성되고, 이 때 상기 하부 영역(434)은 가장 차가운 영역이고, 상부 영역(418)은 가장 뜨거운 영역이다. 온도 기울기는 약 5℃ 내지 약 15℃ 일 수 있다. 고형 프리커서 물질들은 일반적으로 캐니스터(700)의 가장 차가운 영역에서 축적하고 응축되는 경향이 있고, 캐니스터 가열기(730)는, 고형 프리커서 물질들(414)이 캐니스터(700)의 하부 영역(434)에서 축적될 것을 보장하도록 구성됨으로서, 고형 프리커서 물질들(414)이 응축될 곳의 예측성, 및 고형 프리커서 물질들(414)의 온도를 증가시키게 된다. 캐니스터 가열기(730)는, 상부 영역(418)과 하부 영역(434)을 포함하는 전체 캐니스터(700)가 캐니스터 가열기(730)에 의해 가열되도록, 캐니스터 가열기(730) 내부에 위치된 가열 엘리먼트(750)를 포함한다. 상부 영역(418) 근처에서의 가열 엘리먼트(750)는 하부 영역(434) 근처에서의 가열 엘리먼트(750)보다 더 많은 열을 생성하도록 구성됨으로써, 캐니스터 가열기(730)는 하부 영역(434)과 상부 영역(418) 사이에 온도 기울기를 생성하게 한다. 일실시예에서, 가열 엘리먼트(750)는, 상부 영역(418)에서의 온도가 하부 영역(434)에서의 온도보다 약 5℃ 내지 약 15 ℃ 정도 높도록 구성된다. 다른 실시예에서, 가열 엘리먼트(750)는, 하부 영역(418)에서의 온도가 약 60℃ 이고 캐니스터(700)의 측벽에서의 온도가 약 65℃ 이도록 구성된다. 가열 엘리먼트(730)의 전력은 208 VAC 입력에서 약 600 와트일 수 있다.7 illustrates another embodiment for heating precursor materials 414. More specifically, FIG. 7 shows a section view of a canister 700 surrounded by canister heater 730, which can be a lower region 434 of canister 700 and an upper region 418 of canister 700. Is configured to create a temperature gradient between, where the lower region 434 is the coldest region and the upper region 418 is the hottest region. The temperature gradient may be about 5 ° C to about 15 ° C. Solid precursor materials generally tend to accumulate and condense in the coldest region of canister 700, and canister heater 730 allows solid precursor materials 414 to have lower region 434 of canister 700. And to increase the predictability of where the solid precursor materials 414 will condense, and the temperature of the solid precursor materials 414. Canister heater 730 is a heating element 750 located inside canister heater 730 such that the entire canister 700, including upper region 418 and lower region 434, is heated by canister heater 730. ). The heating element 750 near the upper region 418 is configured to generate more heat than the heating element 750 near the lower region 434, such that the canister heater 730 is lower than the lower region 434 and the upper region. It causes the temperature gradient to be generated between the regions 418. In one embodiment, the heating element 750 is configured such that the temperature in the upper region 418 is about 5 ° C. to about 15 ° C. higher than the temperature in the lower region 434. In another embodiment, the heating element 750 is configured such that the temperature in the lower region 418 is about 60 ° C. and the temperature at the sidewall of the canister 700 is about 65 ° C. The power of the heating element 730 may be about 600 watts at the 208 VAC input.

캐니스터 가열기(730)는 또한, 캐니스터(700)의 가장 차가운 영역이 하부 영역(434)이도록 더 보장하도록 캐니스터 가열기(730)의 하부면에 위치된 냉각판(720)을 포함할 수 있고, 이에 의해 고형 프리커서 물질들(414)이 하부 영역(434)에서 응축되는 것이 보장된다. 냉각판(720)은 또한 환형일 수 있다. 더욱이, 밸브들(312, 314), 오일 트랩(450), 인입 포트(406) 및 출구 포트(408)는 저항성 가열 테이프에 의해 가열될 수 있다. 상부 영역(418)은 하부 영역(434)보다 더 높은 온도를 갖도록 구성되기 때문에, 배플들(418)은 상부 영역(418)에서 하부 영역(434)로 열을 전달하도록 이용될 수 있고, 이에 의해 캐니스터 가열기(730)는 원하는 온도 기울기를 유지하게 된다.Canister heater 730 may also include a cold plate 720 located on the bottom surface of canister heater 730 to further ensure that the coldest region of canister 700 is the bottom region 434. It is ensured that the solid precursor materials 414 condense in the lower region 434. Cold plate 720 may also be annular. Moreover, valves 312 and 314, oil trap 450, inlet port 406 and outlet port 408 may be heated by resistive heating tape. Since the upper region 418 is configured to have a higher temperature than the lower region 434, the baffles 418 can be used to transfer heat from the upper region 418 to the lower region 434, whereby Canister heater 730 maintains the desired temperature gradient.

도 9는 캐니스터(700)의 하부면 부분(432)에서 상부 영역(418)로 연장하는 다수의 사일로들(silos)(910)의 섹션도이다. 도 10은 캐니스터(700)의 하부면 부분(432)에서 상부 영역(418)로 연장하는 사이로들(910)의 상부도이다. 사일로들(910)은 프리커서 물질들(414)의 온도 기울기를 감소시키도록 구성되고, 이에 의해 프리커서 물질들(414) 내부의 온도가 실질적으로 균일하게 유지되게 된다. 사일로들(910)은 하부면 부분(432)에서 프리커서 물질들(414)과 유동체(416)의 상부 표면의 약간 위쪽으로 연장할 수 있다. 사일로들(910)은 포스트 또는 핀의 형태일 수 있다. 사일로들(910)은 스테인레스 강, 알루미늄 등과 같은 열-전도성 물질로부터 제조될 수 있다.9 is a section view of a number of silos 910 extending from the lower surface portion 432 of the canister 700 to the upper region 418. 10 is a top view of the passages 910 extending from the lower surface portion 432 of the canister 700 to the upper region 418. The silos 910 are configured to reduce the temperature gradient of the precursor materials 414, thereby allowing the temperature inside the precursor materials 414 to be maintained substantially uniform. The silos 910 may extend slightly above the upper surface of the precursor materials 414 and the fluid 416 at the lower surface portion 432. Silos 910 may be in the form of posts or pins. Silos 910 may be made from a heat-conductive material, such as stainless steel, aluminum, and the like.

도 9는 소스 캐니스터(700)의 내부 볼륨(438)에 위치된 인입 관(422)을 더 도시한다. 관(422)은 제 1 단부(424)에 의해 소스 캐니스터(700)의 인입 포트(406)에 결합되어, 소스 캐니스터(700)의 상부 영역(418) 내의 제 2 단부(426)에서 끝난다. 관(422)은 프리커서 물질들(414) 또는 슬러리(412)에 가까운 위치에서 소스 캐니스터(700)의 상부 영역(418)으로 캐리어 가스를 주입한다. 제 2 단부(426)는 가스량을 측벽(402) 쪽으로 향하도록 적응될 수 있으며, 이에 의해 포트들(406, 408) 사이로 캐니스터(700)를 통해 가스들이 직접(선형 또는 가시선) 흐르는 것을 방지하여, 연장된 평균 흐름 경로를 생성하게 된다.9 further illustrates an inlet tube 422 located in the interior volume 438 of the source canister 700. The tube 422 is coupled to the inlet port 406 of the source canister 700 by the first end 424, ending at the second end 426 in the upper region 418 of the source canister 700. The tube 422 injects carrier gas into the upper region 418 of the source canister 700 at a location close to the precursor materials 414 or slurry 412. The second end 426 may be adapted to direct the amount of gas towards the side wall 402, thereby preventing gases from flowing directly (linear or visible) through the canister 700 between the ports 406, 408. Will result in an extended average flow path.

도 5는 처리 가스를 생성하기 위한, 캐니스터(500)의 다른 실시예의 섹션도이다. 캐니스터(500)는 내부 볼륨(438)을 엔클로징하는 측벽(402), 리드(404), 및 하부면(432)를 포함한다. 리드(404) 또는 측벽(402) 중 적어도 하나는 가스의 인입 및 배출을 위해 인입 포트(406) 및 출구 포트(408)를 포함한다. 캐니스터(500)의 내부 볼륨(438)은 상부 영역(418) 및 하부 영역(434) 속으로 분리된다. 프리커서 물질들(414)은 적어도 부분적으로 하부 영역(434)을 충전한다. 프리커서 물질들(414)은 고형, 유동체 또는 슬러리 형태일 수 있고, 승화 및/또는 기화에 의해 처리 가스를 생성하도록 적응된다.5 is a section view of another embodiment of a canister 500 for producing a process gas. Canister 500 includes sidewall 402, lid 404, and bottom surface 432 that enclose internal volume 438. At least one of the lid 404 or sidewall 402 includes an inlet port 406 and an outlet port 408 for the inlet and outlet of the gas. The internal volume 438 of the canister 500 is separated into the upper region 418 and the lower region 434. Precursor materials 414 at least partially fill lower region 434. Precursor materials 414 may be in solid, fluid or slurry form and are adapted to produce process gas by sublimation and / or vaporization.

관(502)은 캐니스터(500)의 내부 볼륨(438)에 배치되고, 캐니스터(500) 내부의 가스 흐름이 프리커서 물질들(414)로부터 떨어져 진행하도록 적응되며, 이에 의 해 관(502)의 외부로 흐르는 가스들이 프리커서 물질들(414)에 직접 충돌하는 것을 방지하며, 미립자들이 공중에 떠서 출구 포트(408)를 통해 이송되어 처리 챔버(306)로 이송되도록 한다. 관(502)은 제 1 단부(504)에서 인입 포트(406)에 결합된다. 관(502)은 제 1 단부(504)에서 제 2 단부(526A)로 연장하고, 상기 제 2 단부는 프리커서 물질들(414)에서 상부 영역(418)에 위치된다. 제 2 단부(526A)는 가스의 흐름을 측벽(402)으로 향하도록 적응될 수 있고, 이에 의해 캐니스터(500)를 통한 가스의 직접(선형 또는 가시선) 흐름이 포트들(406, 408) 사이로 가는 것을 방지하여, 연장된 평균 흐름 경로를 생성하게 된다.The tube 502 is disposed in the interior volume 438 of the canister 500, and the gas flow inside the canister 500 is adapted to travel away from the precursor materials 414, thereby It prevents outflowing gases from directly impacting the precursor materials 414 and allows particulates to float in the air and be transported through the outlet port 408 to the processing chamber 306. The tube 502 is coupled to the inlet port 406 at the first end 504. The tube 502 extends from the first end 504 to the second end 526A, which is located in the upper region 418 in the precursor materials 414. The second end 526A may be adapted to direct the flow of gas to the sidewall 402, whereby a direct (linear or visible) flow of gas through the canister 500 passes between the ports 406, 408. To create an extended average flow path.

일실시예에서, 관(502)의 제 2 단부(526A)의 출구(506)는 캐니스터(500)의 중심축(508)에 대해 약 15도 내지 약 90도의 각으로 배향된다. 다른 실시예에서, 관(502)은 "J"-형상의 제 2 단부(526B)를 구비하며, 상기 제 2 단부는 출구(506)를 빠져나가는 가스의 흐름을 캐니스터(500)의 리드(404)를 향하게 한다. 다른 실시예에서, 관(502)은 관(502)의 단부를 폐쇄하는 플러그 또는 캡(510)을 구비하는 캡이 씌워진 제 2 단부(526C)를 구비한다. 상기 캡이 씌워진 제 2 단부(526C)는 캡(510) 근처의 관(502) 측에 형성된 적어도 하나의 개구부(528)를 구비한다. 상기 개구부들(528)을 빠져나가는 가스는 전형적으로 중심축(508)에 수직이고, 캐니스터(500)의 하부 영역(434)에 배치된 프리커서 물질들(414)에서 떨어지도록 향한다. 선택적으로, 위에서 설명된 바와 같은 적어도 하나의 배플(410)(점선으로 표시됨)은 챔버(500) 내부에 위치될 수 있고, 위에서 설명된 관(502)의 실시예들 중 임의의 실시예와 협력하여 이용될 수 있다.In one embodiment, the outlet 506 of the second end 526A of the tube 502 is oriented at an angle of about 15 degrees to about 90 degrees with respect to the central axis 508 of the canister 500. In another embodiment, the tube 502 has a “J” -shaped second end 526B, the second end of which leads the flow of gas exiting the outlet 506 into the lid 404 of the canister 500. ). In another embodiment, the tube 502 has a capped second end 526C having a plug or cap 510 that closes the end of the tube 502. The capped second end 526C has at least one opening 528 formed on the side of the tube 502 near the cap 510. The gas exiting the openings 528 is typically perpendicular to the central axis 508 and directed away from precursor materials 414 disposed in the lower region 434 of the canister 500. Optionally, at least one baffle 410 (indicated by the dashed lines) as described above may be located inside the chamber 500 and cooperate with any of the embodiments of the tube 502 described above. Can be used.

동작 모드의 일실시예에서, 캐니스터(500)의 하부 영역(434)은 슬러리(412) 형성을 위해, 헥사-카보닐 텅스텐과 확산 펌프 오일의 혼합물로 적어도 부분적으로 충전될 수 있다. 슬러리(412)는 약 5토르의 압력으로 유지되고, 캐니스터(500) 근처에 위치된 저항성 가열기(430)에 의해 약 40 내지 약 50℃ 의 온도로 가열된다. 아르곤 형태의 캐리어 가스는 약 200 표준 cc/min 의 속도로 인입 포트(406)와 관(502)을 통해 상부 영역(418)으로 흐른다. 관(502)의 제 2 단부(526A)는 출구 포트(408)로부터 떨어져 연장된 평균 흐름 경로에서 캐리어 가스가 흐르도록 하며, 이로 인해 캐니스터(500)의 상부 영역(418)에서의 아르곤의 평균 잔류 시간이 유리하게 증가되며, 미립자 생성을 최소화하기 위해 프리커서 물질들(414) 상의 캐리어 가스의 직접 흐름을 방지하게 된다. 캐니스터(500) 내에서의 증가된 잔류 시간은 캐리어 가스 내의 승화도니 헥사-카보닐 텅스텐의 포화 레벨을 유리하게 증가시키는 동시에, 미립자 생성을 감소시키고, 생산 수율을 개선시키며, 고형 소스들을 보존하며, 하류 오염물질들을 감소시킨다.In one embodiment of the operating mode, the lower region 434 of the canister 500 may be at least partially filled with a mixture of hexa-carbonyl tungsten and diffusion pump oil to form the slurry 412. Slurry 412 is maintained at a pressure of about 5 Torr and heated to a temperature of about 40 to about 50 ° C. by resistive heater 430 located near canister 500. Carrier gas in the form of argon flows into the upper region 418 through the inlet port 406 and the tube 502 at a rate of about 200 standard cc / min. The second end 526A of the tube 502 allows carrier gas to flow in an average flow path extending away from the outlet port 408, thereby causing the average residual of argon in the upper region 418 of the canister 500. The time is advantageously increased and prevents direct flow of carrier gas on the precursor materials 414 to minimize particulate generation. Increased residence time in canister 500 advantageously increases the saturation level of sublimated hexa-carbonyl tungsten in the carrier gas while simultaneously reducing particulate production, improving production yields, and conserving solid sources, Reduce downstream contaminants.

도 6은 프리커서 가스를 생성하기 이한 캐니스터(600)의 다른 실시예의 섹션도이다. 캐니스터(600)는 내부 볼륨(438)을 엔클로징하는 측벽(402), 리드(404) 및 하부면(432)을 포함한다. 리드(404) 또는 측벽(402) 중 적어도 하나는 가스의 인입 및 배출을 위해 인입 포트(406) 및 출구 포트(408)를 구비한다. 인입 및 출구 포트들(406, 408)은 캐니스터(600)를 가스 전달 시스템(304)으로부터 제거하는 것을 용이하기 위해, 짝 형태의 분리 피팅들(436A, 436B)로 피팅된 밸브들(312, 314)에 결합된다. 선택적으로, 오일 트랩(450)은 처리 챔버(306)로 흐르는 가스 내에 존재할 수 있는 임의의 오일 미립자를 캡쳐하기 위해 출구 포트(408)와 밸브(314) 사이에 결합된다.6 is a section view of another embodiment of a canister 600 to produce precursor gas. Canister 600 includes sidewall 402, lid 404, and bottom surface 432 that enclose internal volume 438. At least one of the lid 404 or sidewall 402 has an inlet port 406 and an outlet port 408 for the inlet and outlet of the gas. Inlet and outlet ports 406 and 408 are valves 312 and 314 fitted with paired disconnect fittings 436A and 436B to facilitate removal of canister 600 from gas delivery system 304. ) Is combined. Optionally, the oil trap 450 is coupled between the outlet port 408 and the valve 314 to capture any oil particulates that may be present in the gas flowing into the processing chamber 306.

캐니스터(600)의 내부 볼륨(438)은 상부 영역(418)과 하부 영역(434)으로 분리된다. 프리커서 물질들(414) 및 유동체(416)는 적어도 부분적으로 하부 영역(434)을 충전시킨다. 관(602)이 캐니스터(600)의 내부 볼륨(438)에 배치되고, 캐니스터(600) 내부의 제 1 가스 흐름을 프리커서 물질로부터 떨어지도록 향하게 하고 제 2 가스 흐름(F2)을 혼합물을 통해 향하도록 적응된다. 흐름(F1)은 흐름(F2)보다 훨씬 더 많다. 흐름(F2)은 버블러로서 작용하도록 구성되고, 프리커서 물질과 유동체의 혼합물을 교반시키기에 충분하도록 크지만, 프리커서 물질들(414) 또는 유동체(416)의 입자들 또는 방울들이 공중에 뜨도록 하기에 충분히 크지는 않다. 그러므로, 이러한 실시예는 프리커서 물질과 유동체의 혼합물을 유리하게 교반시키는 동시에, 관(602)의 외부로 흐르는 가스가 프리커서 물질들 상에 직접 충돌함으로써 생성되는 미립자들이 공중에 떠서 출구 포트(408)로 이송되어 처리 챔버(306)로 전달되는 것을 최소화시킨다.The internal volume 438 of the canister 600 is divided into an upper region 418 and a lower region 434. Precursor materials 414 and fluid 416 at least partially fill lower region 434. A tube 602 is disposed in the interior volume 438 of the canister 600, directing the first gas stream inside the canister 600 away from the precursor material and directing the second gas stream F 2 through the mixture. Adapted to face. The flow F 1 is much more than the flow F 2 . Flow F 2 is configured to act as a bubbler and is large enough to agitate the mixture of precursor material and fluid, but particles or droplets of precursor materials 414 or fluid 416 may enter the air. It is not big enough to float. Therefore, this embodiment advantageously stirs the mixture of precursor material and fluid while at the same time the particulates generated by the gas flowing out of the tube 602 directly impinge on the precursor materials cause the outlet port 408 to float. Transfer to the process chamber 306 is minimized.

관(602)은 제 1 단부(604)에서 인입 포트(406)에 결합된다. 관(602)은 제 1 단부(604)에서 제 2 단부(606)로 연장하고, 상기 제 2 단부는 프리커서 물질과 유동체의 혼합물 내에서 캐니스터(600)의 하부 영역(434)에 존재한다. 관(602)은 캐니스터(600)의 상부 영역(418)에 위치된 개구부(608)를 구비하고, 상기 개구부는 제 1 가스 흐름(F1)을 캐니스터(600)의 측벽(402)으로 향하게 한다. 관(600)은 개 구부(608) 아래쪽에 위치되는 캐니스터(600)의 상부 영역(438)에 위치되는 제한부(610)를 구비한다. 제한부(610)는 관(602)의 제 2 단부(606)를 향해 슬러리(412)로 흐르는 제 2 가스 흐름(F2)을 감소시키는 역할을 한다. 제한부의 량을 조정함으로써, 제 1 및 제 2 가스 흐름들(F1 및 F2)의 상대적 속도들은 조정될 수 있다. 이러한 조정은 적어도 두가지 목적의 역할을 한다. 우선, 제 2 가스 흐름(F2)은 유동체(416) 내에 프리커서 물질들(414)의 부유 또는 혼합을 유지시키기에 충분한 교반을 제공하도록 최소화될 수 있으면서 동시에, 처리 챔버(306)의 미립자 생성 및 잠재적 오염물질을 최소화시킬 수 있다. 두번째, 제 1 가스 흐름(F1)은 요구되는 양의 기화된 및/또는 승화된 증기들을 프리커서 물질들(414)로부터 처리 챔버(306)로 제공하기에 필요한 전데 흐름 볼륨을 유지하기 위해 조정될 수 있다.The tube 602 is coupled to the inlet port 406 at the first end 604. Tube 602 extends from first end 604 to second end 606, the second end being in the lower region 434 of canister 600 in the mixture of precursor material and fluid. The tube 602 has an opening 608 located in the upper region 418 of the canister 600, which directs the first gas flow F 1 to the side wall 402 of the canister 600. . The tube 600 has a restriction 610 located in the upper region 438 of the canister 600 located below the opening 608. Restriction 610 serves to reduce the second gas flow F 2 flowing into slurry 412 towards second end 606 of tube 602. By adjusting the amount of restriction, the relative velocities of the first and second gas flows F 1 and F 2 can be adjusted. This coordination serves at least two purposes. First, the second gas stream F 2 can be minimized to provide sufficient agitation to maintain floating or mixing of the precursor materials 414 in the fluid 416 while at the same time generating particulates in the processing chamber 306. And potential contaminants can be minimized. Second, the first gas flow F 1 may be adjusted to maintain the required flow volume needed to provide the required amount of vaporized and / or sublimated vapors from the precursor materials 414 to the processing chamber 306. Can be.

선택적으로, 위에서 설명한 바와 같은 적어도 하나의 배플(410)은 캐니스터(600) 내부에 배치될 수 있고, 위에서 설명된 관(602)의 실시예들 중 임의의 실시예들과 협력하여 이용될 수 있다.Optionally, at least one baffle 410 as described above may be disposed inside canister 600 and may be used in cooperation with any of the embodiments of tube 602 described above. .

본 발명의 바람직한 실시예들에 따라 전술되었을지라도, 본 발명의 다른 실시예들이 본 발명의 기본적 사상을 벗어나지 않으면서 가능하며, 본 발명의 개념은 이후의 청구항들에 의해서만 결정된다.Although described above according to preferred embodiments of the present invention, other embodiments of the present invention are possible without departing from the basic spirit of the present invention, and the concept of the present invention is determined only by the following claims.

Claims (45)

기판 상에 하나 또는 그 이상의 피처들을 충전시키는 방법으로서,A method of filling one or more features on a substrate, the method comprising: 상기 기판 상에 배리어 층을 증착시키는 단계 - 상기 배리어 층은 5ppm 또는 그 이하의 분순물들을 갖는 순화된 펜타키스(디메틸아미도)탄탈로부터 형성됨 -;Depositing a barrier layer on the substrate, the barrier layer being formed from purified pentacis (dimethylamido) tantalum having impurities of 5 ppm or less; 상기 배리어 층에 대해 시드층을 증착시키는 단계; 및Depositing a seed layer against the barrier layer; And 상기 시드층에 대해 전도층을 증착시키는 단계Depositing a conductive layer on the seed layer 를 포함하는 피처들의 충전 방법.Charging method of features comprising a. 제 1 항에 있어서,The method of claim 1, 탄탈 옥소 아미드들의 적어도 부분을 제거하고 상기 순화된 펜타키스(디메틸아미도)탄탈을 형성하기 위해 상기 펜타키스(디메틸아미도)탄탈을 승화시키는 단계를 더 포함하는 것을 특징으로 하는 피처들의 충전 방법.Removing at least a portion of tantalum oxo amides and sublimating the pentakis (dimethylamido) tantalum to form the purified pentakis (dimethylamido) tantalum. 제 1 항에 있어서,The method of claim 1, 상기 전도층은 구리를 포함하는 것을 특징으로 하는 피처들의 충전 방법.And the conductive layer comprises copper. 제 1 항에 있어서,The method of claim 1, 상기 배리어층은 원자층 증착에 의해 형성되는 것을 특징으로 하는 피처들의 충전 방법.And the barrier layer is formed by atomic layer deposition. 제 1 항에 있어서,The method of claim 1, 상기 불순물들은 크롬, 리튬, 철, 플루오르, 브롬, 요오드, 및 그것들의 결합물들로 구성된 그룹으로부터 선택되는 것을 특징으로 하는 피처들의 충전 방법.Wherein said impurities are selected from the group consisting of chromium, lithium, iron, fluorine, bromine, iodine, and combinations thereof. 제 1 항에 있어서,The method of claim 1, 상기 순화된 펜타키스(디메틸아미도)탄탈로부터 배리어층을 증착시키는 단계에 의해, 비순화된 펜타키스(디메틸아미도)탄탈로부터 형성된 배리어 층에 대해 형성된 전도층보다 더 적은 결함을 갖는 전도층이 생성되는 것을 특징으로 하는 피처들의 충전 방법.By depositing a barrier layer from the purified pentakis (dimethylamido) tantalum, the conductive layer having fewer defects than the conductive layer formed for the barrier layer formed from the unpurified pentakis (dimethylamido) tantalum Method for charging features characterized in that it is generated. 기판 상에 탄탈 질소 배리어층을 증착시키는 방법으로서,A method of depositing a tantalum nitrogen barrier layer on a substrate, 상기 기판 상에 탄탈 함유 층을 형성하기 위해 내부에 배치된 기판을 갖는 처리 챔버에 순화된 펜타키스(디메틸아미도)탄탈을 유입시키는 단계 - 상기 순화된 펜타키스(디메틸아미도)탄탈은 약 5ppm 또는 그 이하의 불순물들을 가짐 -; 및Introducing purified pentakis (dimethylamido) tantalum into the processing chamber having a substrate disposed therein to form a tantalum containing layer on the substrate-the purified pentakis (dimethylamido) tantalum is about 5 ppm Or less than or equal to impurities; And 상기 기판 상에 질소 함유 층을 형성하기 위해 상기 처리 챔버로 질소 함유 화합물을 유입시키는 단계Introducing a nitrogen containing compound into the processing chamber to form a nitrogen containing layer on the substrate 를 포함하는 배리어층 증착 방법.Barrier layer deposition method comprising a. 제 7 항에 있어서,The method of claim 7, wherein 상기 기판은 약 20℃ 내지 약 500℃의 온도를 갖는 것을 특징으로 하는 배리어층 증착 방법.And the substrate has a temperature of about 20 ° C to about 500 ° C. 제 7 항에 있어서,The method of claim 7, wherein 상기 처리 챔버는 약 100토르 또는 그 이하의 압력을 갖는 것을 특징으로 하는 배리어층 증착 방법.And wherein said processing chamber has a pressure of about 100 Torr or less. 제 7 항에 있어서,The method of claim 7, wherein 상기 불순물들은 크롬, 리튬, 철, 플루오르, 브롬, 요오드, 및 그것들의 결합물들로 필수적으로 구성된 그룹으로부터 선택되는 것을 특징으로 하는 배리어층 증착 방법.And wherein said impurities are selected from the group consisting essentially of chromium, lithium, iron, fluorine, bromine, iodine, and combinations thereof. 제 7 항에 있어서,The method of claim 7, wherein 상기 질소 함유 화합물은 암모니아 가스를 포함하는 것을 특징으로 하는 배리어층 증착 방법.And the nitrogen-containing compound comprises ammonia gas. 제 7 항에 있어서,The method of claim 7, wherein 상기 질소 함유 화합물은 암모니아, 히드라진, 디메틸 히드라진, t-부틸히드라진, 페닐히드라진, 2,2-아조이소부탄, 에틸라지드, 및 그것들의 유도체들로 구성된 그룹으로부터 선택되는 것을 특징으로 하는 배리어층 증착 방법.The nitrogen-containing compound is selected from the group consisting of ammonia, hydrazine, dimethyl hydrazine, t-butylhydrazine, phenylhydrazine, 2,2-azisobutane, ethylazide, and derivatives thereof. Way. 제 7 항에 있어서,The method of claim 7, wherein 상기 배리어층은 원자층 증착에 의해 형성되는 것을 특징으로 하는 배리어층 증착 방법.And the barrier layer is formed by atomic layer deposition. 제 7 항에 있어서,The method of claim 7, wherein 상기 기판의 온도는, 상기 배리어층 증착의 50% 또는 그 이상이 화학흡착에 의해 이루어지도록, 선택되는 것을 특징으로 하는 배리어층 증착 방법.The temperature of the substrate is selected so that 50% or more of the barrier layer deposition is made by chemisorption. 제 7 항에 있어서,The method of claim 7, wherein 상기 순화된 펜타키스(디메틸아미도)탄탈은 상기 처리 챔버로 유입되기 이전에 승화되는 것을 특징으로 하는 배리어층 증착 방법.And the purified pentakis (dimethylamido) tantalum is sublimed prior to entering the process chamber. 제 7 항에 있어서,The method of claim 7, wherein 상기 기판 상에 탄탈 함유 층을 형성할 때 상기 펜타키스(디메틸아미도)탄탈의 적어도 일부를 제거하는 단계를 더 포함하는 것을 특징으로 하는 배리어층 증착 방법.Removing at least a portion of the pentakis (dimethylamido) tantalum when forming a tantalum containing layer on the substrate. 약 5ppm 또는 그 이하의 불순물들을 갖는 순화된 펜타키스(디메틸아미도)탄탈.Purified pentacis (dimethylamido) tantalum with impurities of about 5 ppm or less. 제 17 항에 있어서,The method of claim 17, 상기 불순물들은 탄탈 옥소 아미드, 염소, 리튬, 철, 플루오르, 브롬, 요오드, 및 그것들의 결합물들로 구성된 그룹으로부터 선택되는 것을 특징으로 하는 순화된 펜타키스(디메틸아미도)탄탈.Purified pentacis (dimethylamido) tantalum, wherein the impurities are selected from the group consisting of tantalum oxo amide, chlorine, lithium, iron, fluorine, bromine, iodine, and combinations thereof. 제 18 항에 있어서,The method of claim 18, 상기 순화된 펜타키스(디메틸아미도)탄탈은 그 안의 탄탈 옥소 아미드들을 감소시키기 위해 승화되는 것을 특징으로 하는 순화된 펜타키스(디메틸아미도)탄탈.The purified pentakis (dimethylamido) tantalum is characterized in that it is sublimed to reduce tantalum oxoamides therein. 반도체 처리 시스템을 위한 프리커서를 생성하기 위한 장치로서,An apparatus for generating a precursor for a semiconductor processing system, 측벽, 상부, 및 하부를 갖는 캐니스터 - 상기 캐니스터는 상부 영역과 하부 영역을 갖는 내부 볼륨을 한정함 - ; 및A canister having sidewalls, tops, and bottoms, the canister defining an internal volume having a top region and a bottom region; And 상기 캐니스터를 둘러싸는 가열기 - 상기 가열기는 상기 상부 영역과 상기 하부 영역 사이에 온도 기울기를 생성함 -A heater surrounding the canister, the heater creating a temperature gradient between the upper region and the lower region; 을 포함하는 프리커서 생성 장치.Precursor generation device comprising a. 제 20 항에 있어서,The method of claim 20, 상기 온도 기울기는 약 5℃ 내지 약 15℃ 인 것을 특징으로 하는 프리커서 생성 장치.Wherein said temperature gradient is from about 5 ° C to about 15 ° C. 제 20 항에 있어서,The method of claim 20, 상기 하부 영역은 상기 상부 영역보다 더 낮은 온도를 갖는 것을 특징으로 하는 프리커서 생성 장치.And the lower region has a lower temperature than the upper region. 제 22 항에 있어서,The method of claim 22, 상기 하부 영역은 상기 상부 영역보다 약 5℃ 내지 약 15℃ 낮은 온도를 갖는 것을 특징으로 하는 프리커서 생성 장치.And wherein the lower region has a temperature of about 5 ° C. to about 15 ° C. lower than the upper region. 제 20 항에 있어서,The method of claim 20, 상기 가열기는 상기 캐니스터의 측벽 근처에 위치되는 것을 특징으로 하는 프리커서 생성 장치.And the heater is located near a side wall of the canister. 제 20 항에 있어서,The method of claim 20, 상기 가열기는 상기 캐니스터의 외부 부분 주위에 위치되는 것을 특징으로 하는 프리커서 생성 장치.And the heater is positioned around an outer portion of the canister. 제 25 항에 있어서,The method of claim 25, 상기 캐니스터 외부 부분 주위에 위치된 가열기는 상기 캐니스터의 상부 영 역에서 더 많은 열을 생성하도록 구성되는 것을 특징으로 하는 프리커서 생성 장치.A heater located around the outer portion of the canister is configured to generate more heat in the upper region of the canister. 제 20 항에 있어서,The method of claim 20, 상기 캐니스터의 하부면 부분 근처에 위치되는 냉각판을 더 포함하는 것을 특징으로 하는 프리커서 생성 장치.And a cooling plate positioned near the lower surface portion of the canister. 제 20 항에 있어서,The method of claim 20, 상기 캐니스터는 상기 상부 영역을 상기 하부 영역에 연결시키는 열 전달 매체를 포함하는 것을 특징으로 하는 프리커서 생성 장치.And the canister includes a heat transfer medium connecting the upper region to the lower region. 제 28 항에 있어서,The method of claim 28, 상기 열 전달 매체는 상기 상부 부분에서 상기 하부 영역으로 연장하는 적어도 하나의 배플인 것을 특징으로 하는 프리커서 생성 장치.And said heat transfer medium is at least one baffle extending from said upper portion to said lower region. 제 20 항에 있어서,The method of claim 20, 상기 캐니스터의 하부면 부분에서 상기 상부 영역으로 연장하는 적어도 하나의 사일로를 더 포함하는 것을 특징으로 하는 프리커서 생성 장치.And at least one silo extending from the lower surface portion of the canister to the upper region. 제 30 항에 있어서,The method of claim 30, 상기 적어도 하나의 사일로는 포스트 및 핀 중 적어도 하나인 것을 특징으로 하는 프리커서 생성 장치.Wherein said at least one silo is at least one of a post and a pin. 제 20 항에 있어서,The method of claim 20, 적어도 부분적으로 상기 캐니스터의 하부 영역을 충전시키는 프리커서 물질; 및A precursor material at least partially filling the lower region of the canister; And 상기 프리커서 물질과 상호혼합된 다수의 고형 입자들 - 상기 고형 입자들은 상기 프리커서 물질과 비-반응성이고, 상기 프리커서 물질에 비해 무시할만한 증기압을 가지며, 상기 프리커서 물질에 불용해되고, 상기 캐니스터의 측벽으로부터 열을 전달하도록 구성됨 -A plurality of solid particles intermixed with the precursor material—the solid particles are non-reactive with the precursor material, have negligible vapor pressure over the precursor material, are insoluble in the precursor material, Configured to transfer heat from the sidewall of the canister- 을 더 포함하는 것을 특징으로 하는 프리커서 생성 장치.Precursor generation apparatus further comprising a. 제 32 항에 있어서,The method of claim 32, 적어도 부분적으로 상기 캐니스터의 하부 영역을 충전하는 프리커서 물질; 및A precursor material at least partially filling the lower region of the canister; And 상기 캐니스터의 하부면 부분에서 상부 영역으로 연장하는 적어도 하나의 사일로At least one silo extending from the lower surface portion of the canister to the upper region 를 더 포함하는 것을 특징으로 하는 프리커서 생성 장치.Precursor generation apparatus further comprises a. 제 33 항에 있어서,The method of claim 33, wherein 상기 적어도 하나의 사일로는 상기 프리커서 물질 내부의 온도 기울기를 감소시키도록 구성되는 것을 특징으로 하는 프리커서 생성 장치.Wherein said at least one silo is configured to reduce a temperature gradient inside said precursor material. 반도체 처리 시스템을 위한 프리커서를 생성하기 위한 장치로서,An apparatus for generating a precursor for a semiconductor processing system, 상부 영역과 하부 영역을 갖는 내부 볼륨을 한정하는 캐니스터;A canister defining an internal volume having an upper region and a lower region; 적어도 부분적으로 상기 캐니스터의 하부 영역을 충전하는 프리커서 물질; 및A precursor material at least partially filling the lower region of the canister; And 상기 프리커서 물질들로부터 멀어지는 방향으로 상기 캐니스터로 캐리어 가스를 주입시키도록 적응된 가스 흐름 인입관A gas flow inlet adapted to inject carrier gas into the canister in a direction away from the precursor materials 을 포함하는 프리커서 생성 장치.Precursor generation device comprising a. 제 35 항에 있어서,36. The method of claim 35 wherein 상기 가스 흐름 인입관은 상기 캐니스터의 상부 영역으로 가스의 비-선형 흐름을 생성하도록 적응되는 것을 특징으로 하는 프리커서 생성 장치.And the gas flow inlet pipe is adapted to generate a non-linear flow of gas into the upper region of the canister. 제 36 항에 있어서,The method of claim 36, 상기 선형 흐름은 상기 캐니스터의 상부 영역에 가스의 증가된 포화 레벨을 생성하도록 적응되는 것을 특징으로 하는 프리커서 생성 장치.The linear flow is adapted to produce an increased saturation level of gas in the upper region of the canister. 제 35 항에 있어서,36. The method of claim 35 wherein 상기 가스 흐름 인입관은 상기 캐니스터의 상부 영역에서 상기 캐니스터의 하부 영역으로 연장하는 것을 특징으로 하는 프리커서 생성 장치.And the gas flow inlet pipe extends from an upper region of the canister to a lower region of the canister. 제 38 항에 있어서,The method of claim 38, 상기 가스 흐름 인입관은 상기 캐니스터의 상부 영역으로 제 1 가스 흐름을 제공하도록 적응되는 것을 특징으로 하는 프리커서 생성 장치.And the gas flow inlet pipe is adapted to provide a first gas flow to the upper region of the canister. 제 39 항에 있어서,The method of claim 39, 상기 가스 흐름 인입관은 상기 캐니스터의 하부 영역으로 제 2 가스 흐름을 제공하도록 적응되는 것을 특징으로 하는 프리커서 생성 장치.And the gas flow inlet pipe is adapted to provide a second gas flow to the lower region of the canister. 제 38 항에 있어서,The method of claim 38, 상기 가스 흐름 인입관은 제한부를 포함하는 것을 특징으로 하는 프리커서 생성 장치.And the gas flow inlet pipe comprises a restricting portion. 제 41 항에 있어서,42. The method of claim 41 wherein 상기 가스 흐름 인입관은 상기 제한부 앞쪽에 적어도 하나의 개구부를 포함하는 것을 특징으로 하는 프리커서 생성 장치.And the gas flow inlet pipe includes at least one opening in front of the restriction. 제 42 항에 있어서,The method of claim 42, 상기 개구부는 상기 캐니스터의 상부 영역에 가스의 비-선형 흐름을 제공하도록 적응되는 것을 특징으로 하는 프리커서 생성 장치.And the opening is adapted to provide a non-linear flow of gas to the upper region of the canister. 제 40 항에 있어서,The method of claim 40, 상기 하부 영역으로의 상기 제 2 가스 흐름은 상기 프리커서 물질들의 부유를 유지하도록 적응되는 것을 특징으로 하는 프리커서 생성 장치.Wherein said second gas flow into said lower region is adapted to maintain suspension of said precursor materials. 제 40 항에 있어서,The method of claim 40, 상기 제 2 가스 흐름은 전체 가스 흐름 볼륨을 유지하도록 적응되는 것을 특징으로 하는 프리커서 생성 장치.And the second gas stream is adapted to maintain a total gas flow volume.
KR1020057022469A 2003-05-27 2004-05-27 Method and apparatus for generating a precursor for a semiconductor processing system KR101104058B1 (en)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US10/447,255 2003-05-27
US10/447,255 US6905541B2 (en) 2002-07-17 2003-05-27 Method and apparatus of generating PDMAT precursor
US47747803P 2003-06-05 2003-06-05
US60/477,478 2003-06-05
PCT/US2004/016715 WO2004106584A1 (en) 2003-05-27 2004-05-27 Method and apparatus for generating a precursor for a semiconductor processing system

Publications (2)

Publication Number Publication Date
KR20060052683A true KR20060052683A (en) 2006-05-19
KR101104058B1 KR101104058B1 (en) 2012-01-06

Family

ID=33493044

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020057022469A KR101104058B1 (en) 2003-05-27 2004-05-27 Method and apparatus for generating a precursor for a semiconductor processing system

Country Status (5)

Country Link
EP (1) EP1636400A1 (en)
JP (1) JP5342110B2 (en)
KR (1) KR101104058B1 (en)
CN (1) CN1795290B (en)
WO (1) WO2004106584A1 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100960188B1 (en) * 2006-10-19 2010-05-27 에어 프로덕츠 앤드 케미칼스, 인코오포레이티드 Solid source container with inlet plenum

Families Citing this family (300)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7964505B2 (en) 2005-01-19 2011-06-21 Applied Materials, Inc. Atomic layer deposition of tungsten materials
US7101795B1 (en) 2000-06-28 2006-09-05 Applied Materials, Inc. Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer
US7405158B2 (en) 2000-06-28 2008-07-29 Applied Materials, Inc. Methods for depositing tungsten layers employing atomic layer deposition techniques
US6551929B1 (en) 2000-06-28 2003-04-22 Applied Materials, Inc. Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques
US6936538B2 (en) 2001-07-16 2005-08-30 Applied Materials, Inc. Method and apparatus for depositing tungsten after surface treatment to improve film characteristics
US7732327B2 (en) 2000-06-28 2010-06-08 Applied Materials, Inc. Vapor deposition of tungsten materials
US6916398B2 (en) 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US7780785B2 (en) 2001-10-26 2010-08-24 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
US7081271B2 (en) 2001-12-07 2006-07-25 Applied Materials, Inc. Cyclical deposition of refractory metal silicon nitride
US6833161B2 (en) 2002-02-26 2004-12-21 Applied Materials, Inc. Cyclical deposition of tungsten nitride for metal oxide gate electrode
US6972267B2 (en) 2002-03-04 2005-12-06 Applied Materials, Inc. Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor
US7279432B2 (en) 2002-04-16 2007-10-09 Applied Materials, Inc. System and method for forming an integrated barrier layer
US20050252449A1 (en) 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
TWI329135B (en) 2005-11-04 2010-08-21 Applied Materials Inc Apparatus and process for plasma-enhanced atomic layer deposition
US7562672B2 (en) 2006-03-30 2009-07-21 Applied Materials, Inc. Chemical delivery apparatus for CVD or ALD
US8951478B2 (en) 2006-03-30 2015-02-10 Applied Materials, Inc. Ampoule with a thermally conductive coating
WO2008045972A2 (en) 2006-10-10 2008-04-17 Asm America, Inc. Precursor delivery system
US8821637B2 (en) 2007-01-29 2014-09-02 Applied Materials, Inc. Temperature controlled lid assembly for tungsten nitride deposition
US7678298B2 (en) 2007-09-25 2010-03-16 Applied Materials, Inc. Tantalum carbide nitride materials by vapor deposition processes
US7824743B2 (en) 2007-09-28 2010-11-02 Applied Materials, Inc. Deposition processes for titanium nitride barrier and aluminum
US8741062B2 (en) * 2008-04-22 2014-06-03 Picosun Oy Apparatus and methods for deposition reactors
US20100062149A1 (en) 2008-09-08 2010-03-11 Applied Materials, Inc. Method for tuning a deposition rate during an atomic layer deposition process
US8491967B2 (en) 2008-09-08 2013-07-23 Applied Materials, Inc. In-situ chamber treatment and deposition process
US8146896B2 (en) 2008-10-31 2012-04-03 Applied Materials, Inc. Chemical precursor ampoule for vapor deposition processes
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
JP5761067B2 (en) * 2012-02-13 2015-08-12 東京エレクトロン株式会社 Gas supply device and heat treatment device
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
TWI615497B (en) * 2013-02-28 2018-02-21 應用材料股份有限公司 Metal amide deposition precursors and their stabilization with an inert ampoule liner
TWI504774B (en) * 2013-03-14 2015-10-21 Nanmat Technology Co Ltd Preparing method of high purity pdmat precursor vapor
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102263121B1 (en) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. Semiconductor device and manufacuring method thereof
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
CN105132886B (en) * 2015-09-11 2018-03-23 兰州空间技术物理研究所 A kind of method for improving tubular substrate inner surface deposition film uniformity
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (en) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and method of operating the same
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10876205B2 (en) 2016-09-30 2020-12-29 Asm Ip Holding B.V. Reactant vaporizer and related systems and methods
US11926894B2 (en) 2016-09-30 2024-03-12 Asm Ip Holding B.V. Reactant vaporizer and related systems and methods
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (en) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Gas supply unit and substrate processing apparatus including the same
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (en) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (en) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (en) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (en) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. Methods for forming a semiconductor device structure and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (en) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (en) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (en) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (en) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. Equipment with a clean mini-environment
CN111316417B (en) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 Storage device for storing wafer cassettes for use with batch ovens
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (en) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 Deposition method
CN111630203A (en) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 Method for depositing gap filling layer by plasma auxiliary deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (en) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. Substrate processing method and apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (en) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (en) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. Substrate processing method
KR20190128558A (en) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
TW202349473A (en) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 Methods for forming a doped metal carbide film on a substrate and related semiconductor device structures
KR102596988B1 (en) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
TW202013553A (en) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (en) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing system
CN112292478A (en) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 Cyclic deposition methods for forming metal-containing materials and films and structures containing metal-containing materials
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (en) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11634812B2 (en) 2018-08-16 2023-04-25 Asm Ip Holding B.V. Solid source sublimator
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (en) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. Method for deposition of a thin film
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (en) 2018-10-01 2020-04-07 Asm Ip控股有限公司 Substrate holding apparatus, system including the same, and method of using the same
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (en) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and apparatuses for depositing thin film and processing the substrate including the same
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (en) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
KR102605121B1 (en) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (en) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and substrate processing apparatus including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (en) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. A method for cleaning a substrate processing apparatus
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (en) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 Method of forming device structure, structure formed by the method and system for performing the method
TWI819180B (en) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
KR20200091543A (en) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. Semiconductor processing device
CN111524788B (en) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 Method for topologically selective film formation of silicon oxide
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102626263B1 (en) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. Cyclical deposition method including treatment step and apparatus for same
TW202104632A (en) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
JP2020136678A (en) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー Method for filing concave part formed inside front surface of base material, and device
TW202100794A (en) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing apparatus and method for processing substrate
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Method for Selective Deposition of Silicon Nitride Layer and Structure Including Selectively-Deposited Silicon Nitride Layer
KR20200108243A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Structure Including SiOC Layer and Method of Forming Same
JP2020167398A (en) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー Door opener and substrate processing apparatus provided therewith
KR20200116855A (en) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. Method of manufacturing semiconductor device
KR20200123380A (en) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. Layer forming method and apparatus
KR20200125453A (en) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. Gas-phase reactor system and method of using same
KR20200130121A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Chemical source vessel with dip tube
KR20200130118A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Method for Reforming Amorphous Carbon Polymer Film
KR20200130652A (en) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. Method of depositing material onto a surface and structure formed according to the method
JP2020188255A (en) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. Wafer boat handling device, vertical batch furnace, and method
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (en) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. Method of using a gas-phase reactor system including analyzing exhausted gas
KR20200143254A (en) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. Method of forming an electronic structure using an reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (en) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. Temperature control assembly for substrate processing apparatus and method of using same
JP2021015791A (en) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. Plasma device and substrate processing method using coaxial waveguide
CN112216646A (en) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 Substrate supporting assembly and substrate processing device comprising same
KR20210010307A (en) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210010820A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Methods of forming silicon germanium structures
KR20210010816A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Radical assist ignition plasma system and method
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TW202121506A (en) 2019-07-19 2021-06-01 荷蘭商Asm Ip私人控股有限公司 Method of forming topology-controlled amorphous carbon polymer film
CN112309843A (en) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 Selective deposition method for achieving high dopant doping
CN112309899A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112309900A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (en) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 Liquid level sensor for chemical source container
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (en) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. Production apparatus of mixed gas of film deposition raw material and film deposition apparatus
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (en) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for forming a structure with a hole
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (en) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
KR20210029090A (en) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. Methods for selective deposition using a sacrificial capping layer
KR20210029663A (en) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11624113B2 (en) 2019-09-13 2023-04-11 Asm Ip Holding B.V. Heating zone separation for reactant evaporation system
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (en) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 Method for forming topologically selective silicon oxide film by cyclic plasma enhanced deposition process
TW202129060A (en) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 Substrate processing device, and substrate processing method
TW202115273A (en) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 Method of forming a photoresist underlayer and structure including same
KR20210045930A (en) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. Method of Topology-Selective Film Formation of Silicon Oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (en) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for selectively etching films
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (en) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (en) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
CN112951697A (en) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 Substrate processing apparatus
KR20210065848A (en) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. Methods for selectivley forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112885692A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
JP2021090042A (en) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. Substrate processing apparatus and substrate processing method
KR20210070898A (en) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210078405A (en) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (en) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. Method of forming thin film and method of modifying surface of thin film
TW202130846A (en) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 Method of forming structures including a vanadium or indium layer
TW202146882A (en) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Method of verifying an article, apparatus for verifying an article, and system for verifying a reaction chamber
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (en) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Method for growing phosphorous-doped silicon layer and system of the same
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (en) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. Substrate handling device with adjustable joints
KR20210124042A (en) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. Thin film forming method
TW202146689A (en) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 Method for forming barrier layer and method for manufacturing semiconductor device
TW202145344A (en) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 Apparatus and methods for selectively etching silcon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132605A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Vertical batch furnace assembly comprising a cooling gas supply
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132600A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
KR20210134869A (en) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Fast FOUP swapping with a FOUP handler
KR20210141379A (en) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. Laser alignment fixture for a reactor system
KR20210143653A (en) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210145078A (en) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. Structures including multiple carbon layers and methods of forming and using same
TW202201602A (en) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing device
TW202218133A (en) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method for forming a layer provided with silicon
TW202217953A (en) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing method
KR20220010438A (en) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. Structures and methods for use in photolithography
TW202204662A (en) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 Method and system for depositing molybdenum layers
TW202212623A (en) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 Method of forming metal silicon oxide layer and metal silicon oxynitride layer, semiconductor structure, and system
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (en) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing material on stepped structure
TW202217037A (en) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing vanadium metal, structure, device and a deposition assembly
TW202223136A (en) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 Method for forming layer on substrate, and semiconductor processing system
TW202235675A (en) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 Injector, and substrate processing apparatus
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (en) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 Transition metal deposition method, transition metal layer, and deposition assembly for depositing transition metal on substrate
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000252269A (en) * 1992-09-21 2000-09-14 Mitsubishi Electric Corp Equipment and method for liquid vaporization
US6274195B1 (en) * 1999-04-30 2001-08-14 Postech Foundation Organometallic complex process for the preparation thereof and metal organic chemical vapor deposition using same
JP2001049434A (en) * 1999-08-10 2001-02-20 Asahi Denka Kogyo Kk METHOD FOR FORMATION OF TiN FILM AND PRODUCTION OF ELECTRONIC PARTS
US6984415B2 (en) * 1999-08-20 2006-01-10 International Business Machines Corporation Delivery systems for gases for gases via the sublimation of solid precursors
JP4672897B2 (en) * 2001-04-13 2011-04-20 田中貴金属工業株式会社 Process for producing bis (cyclopentadienyl) ruthenium derivative
JP4757403B2 (en) * 2001-06-01 2011-08-24 東京エレクトロン株式会社 Solid material vaporizer
JP2005528776A (en) * 2001-09-26 2005-09-22 アプライド マテリアルズ インコーポレイテッド Integration of barrier layer and seed layer
EP1444380B1 (en) * 2001-10-26 2005-03-23 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
US6916398B2 (en) * 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100960188B1 (en) * 2006-10-19 2010-05-27 에어 프로덕츠 앤드 케미칼스, 인코오포레이티드 Solid source container with inlet plenum

Also Published As

Publication number Publication date
CN1795290B (en) 2010-06-16
EP1636400A1 (en) 2006-03-22
CN1795290A (en) 2006-06-28
KR101104058B1 (en) 2012-01-06
WO2004106584A1 (en) 2004-12-09
WO2004106584B1 (en) 2005-02-17
JP2007501536A (en) 2007-01-25
JP5342110B2 (en) 2013-11-13

Similar Documents

Publication Publication Date Title
KR101104058B1 (en) Method and apparatus for generating a precursor for a semiconductor processing system
US8062422B2 (en) Method and apparatus for generating a precursor for a semiconductor processing system
US7524374B2 (en) Method and apparatus for generating a precursor for a semiconductor processing system
US6265311B1 (en) PECVD of TaN films from tantalum halide precursors
US6410433B1 (en) Thermal CVD of TaN films from tantalum halide precursors
TWI615497B (en) Metal amide deposition precursors and their stabilization with an inert ampoule liner
EP1508631B1 (en) Method and apparatus for the delivery of precursor materials
US6410432B1 (en) CVD of integrated Ta and TaNx films from tantalum halide precursors
WO2003050323A1 (en) Cyclical deposition of refractory metal silicon nitride
JP2008112994A (en) Solid source container with inlet plenum
US20050069641A1 (en) Method for depositing metal layers using sequential flow deposition
JP5583078B2 (en) Method and apparatus for generating precursors for semiconductor processing systems
US6413860B1 (en) PECVD of Ta films from tanatalum halide precursors
WO2000065126A1 (en) Cvd tantalum nitride plug formation from tantalum halide precursors
KR20070117734A (en) Process gas generating apparatus for use in semiconductor device fabrication equipment
KR20010063479A (en) Method of forming a copper wiring in a semiconductor device

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20141230

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20151230

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20161229

Year of fee payment: 6

LAPS Lapse due to unpaid annual fee