KR20050086404A - Heat-treating apparatus - Google Patents

Heat-treating apparatus Download PDF

Info

Publication number
KR20050086404A
KR20050086404A KR1020057001068A KR20057001068A KR20050086404A KR 20050086404 A KR20050086404 A KR 20050086404A KR 1020057001068 A KR1020057001068 A KR 1020057001068A KR 20057001068 A KR20057001068 A KR 20057001068A KR 20050086404 A KR20050086404 A KR 20050086404A
Authority
KR
South Korea
Prior art keywords
heater element
heater
reaction chamber
heat treatment
heat
Prior art date
Application number
KR1020057001068A
Other languages
Korean (ko)
Other versions
KR100880966B1 (en
Inventor
다까노리 사이또
히사에이 오사나이
Original Assignee
도쿄 엘렉트론 가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄 엘렉트론 가부시키가이샤 filed Critical 도쿄 엘렉트론 가부시키가이샤
Priority to KR1020057001068A priority Critical patent/KR100880966B1/en
Publication of KR20050086404A publication Critical patent/KR20050086404A/en
Application granted granted Critical
Publication of KR100880966B1 publication Critical patent/KR100880966B1/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05BELECTRIC HEATING; ELECTRIC LIGHT SOURCES NOT OTHERWISE PROVIDED FOR; CIRCUIT ARRANGEMENTS FOR ELECTRIC LIGHT SOURCES, IN GENERAL
    • H05B3/00Ohmic-resistance heating
    • H05B3/62Heating elements specially adapted for furnaces
    • H05B3/66Supports or mountings for heaters on or in the wall or roof

Abstract

A heat-treating apparatus comprising a reaction chamber accommodating an object to be treated, a heat-insulating body surrounding the reaction chamber, holes defined in the heat- insulating body, annular spacer members provided in the holes, and heater elements inserted in the annular spacer members.

Description

열처리 장치{HEAT-TREATING APPARATUS}Heat treatment device {HEAT-TREATING APPARATUS}

본 발명은 피처리체, 예를 들어 반도체 웨이퍼를 열처리하는 열처리 장치에 이용되는 열처리 장치용 히터의 고정 방법 및 열처리 장치에 관한 것이다. The present invention relates to a fixing method and a heat treatment apparatus for a heater for a heat treatment apparatus used in a heat treatment apparatus for heat treating a target object, for example, a semiconductor wafer.

반도체 장치의 제조 프로세스에 있어서는 피처리체, 예를 들어 반도체 웨이퍼의 성막 처리나 산화 처리 등의 각종 처리를 행하기 위해 열처리 장치가 이용되고 있다. 열처리 장치는 반도체 웨이퍼를 수용하는 반응실을 구비하고 있다. 상기 반응실을 둘러싸도록 히터와 단열체를 갖는 가열 부재가 설치되어 있다. 상기 히터에 의해 반응실이 소정의 온도로 가열되어 반도체 웨이퍼에 각종 처리가 행해진다. In the manufacturing process of a semiconductor device, the heat processing apparatus is used in order to perform various processes, such as a film-forming process and an oxidation process of a to-be-processed object, for example, a semiconductor wafer. The heat treatment apparatus includes a reaction chamber for accommodating a semiconductor wafer. A heating member having a heater and a heat insulator is provided to surround the reaction chamber. The reaction chamber is heated to a predetermined temperature by the heater, and various processes are performed on the semiconductor wafer.

열처리 장치의 히터로서는, 예를 들어 선형의 저항 발열체를 밀봉 부재에 봉입하여 형성된 긴 형상의 히터 요소가 이용되고 있다. 또한, 단열체는, 예를 들어 스테인레스강(SUS)으로 형성되어 있다. 단열체에는 복수의 구멍이 형성되어 있다. 그리고, 단열체의 구멍에 히터 요소가 삽입된다. 복수의 구멍은 히터 요소가 반응실로부터 소정의 간격을 갖도록 배치된다. As the heater of the heat treatment apparatus, for example, an elongated heater element formed by sealing a linear resistance heating element in a sealing member is used. In addition, the heat insulator is formed of stainless steel (SUS), for example. A plurality of holes are formed in the heat insulator. Then, the heater element is inserted into the hole of the heat insulator. The plurality of holes are arranged such that the heater elements have a predetermined distance from the reaction chamber.

이상과 같이, 종래의 열처리 장치에서는 히터 요소가 단열체의 구멍에 직접적으로 삽입된다. 따라서, 히터 요소와 단열체의 구멍의 내벽이 마찰되어 금속 가루(금속 오염물)와 같은 오염 물질을 발생해 버릴 우려가 있다. 이와 같은 오염 물질이 발생한 상태에서 열처리를 행하면, 상기 오염 물질이 반도체 웨이퍼에 부착될 수 있다. 그 경우, 형성된 반도체 장치의 특성이 악화되어, 결과적으로 수율이 나빠져 버린다. 실제로, 오염 물질이 금속 오염물인 경우, 형성된 반도체 장치의 특성이 뚜렷하게 악화된다. 또한, 히터 요소와 단열체의 구멍의 내벽이 마찰됨으로써 히터 요소 자체가 파손될 우려가 있다. As described above, in the conventional heat treatment apparatus, the heater element is directly inserted into the hole of the heat insulator. Therefore, there is a fear that contaminants such as metal powder (metal contaminants) may be generated by friction between the heater element and the inner wall of the hole of the heat insulator. When the heat treatment is performed in a state where such a contaminant is generated, the contaminant may adhere to the semiconductor wafer. In that case, the characteristics of the formed semiconductor device deteriorate and, as a result, the yield deteriorates. In fact, when the contaminant is a metal contaminant, the characteristics of the formed semiconductor device are significantly deteriorated. In addition, the heater element itself may be damaged by friction between the heater element and the inner wall of the hole of the heat insulator.

또한, 히터 요소의 단부에는 전원에 접속된 케이블이 접속되어 있지만, 예를 들어 케이블이 다른 부재에 얽힌 경우와 같이 케이블에 부하가 가해지면 히터 요소가 케이블로 인장되어 파손될 우려가 있다. In addition, although a cable connected to a power source is connected to the end of the heater element, when a load is applied to the cable, for example, when the cable is entangled with another member, the heater element may be stretched by the cable and be damaged.

도1은 본 발명의 일 실시 형태의 열처리 장치의 개략도이다. 1 is a schematic diagram of a heat treatment apparatus of an embodiment of the present invention.

도2는 본 발명의 일 실시 형태의 단열체의 사시도이다. 2 is a perspective view of a heat insulator of one embodiment of the present invention.

도3은 본 발명의 일 실시 형태의 단열체의 구멍에 히터 요소가 삽입된 상태를 도시한 사시도이다. 3 is a perspective view showing a state in which a heater element is inserted into a hole of an insulator of an embodiment of the present invention.

도4는 본 발명의 일 실시 형태의 단열체의 구멍에 히터 요소가 삽입된 상태의 상기 구멍 부근의 확대도이다. Fig. 4 is an enlarged view of the vicinity of the hole in the state where the heater element is inserted into the hole of the heat insulator of the embodiment of the present invention.

도5는 본 발명의 일 실시 형태의 히터 요소의 측면도이다. 5 is a side view of a heater element of one embodiment of the present invention.

도6은 본 발명의 일 실시 형태의 히터 요소와 케이블의 접속 상태를 도시하는 측면도이다. Fig. 6 is a side view showing a connection state of a heater element and a cable of one embodiment of the present invention.

도7a는 본 발명의 일 실시 형태의 제1 서브 히터의 사시도이고, 도7b는 본 발명의 일 실시 형태의 제1 서브 히터의 측면도이다. 7A is a perspective view of a first sub-heater of one embodiment of the present invention, and FIG. 7B is a side view of the first sub-heater of one embodiment of the present invention.

본 발명은 상기 문제에 비추어 이루어진 것으로, 오염 물질의 발생이 방지되는 열처리 장치용 히터의 고정 방법 및 열처리 장치를 제공하는 것을 목적으로 한다. The present invention has been made in view of the above problems, and an object thereof is to provide a fixing method and a heat treatment apparatus for a heater for a heat treatment apparatus in which generation of contaminants is prevented.

또한, 본 발명은 히터의 파손을 방지할 수 있는 열처리 장치용 히터의 고정 방법 및 열처리 장치를 제공하는 것을 목적으로 한다. In addition, an object of the present invention is to provide a fixing method and a heat treatment apparatus for a heater for a heat treatment apparatus that can prevent damage to the heater.

본 발명은 피처리체를 수용하기 위한 반응실과, 상기 반응실을 둘러싸도록 설치된 단열체와, 상기 단열체에 마련된 복수의 구멍과, 상기 복수의 구멍의 내부에 각각 설치된 복수의 환형의 스페이서 부재와, 상기 복수의 환형 스페이서 부재 내에 각각 삽입된 복수의 히터 요소를 구비한 것을 특징으로 하는 열처리 장치이다. The present invention provides a reaction chamber for accommodating a target object, a heat insulator provided to surround the reaction chamber, a plurality of holes provided in the heat insulator, a plurality of annular spacer members respectively provided in the plurality of holes, And a plurality of heater elements respectively inserted in the plurality of annular spacer members.

본 발명에 따르면, 복수의 히터 요소가 복수의 환형 스페이서 부재에 의해 고정된다. 이로 인해, 히터 요소와 단열체의 구멍의 내벽이 마찰되는 일이 없어져 오염 물질이 발생하지 않게 된다. 또한, 히터 요소가 파손될 우려가 없어진다. According to the invention, a plurality of heater elements are fixed by a plurality of annular spacer members. This prevents friction between the heater element and the inner wall of the hole of the heat insulator, and no contaminants are generated. In addition, there is no risk of breakage of the heater element.

바람직하게는, 상기 스페이서 부재는 탄성을 갖고 있다. 예를 들어, 상기 스페이서 부재는 O링이다. Preferably, the spacer member is elastic. For example, the spacer member is an O ring.

예를 들어, 상기 히터 요소는 직관형 부분을 갖고 있다. 이 경우, 상기 히터 요소의 직관형 부분은 상기 반응실에 대해 소정의 간격으로 배치되어 있는 것이 바람직하다. For example, the heater element has a straight portion. In this case, the tubular portion of the heater element is preferably arranged at predetermined intervals with respect to the reaction chamber.

또한, 본 발명은 피처리체를 수용하기 위한 반응실과, 상기 반응실을 둘러싸도록 설치된 금속제의 단열체와, 상기 단열체에 마련된 복수의 구멍과, 상기 복수의 구멍의 내부에 각각 삽입된 복수의 히터 요소를 구비하고, 상기 복수의 구멍의 내벽은 세라믹으로 코팅되어 있는 것을 특징으로 하는 열처리 장치이다. In addition, the present invention is a reaction chamber for accommodating the object to be processed, a metal heat insulator provided to surround the reaction chamber, a plurality of holes provided in the heat insulator, and a plurality of heaters respectively inserted into the plurality of holes. And an inner wall of the plurality of holes is coated with ceramic.

본 발명에 따르면, 복수의 히터 요소는 세라믹으로 코팅된 구멍의 내벽과 접촉하고, 단열체의 금속 부분과는 접촉하지 않는다. 이로 인해, 금속 오염물(오염 물질)이 발생하지 않고, 히터 요소가 파손될 우려도 저감된다. According to the invention, the plurality of heater elements are in contact with the inner wall of the pores coated with ceramics and are not in contact with the metal part of the insulator. For this reason, metal contaminants (contaminants) are not generated and the risk of breakage of the heater element is also reduced.

바람직하게는, 상기 세라믹은 알루미나, 실리카 또는 이트리아이다. Preferably, the ceramic is alumina, silica or yttria.

바람직하게는, 상기 세라믹은 다공질이다. 이 경우, 열충격성이 향상된다. Preferably, the ceramic is porous. In this case, thermal shock resistance is improved.

또한, 바람직하게는, 상기 단열체의 상기 반응실측의 면은 세라믹으로 코팅되어 있다. 이 경우, 히터 요소가 단열체의 금속면과 접촉하는 것이 완전히 방지되므로, 금속 오염물의 발생이 방지되어 히터 요소가 파손될 우려가 한층 저감된다. Further, preferably, the surface of the reaction chamber side of the heat insulator is coated with ceramic. In this case, since the heater element is completely prevented from coming into contact with the metal surface of the heat insulator, the generation of metal contaminants is prevented and the risk that the heater element is broken is further reduced.

또한, 바람직하게는, 상기 히터 요소는 케이블에 접속되어 있고, 상기 케이블은 상기 히터 요소의 단부 근방에서 고정 부재에 의해 장치 외벽에 고정되어 있다. 이 경우, 케이블에 부하가 가해져도 히터 요소가 파손될 우려가 작다. 또한 이 경우, 케이블이 정렬되어 얽히기 어렵다. Further, preferably, the heater element is connected to a cable, and the cable is fixed to the device outer wall by a fixing member near the end of the heater element. In this case, there is little possibility that a heater element will be damaged even if a load is applied to a cable. Also in this case, the cables are aligned and difficult to entangle.

상기 고정 부재는, 예를 들어 타이랩(tie wrap)이다. The fixing member is for example a tie wrap.

또한, 본 발명은 피처리체를 수용하기 위한 반응실과, 상기 반응실을 둘러싸도록 설치된 단열체와, 상기 단열체에 마련된 복수의 구멍과, 상기 복수의 구멍의 내부에 각각 설치된 복수의 환형의 스페이서 부재와, 상기 복수의 환형 스페이서 부재 내에 각각 삽입된 복수의 히터 요소를 구비한 열처리 장치를 조립하는 방법이며, 상기 복수의 구멍의 내부에 각각 복수의 환형의 스페이서 부재를 배치하는 공정과, 상기 복수의 환형 스페이서 부재 내에 각각 복수의 히터 요소를 삽입하는 공정을 구비한 것을 특징으로 하는 방법이다. The present invention also provides a reaction chamber for accommodating a target object, a heat insulator provided to surround the reaction chamber, a plurality of holes provided in the heat insulator, and a plurality of annular spacer members respectively provided in the plurality of holes. And a method of assembling a heat treatment apparatus having a plurality of heater elements respectively inserted into the plurality of annular spacer members, the process of disposing a plurality of annular spacer members in the plurality of holes, respectively, And a step of inserting a plurality of heater elements into the annular spacer member, respectively.

본 발명에 따르면, 복수의 히터 요소가 복수의 환형 스페이서 부재에 의해 고정된다. 이로 인해, 히터 요소와 단열체의 구멍의 내벽이 마찰되는 일이 없어져 오염 물질이 발생하지 않게 된다. 또한, 히터 요소가 파손될 우려가 없어진다. According to the invention, a plurality of heater elements are fixed by a plurality of annular spacer members. This prevents friction between the heater element and the inner wall of the hole of the heat insulator, and no contaminants are generated. In addition, there is no risk of breakage of the heater element.

바람직하게는 상기 히터 요소에 접속된 케이블을 상기 히터 요소의 단부 근방에서 고정 부재에 의해 장치 외벽에 고정하는 공정을 더 구비한다. Preferably, the method further comprises a step of fixing the cable connected to the heater element to the device outer wall by a fixing member near the end of the heater element.

혹은, 바람직하게는 상기 히터 요소에 접속된 케이블을 상기 히터 요소의 단부 근방에서 타이랩에 의해 장치 외벽에 고정하는 공정을 더 구비한다. Alternatively, the method further comprises a step of fixing the cable connected to the heater element to the device outer wall by a tie wrap near the end of the heater element.

이하, 본 발명의 실시 형태에 따른 열처리 장치용 히터의 고정 방법 및 열처리 장치에 대해 도1에 도시하는 배치식 종형 처리 장치를 이용한 경우를 예로 설명한다. Hereinafter, the case where the batch type vertical processing apparatus shown in FIG. 1 is used for the fixing method and the heat processing apparatus of the heater for heat processing apparatuses which concern on embodiment of this invention is demonstrated to an example.

도1에 도시한 바와 같이, 열처리 장치(1)는 길이 방향이 수직 방향을 향하게 된 대략 원통형의 반응관(2)을 구비하고 있다. 반응관(2)은 내관(3)과 상기 내관(3)을 덮는 동시에 내관(3)과 소정의 간격을 갖는 천정이 있는 외관(4)으로 구성된 2중관 구조를 갖는다. 내관(3) 및 외관(4)은 단열 재료, 예를 들어 석영에 의해 형성되어 있다. As shown in Fig. 1, the heat treatment apparatus 1 includes a substantially cylindrical reaction tube 2 whose longitudinal direction is directed in the vertical direction. The reaction tube 2 has a double tube structure composed of an inner tube 3 and an outer tube 4 covering the inner tube 3 and having a ceiling having a predetermined distance from the inner tube 3. The inner tube 3 and the outer tube 4 are formed of a heat insulating material, for example, quartz.

외관(4)의 하방에는 통형으로 형성된 스테인레스강(SUS)으로 이루어지는 매니폴드(5)가 배치되어 있다. 매니폴드(5)는 외관(4)의 하단부와 기밀하게 접속되어 있다. 또한, 내관(3)은 매니폴드(5)의 내벽으로부터 돌출되는 동시에 매니폴드(5)와 일체로 형성된 지지 링(6)에 지지되어 있다. Below the external appearance 4, the manifold 5 which consists of stainless steel (SUS) formed cylindrically is arrange | positioned. The manifold 5 is hermetically connected to the lower end of the exterior 4. In addition, the inner tube 3 is supported by a support ring 6 which protrudes from the inner wall of the manifold 5 and is formed integrally with the manifold 5.

매니폴드(5)의 하방에는 덮개(7)가 배치되어 있다. 보트 엘리베이터(8)에 의해 덮개(7)는 상하 이동 가능하게 구성되어 있다. 그리고, 보트 엘리베이터(8)에 의해 덮개(7)가 상승하면 매니폴드(5)의 하방측이 폐쇄된다. The lid 7 is disposed below the manifold 5. The cover 7 is comprised by the boat elevator 8 so that up-and-down movement is possible. And when the cover 7 is raised by the boat elevator 8, the lower side of the manifold 5 will close.

덮개(7)에는 덮개(7)를 관통하는 동시에, 모터(M)에 의해 회전하는 회전축(9)이 형성되어 있다. 회전축(9) 상에는 회전 가능한 턴테이블(10)이 형성되어 있다. 턴테이블(10) 상에는 보온 유닛(11)을 거쳐서, 예를 들어 석영으로 이루어지는 웨이퍼 보트(12)가 적재되어 있다. 웨이퍼 보트(12)에는 피처리체, 예를 들어 반도체 웨이퍼(W)가 수직 방향에 소정의 간격을 두고 복수매 수용되어 있다. 그리고, 모터(M)에 의해 회전축(9)이 회전함으로써 턴테이블(10), 보온 유닛(11)을 거쳐서 웨이퍼 보트(12)가 회전되고, 즉 반도체 웨이퍼(W)가 회전된다. The cover 7 is formed with a rotating shaft 9 which passes through the cover 7 and rotates by the motor M. As shown in FIG. The turntable 10 which is rotatable is formed on the rotation shaft 9. On the turntable 10, a wafer boat 12 made of, for example, quartz is mounted via a heat insulating unit 11. In the wafer boat 12, a plurality of workpieces, for example, semiconductor wafers W, are housed at predetermined intervals in a vertical direction. Then, when the rotating shaft 9 is rotated by the motor M, the wafer boat 12 is rotated through the turntable 10 and the thermal insulation unit 11, that is, the semiconductor wafer W is rotated.

매니폴드(5)의 측벽을 복수의 처리 가스 도입관(13)이 관통하고 있다[도1에서는 도시의 편의상 단지 하나의 처리 가스 도입관(13)만이 도시되어 있음]. 처리 가스 도입관(13)은 내관(3)의 내부까지 연장 설치되어 있다. 예를 들어, 도1에 도시한 바와 같이 지지 링(6)보다 하방[내관(3)의 하방]의 매니폴드(5)의 측벽을 처리 가스 도입관(13)이 관통하고, 처리 가스 도입관(13)의 선단부가 내관(3)에 따라서 상방으로 구부러져 있다. 이에 의해, 도시하지 않은 가스 공급원으로부터 공급되는 처리 가스가 처리 가스 도입관(13)을 통해 내관(3) 내로 도입된다.A plurality of process gas introduction pipes 13 penetrate the side wall of the manifold 5 (only one process gas introduction pipe 13 is shown in FIG. 1 for convenience of illustration). The process gas introduction pipe 13 extends to the inside of the inner pipe 3. For example, as shown in FIG. 1, the processing gas introduction pipe 13 penetrates through the side wall of the manifold 5 below the support ring 6 (downward of the inner pipe 3), and the processing gas introduction pipe. The tip of (13) is bent upward along the inner tube (3). Thereby, the process gas supplied from the gas supply source which is not shown in figure is introduce | transduced into the inner tube 3 through the process gas introduction tube 13.

또한, 매니폴드(5)의 측벽에는 배기관(14)이 접속되어 있다. 배기관(14)은 지지 링(6)보다 상방의 매니폴드(5)의 측벽에 접속되어 반응관(2)의 내관(3)과 외관(4) 사이에 형성된 공간에 연통한다. 이에 의해, 반응관(2) 내의 배기 가스는 내관(3)과 외관(4) 사이의 공간 및 배기관(14)을 통해 열처리 장치(1) 밖으로 배출되도록 되어 있다. 배기관(14)은, 도시하지 않은 진공 펌프 등으로 이루어지는 배기 유닛에 접속되어 있다. 배기 유닛은 반응관(2) 내의 압력을 소정의 압력으로 설정 가능하다. In addition, an exhaust pipe 14 is connected to the side wall of the manifold 5. The exhaust pipe 14 is connected to the side wall of the manifold 5 above the support ring 6 and communicates with the space formed between the inner tube 3 and the outer tube 4 of the reaction tube 2. As a result, the exhaust gas in the reaction tube 2 is discharged out of the heat treatment apparatus 1 through the space between the inner tube 3 and the outer tube 4 and the exhaust pipe 14. The exhaust pipe 14 is connected to the exhaust unit which consists of a vacuum pump etc. which are not shown in figure. The exhaust unit can set the pressure in the reaction tube 2 to a predetermined pressure.

반응관(2)의 주위에는 반응관(2)을 둘러싸도록 스테인레스강(SUS)으로 이루어지는 단열체(15)가 설치되어 있다. 도2에 단열체(15)의 사시도를 도시한다. 도2에 도시한 바와 같이, 단열체(15)는 통형의 본체(15a)와 천정판(15b)과 바닥판(15c)으로 이루어진다. 본체(15a)의 직경은 반응관(2)보다 대직경이다. 천정판(15b)은 본체(15a)의 상부를 덮는 원판형의 판이다. 바닥판(15c)은 링형으로, 그 내주벽이 반응관(2)의 외주벽에 대응하는 크기로 형성되어 있다. 단열체(15)의 본체(15a)의 내부에는 도시하지 않은 냉매 유로(예를 들어 냉각수로)가 마련되어 있다. 이에 의해, 냉각수로에 냉각수를 공급함으로써 반응관(2)의 온도를 냉각할 수 있다.The heat insulator 15 which consists of stainless steel (SUS) is provided in the circumference | surroundings of the reaction tube 2 so that the reaction tube 2 may be enclosed. 2 shows a perspective view of the heat insulator 15. As shown in Fig. 2, the heat insulator 15 is composed of a cylindrical main body 15a, a ceiling plate 15b, and a bottom plate 15c. The diameter of the main body 15a is larger than the reaction tube 2. The ceiling plate 15b is a disk-shaped plate covering the upper part of the main body 15a. The bottom plate 15c is ring-shaped, and its inner circumferential wall is formed in a size corresponding to the outer circumferential wall of the reaction tube 2. Inside the main body 15a of the heat insulator 15, a coolant flow path (for example, a cooling water path) (not shown) is provided. Thereby, the temperature of the reaction tube 2 can be cooled by supplying cooling water to a cooling water path.

단열체(15)의 천정판(15b)에는 복수의 구멍(16a)이 형성되어 있다. 구멍(16a)은 그 주연부에 따라서, 예를 들어 수㎜ 간격으로 등간격으로 형성되어 있다. 또한, 단열체(15)의 바닥판(15c)에는 복수의 구멍(16a)에 대응하는 위치[복수의 구멍(16)의 수직 하방 위치]에 복수의 구멍(17a)이 형성되어 있다. A plurality of holes 16a are formed in the ceiling plate 15b of the heat insulator 15. The holes 16a are formed at equal intervals, for example, at intervals of several mm, along the periphery thereof. In addition, a plurality of holes 17a are formed in the bottom plate 15c of the heat insulator 15 at positions corresponding to the plurality of holes 16a (vertical downward positions of the plurality of holes 16).

그리고, 도3에 도시한 바와 같이, 구멍(16a) 및 구멍(17a)에 히터 요소(18)가 수직으로 삽입된다. 즉, 히터 요소(18)는 단열체(15)의 천정판(15b)과 바닥판(15c)을 관통하여 배치된다. 또한, 도3에서는 히터 요소(18)가 삽입된 상태를 알기 쉽게 하기 위해, 일부의 히터 요소(18)만이 도시되어 있다. 이와 같은 복수의 히터 요소(18)에 의해 열처리 장치용 히터가 구성된다. Then, as shown in Fig. 3, the heater element 18 is inserted vertically into the hole 16a and the hole 17a. That is, the heater element 18 is disposed through the ceiling plate 15b and the bottom plate 15c of the heat insulator 15. In addition, only some heater elements 18 are shown in FIG. 3 in order to make the state in which the heater elements 18 are inserted easier to understand. Such a plurality of heater elements 18 constitute a heater for the heat treatment apparatus.

본 실시 형태에서는 또한 천정판(15b)에 후술하는 제1 서브 히터가 삽입되는 구멍(16b)이 형성되고, 바닥판(15c)에 후술하는 제2 서브 히터가 삽입되는 구멍(17b)이 형성되어 있다. In the present embodiment, a hole 16b into which the first sub heater described later is inserted is formed in the ceiling plate 15b, and a hole 17b into which the second sub heater described later is inserted is formed in the bottom plate 15c. have.

그런데, 각 구멍(16a, 17a)에는 O링(19)이 배치되어 있다. 도4에 히터 요소(18)가 삽입된 상태의 구멍(16a) 부근의 개략도를 도시한다. 도4에 도시한 바와 같이 구멍(16a)의 내벽에 O링(19)이 배치되고, 상기 O링(19) 내에 히터 요소(18)가 삽입되어 있다. 이로 인해, 히터 요소(18)는 O링(19)을 거쳐서 구멍(16a)의 내벽에 견고하게 고정되어 구멍(16a) 내에서 덜걱거리는 일이 없어진다. 따라서, 히터 요소(18)와 구멍(16a)의 내벽이 마찰되는 일이 없어져 분말체(오염 물질)를 발생할 우려가 없어진다. 또한, 히터 요소(18)와 구멍(16a)의 내벽이 마찰되는 일이 없어지므로, 히터 요소(18)가 파손될 우려도 없어진다. 또한, 이상은 구멍(16a)에 대해 설명되었지만, 구멍(17a)에 대해서도 마찬가지이다. By the way, the O-ring 19 is arrange | positioned in each hole 16a, 17a. 4 shows a schematic view of the vicinity of the hole 16a with the heater element 18 inserted. As shown in FIG. 4, an O-ring 19 is disposed on the inner wall of the hole 16a, and a heater element 18 is inserted into the O-ring 19. As shown in FIG. As a result, the heater element 18 is firmly fixed to the inner wall of the hole 16a via the O-ring 19 so that there is no rattling in the hole 16a. Therefore, the heater element 18 and the inner wall of the hole 16a are not rubbed, and there is no fear of generating powder (pollutant). In addition, since the inner wall of the heater element 18 and the hole 16a is not rubbed, there is no fear that the heater element 18 will be broken. In addition, although the above was demonstrated about the hole 16a, it is the same also about the hole 17a.

또한, 단열체(15)의 내벽은 세라믹으로 코팅되어 있다. 단열체(15)의 내벽이 세라믹으로 코팅되어 있으므로, 히터 요소(18)는 단열체(15)의 금속면(스테인레스강)과 절대로 접촉하지 않는다. 이로 인해, 금속 오염물이 발생하지 않게 된다. 또한, 히터 요소(18)가 단열체(15)의 금속면과 접촉하지 않으므로, 히터 요소(18)는 더욱 파손되기 어려워진다. 세라믹으로서는, 예를 들어 알루미나, 실리카, 또는 이트리아가 이용된다. 이 세라믹은 다공질(폴라스)로 형성되어 있다. 세라믹이 폴라스이면, 열충격성이 향상된다. In addition, the inner wall of the heat insulator 15 is coated with ceramic. Since the inner wall of the insulator 15 is coated with ceramic, the heater element 18 never comes into contact with the metal surface (stainless steel) of the insulator 15. As a result, metal contaminants are not generated. In addition, since the heater element 18 does not contact the metal surface of the heat insulator 15, the heater element 18 is more difficult to break. As the ceramic, for example, alumina, silica or yttria is used. This ceramic is made of porous (polar). If the ceramic is polar, thermal shock resistance is improved.

도5에 히터 요소(18)의 개략도를 도시한다. 도5에 도시한 바와 같이 히터 요소(18)는 저항 발열체(18a)와, 밀봉 부재(18b)를 구비하고 있다. 저항 발열체(18a)는, 예를 들어 선형이고 가요성이 있는 고순도의 카본 와이어로 구성되어 있다. 이 카본 와이어는, 예를 들어 선 직경 10 ㎛ 전후의 카본 부재인 카본 파이버의 복수의 다발을 직조함으로써 형성된다. 밀봉 부재(18b)는 세라믹으로 이루어져 저항 발열체(18a)를 밀봉한다. 본 실시 형태에서는 카본 와이어가, 외경이 수십㎜의 투명한 석영관 중에 봉입되어 있다. 저항 발열체(18a)의 양단부는 전극 부재(20)에 접속되어 있다. 밀봉 부재(18b)는 한 쪽 전극 부재(20)의 단부로부터 다른 쪽 전극 부재(20)의 단부까지를 밀봉하고, 그것에 의해 저항 발열체(18a)가 밀봉 부재(18b)에 봉입되어 있다. 5 shows a schematic view of the heater element 18. As shown in FIG. 5, the heater element 18 is provided with the resistance heating body 18a and the sealing member 18b. The resistance heating element 18a is made of, for example, linear and flexible high purity carbon wire. This carbon wire is formed by weaving a plurality of bundles of carbon fibers that are, for example, carbon members having a line diameter of about 10 μm. The sealing member 18b is made of ceramic to seal the resistance heating element 18a. In this embodiment, the carbon wire is enclosed in a transparent quartz tube having an outer diameter of several tens of mm. Both ends of the resistance heating body 18a are connected to the electrode member 20. The sealing member 18b seals from the end of one electrode member 20 to the end of the other electrode member 20, whereby the resistance heating element 18a is sealed in the sealing member 18b.

전극 부재(20)는 외부의 케이블(21)에 접속된다. 케이블(21)은 도시하지 않은 전원에 접속된다. 도6에 히터 요소(18)와 케이블(21)의 접속 상태를 도시한다. 도6에 도시한 바와 같이, 히터 요소(18)에 접속된 전극 부재(20)는 케이블(21)의 코어선(21a)에 접속되어 있다. 전극 부재(20)와 코어선(21a)은, 예를 들어 고온 납땜 또는 압착에 의해 접속되어 있다. 그리고, 전원으로부터 케이블(21), 전극 부재(20)를 거쳐서 저항 발열체(18a)로 전력이 공급되어 히터 요소(18)가 가열된다. The electrode member 20 is connected to an external cable 21. The cable 21 is connected to a power supply not shown. 6 shows a connection state of the heater element 18 and the cable 21. As shown in FIG. 6, the electrode member 20 connected to the heater element 18 is connected to the core line 21a of the cable 21. The electrode member 20 and the core wire 21a are connected by high temperature soldering or crimping, for example. Then, electric power is supplied from the power supply to the resistance heating element 18a via the cable 21 and the electrode member 20 to heat the heater element 18.

또한, 히터 요소(18)에 접속된 케이블(21)은 히터 요소(18)의 단부 근방에서 고정 부재(22)에 의해 외벽 또는 외벽 커버(도시하지 않음)에 고정되어 있다. 고정 부재(22)로서는, 예를 들어 타이랩이 이용된다. 이 경우, 케이블(21)은 타이랩에 의해 협지됨으로써 외벽 또는 외벽 커버에 고정된다. 이와 같이 케이블(21)은 히터 요소(18)의 단부 근방에서 고정 부재(22)에 의해 외벽 또는 외벽 커버에 고정되어 있으므로, 만약 케이블(21)이 다른 부재에 걸렸거나 하여 케이블(21)에 부하가 가해져도 히터 요소(18)가 파손될 우려가 없다. 또한, 케이블(21)은 히터 요소(18)의 단부 근방에서 고정되어 있으므로, 정렬되어 얽히기 어렵게 되어 있다.In addition, the cable 21 connected to the heater element 18 is fixed to the outer wall or the outer wall cover (not shown) by the fixing member 22 near the end of the heater element 18. As the fixing member 22, a tie wrap is used, for example. In this case, the cable 21 is fixed to the outer wall or the outer wall cover by being pinched by the tie wrap. In this way, the cable 21 is fixed to the outer wall or the outer wall cover by the fixing member 22 near the end of the heater element 18, so that if the cable 21 is caught by another member, the cable 21 is loaded on the cable 21. There is no fear that the heater element 18 may be broken even if it is applied. In addition, since the cable 21 is fixed near the end of the heater element 18, it is difficult to align and entangle.

또한, 전원으로부터 히터 요소(18)에의 전력 공급 방법으로서는 모든 히터 요소(18)를 병렬 또는 직렬로 접속하고 공통의 전원으로부터 전력을 공급해도 좋다. 혹은, 히터 요소(18)를 복수의 그룹으로 나누고, 각 그룹마다 히터 요소(18)를 직렬로 접속하여 그들 직렬의 히터 요소(18)군을 서로 병렬로 접속해도 좋다. In addition, as a power supply method from a power supply to the heater element 18, you may connect all the heater elements 18 in parallel or in series, and may supply electric power from a common power supply. Alternatively, the heater elements 18 may be divided into a plurality of groups, the heater elements 18 may be connected in series for each group, and the group of heater elements 18 in series may be connected in parallel with each other.

단열체(15)의 천정부[천정판(15b)]의 하방측에는 반응관(2)과 대향하도록 면형의 제1 서브 히터(23)가 설치되어 있다. 도7a에 제1 서브 히터(23)의 사시도, 도7b에 제1 서브 히터(23)의 측면도를 도시한다. 도7a 및 도7b에 도시한 바와 같이, 제1 서브 히터(23)는, 예를 들어 두께 8 ㎜ 정도의 석영제의 원판형체(23a) 중에 저항 발열체(23b)를 삽입함으로써 형성되어 있다. 저항 발열체(23b)는 상술한 저항 발열체(18a)와 마찬가지로, 예를 들어 카본 와이어로 구성되어 있다. 원판형체(23a)의 주연부의 2군데에는 석영관(24)이 용접되어 있다. 석영관(24)은 케이블(25)을 거쳐서 도시하지 않은 전원에 접속되어 있다. 제1 서브 히터(23)의 석영관(24)이 단열체(15)에 마련된 구멍(16b)에 삽입되고, 제1 서브 히터(23)는 단열체(15)의 천정부에 서포트(26)를 거쳐서 지지되어 있다. A planar first sub heater 23 is provided below the ceiling portion (ceiling plate 15b) of the heat insulator 15 so as to face the reaction tube 2. FIG. 7A shows a perspective view of the first sub heater 23, and FIG. 7B shows a side view of the first sub heater 23. As shown in Figs. 7A and 7B, the first sub heater 23 is formed by inserting the resistance heating element 23b into, for example, a disk-shaped body 23a made of quartz having a thickness of about 8 mm. The resistive heating element 23b is made of carbon wire, for example, similarly to the resistive heating element 18a described above. The quartz tube 24 is welded to two places of the peripheral part of the disk-shaped body 23a. The quartz tube 24 is connected to a power source (not shown) via the cable 25. The quartz tube 24 of the first sub heater 23 is inserted into the hole 16b provided in the heat insulator 15, and the first sub heater 23 attaches the support 26 to the ceiling of the heat insulator 15. Supported by

단열체(15)의 하단부[바닥판(15c)]에는 제2 서브 히터(27)가 설치되어 있다. 제2 서브 히터(27)는 히터 요소(18)보다 짧은 히터 요소로, 구멍(17a)보다 내측에 형성된 구멍(17b)에 삽입되어 있다. 구멍(17b)에는 O링(19)이 배치되고, O링(19)에 의해 제2 서브 히터(27)가 고정되어 있다. 제2 서브 히터(27)는 케이블(28)을 거쳐서 도시하지 않은 전원에 접속되어 있다. 또한, 케이블(28)은 제2 서브 히터(27)의 단부 근방에서 고정 부재(22)에 의해 외벽 또는 외벽 커버에 고정되어 있다. The second sub heater 27 is provided at the lower end portion (bottom plate 15c) of the heat insulator 15. The second sub heater 27 is a heater element that is shorter than the heater element 18 and is inserted into the hole 17b formed inside the hole 17a. An O-ring 19 is disposed in the hole 17b, and the second sub heater 27 is fixed by the O-ring 19. The second sub heater 27 is connected to a power source (not shown) via the cable 28. In addition, the cable 28 is fixed to the outer wall or the outer wall cover by the fixing member 22 near the end of the second sub heater 27.

보트 엘리베이터(8), 모터(M), 처리 가스 도입관(13), 히터 요소(18)[케이블(21)], 제1 서브 히터(23)[케이블(25)], 제2 서브 히터(27)[케이블(28)]에는 도시하지 않은 제어부가 접속되어 있다. 제어부는 마이크로 프로세서, 프로세스 제어기 등으로 구성되어 열처리 장치(1)의 각 부분의 온도, 압력 등을 측정하고, 측정 데이터를 기초로 하여 상기 각 부에 제어 신호 등을 출력하여 제어한다. Boat elevator 8, motor M, process gas introduction pipe 13, heater element 18 (cable 21), first sub heater 23 (cable 25), second sub heater ( 27) (cable 28) is connected with a control unit (not shown). The control unit is composed of a microprocessor, a process controller and the like to measure the temperature, pressure and the like of each part of the heat treatment apparatus 1, and output a control signal or the like to each of the parts based on the measurement data to control.

다음에, 이상과 같이 구성된 열처리 장치(1)를 이용한 열처리에 대해 간단하게 설명한다. 우선, 보트 엘리베이터(8)에 의해 덮개(7)가 내려진 상태에서 반도체 웨이퍼(W)가 웨이퍼 보트(12)에 소정 매수 수용된다. 다음에, 보트 엘리베이터(8)를 상승시킴으로써 반도체 웨이퍼(W)가 반응관(2)[내관(3)] 내로 로드된다. 계속해서, 히터 요소(18), 제1 서브 히터(23) 및 제2 서브 히터(27)에 의해 반응관(2) 내의 온도가 소정의 온도로 승온되는 동시에, 배기관(14)에 접속된 도시하지 않은 배기 유닛에 의해 반응관(2) 내의 압력이 소정의 압력으로 설정된다. Next, the heat processing using the heat processing apparatus 1 comprised as mentioned above is demonstrated easily. First, a predetermined number of semiconductor wafers W are accommodated in the wafer boat 12 in a state where the lid 7 is lowered by the boat elevator 8. Next, the semiconductor wafer W is loaded into the reaction tube 2 (inner tube 3) by raising the boat elevator 8. Subsequently, the temperature in the reaction tube 2 is raised to a predetermined temperature by the heater element 18, the first sub heater 23, and the second sub heater 27, and is connected to the exhaust pipe 14. The pressure in the reaction tube 2 is set to a predetermined pressure by the exhaust unit which does not.

반응관(2) 내가 소정의 압력 및 온도에서 안정되면, 처리 가스 공급관(13)으로부터 처리 가스가 공급되어 소정의 열처리가 행해진다. 또한, 열처리 중에는 모터(M)에 의해 웨이퍼 보트(12)가 회전된다. 열처리 후, 반응관(2) 내의 온도가 소정의 온도로 강온되는 동시에, 반응관(2) 내의 압력이 상압으로 복귀되어 웨이퍼 보트(12)[반도체 웨이퍼(W)]가 반응관(2)으로부터 언로드된다. When the inside of the reaction tube 2 is stabilized at a predetermined pressure and temperature, the processing gas is supplied from the processing gas supply pipe 13 to perform a predetermined heat treatment. In addition, the wafer boat 12 is rotated by the motor M during the heat treatment. After the heat treatment, the temperature in the reaction tube 2 is lowered to a predetermined temperature, and the pressure in the reaction tube 2 is returned to the normal pressure so that the wafer boat 12 (semiconductor wafer W) is removed from the reaction tube 2. Unloaded.

이상 설명한 바와 같이, 본 실시 형태에 따르면 구멍(16a), 구멍(17a)[및 구멍(16b), 구멍(17b)]의 내벽에 O링(19)이 배치되고, O링(19) 내에 히터 요소(18)[제2 서브 히터(27)]가 삽입되어 있으므로, 히터 요소(18)[제2 서브 히터(27)]는 견고하게 고정되어 구멍(16a), 구멍(17a)[및 구멍(16b), 구멍(17b)] 내에서 덜걱거리는 일이 없어진다. 따라서, 히터 요소(18)[제2 서브 히터(27)]와, 구멍(16a), 구멍(17a)[및 구멍(16b), 구멍(17b)]의 내벽이 마찰되는 일이 없어져 분체(오염 물질)를 발생할 우려가 없어진다. 또한, 히터 요소(18)[제2 서브 히터(27)]가 파손될 우려가 없어진다. As described above, according to the present embodiment, the O-ring 19 is disposed on the inner wall of the hole 16a and the hole 17a (and the hole 16b and the hole 17b), and the heater is disposed in the O-ring 19. Since the element 18 (second sub-heater 27) is inserted, the heater element 18 (second sub-heater 27) is firmly fixed so that the hole 16a, the hole 17a (and the hole ( 16b), there is no rattling in the hole 17b]. Therefore, the heater element 18 (second sub heater 27) and the inner wall of the hole 16a and the hole 17a (and the hole 16b and the hole 17b) are not rubbed, and powder (contamination) Substance) is eliminated. In addition, there is no fear that the heater element 18 (second sub-heater 27) is broken.

본 실시 형태에 따르면, 케이블(21)[케이블(28)]이 히터 요소(18)[제2 서브 히터(27)]의 단부 근방에서 고정 부재(22)에 의해 외벽 또는 외벽 커버에 고정되어 있으므로, 히터 요소(18)[제2 서브 히터(27)]가 파손될 우려가 없어진다. 또한, 케이블(21)[케이블(28)]이 정렬되어 얽히기 어렵다. According to this embodiment, since the cable 21 (cable 28) is fixed to the outer wall or the outer wall cover by the fixing member 22 near the end of the heater element 18 (the second sub heater 27). There is no risk that the heater element 18 (second sub-heater 27) is broken. In addition, the cables 21 (cables 28) are aligned and difficult to entangle.

본 실시 형태에 따르면, 단열체(15)의 내벽이 세라믹으로 코팅되어 있으므로, 히터 요소(18)가 단열체(15)의 금속면과 절대로 접촉하지 않는다. 이로 인해, 금속 오염물이 발생하지 않게 된다. 또한, 히터 요소(18)가 단열체(15)의 금속면과 접촉하지 않으므로, 히터 요소(18)는 더욱 파손되기 어려워진다. 또한, 세라믹에 다공질을 이용하고 있으므로, 단열체(15)의 열충격성이 향상된다. According to this embodiment, since the inner wall of the heat insulator 15 is coated with ceramic, the heater element 18 never comes into contact with the metal surface of the heat insulator 15. As a result, metal contaminants are not generated. In addition, since the heater element 18 does not contact the metal surface of the heat insulator 15, the heater element 18 is more difficult to break. In addition, since the porous material is used for the ceramic, the thermal shock resistance of the heat insulator 15 is improved.

또한, 본 실시 형태에 따르면, 반응관(2)의 상부 및 하부에 제1 서브 히터(23) 및 제2 서브 히터(27)가 배치되어 있으므로, 반응관(2) 내의 온도를 균일하게 할 수 있다.In addition, according to this embodiment, since the 1st sub heater 23 and the 2nd sub heater 27 are arrange | positioned at the upper part and the lower part of the reaction tube 2, the temperature in the reaction tube 2 can be made uniform. have.

또한, 본 발명은 상기한 실시 형태에 한정되지 않고, 다양한 변형, 응용이 가능하다. 이하, 본 발명에 적용 가능한 다른 실시 형태에 대해 설명한다. In addition, this invention is not limited to said embodiment, A various deformation | transformation and an application are possible. Hereinafter, other embodiment applicable to this invention is described.

상기 실시 형태에서는 구멍(16a) 및 구멍(17a)의 내벽에 O링(19)이 배치되어 있지만, 구멍(16a) 및 구멍(17a)의 내벽 중 적어도 한 쪽에 O링(19)이 배치되어 있으면 된다. 적어도 한 쪽에 O링(19)이 배치되어 있으면, 히터 요소(18)는 견고하게 고정되어 히터 요소(18)가 구멍 내에서 덜걱거리는 일이 없어진다. In the above embodiment, the O-ring 19 is disposed on the inner wall of the hole 16a and the hole 17a. However, if the O-ring 19 is disposed on at least one of the inner wall of the hole 16a and the hole 17a. do. If the O-ring 19 is disposed on at least one side, the heater element 18 is firmly fixed so that the heater element 18 does not rattle in the hole.

혹은, 상기 실시 형태에서는 구멍(16a) 및 구멍(17a)의 내벽에 O링(19)이 배치되어 있지만, 구멍(16a) 및 구멍(17a)의 내벽에 O링(19)을 배치하는 대신에, 각 구멍(16a), 구멍(17a)의 내벽이 세라믹으로 코팅되어도 좋다. 이 경우, 히터 요소(18)와 구멍(16a), 구멍(17a)의 내벽이 마찰되어도 히터 요소(18)가 단열체(15)의 금속면과 접촉하는 일이 없으므로, 금속 오염물의 발생을 방지할 수 있다. Or in the said embodiment, although the O-ring 19 is arrange | positioned in the inner wall of the hole 16a and the hole 17a, instead of arrange | positioning the O-ring 19 in the inner wall of the hole 16a and the hole 17a. The inner walls of the holes 16a and 17a may be coated with ceramic. In this case, even if the heater element 18 and the inner wall of the hole 16a and the hole 17a are rubbed, the heater element 18 does not come into contact with the metal surface of the heat insulator 15, thereby preventing the occurrence of metal contaminants. can do.

상기 실시 형태에서는 구멍(16a)과 구멍(17a)을 관통하는 직관형의 히터 요소(18)가 이용되고 있지만, 히터 요소는 U자관형이라도 좋다. 이 경우, 예를 들어 U자관형의 히터 요소는 인접한 2개의 구멍(16a)에 삽입됨으로써 열처리 장치(1)에 부착된다. 또한, 가열하는 영역이 상하로 2분할되고, 구멍(16a)에 삽입된 히터 요소(18)가 상부의 영역을 가열하고, 구멍(17a)이 삽입된 히터 요소(18)가 하부의 영역을 가열하도록 구성해도 좋다. In the above embodiment, a tubular heater element 18 penetrating through the hole 16a and the hole 17a is used, but the heater element may be a U-shaped tube. In this case, for example, the U-tubular heater element is attached to the heat treatment apparatus 1 by being inserted into two adjacent holes 16a. In addition, the area to be heated is divided up and down, the heater element 18 inserted into the hole 16a heats the upper region, and the heater element 18 into which the hole 17a is inserted heats the lower region. You may comprise so that.

상기 실시 형태에서는 히터 요소(18)의 저항 발열체(18a)가 카본 와이어로 구성되어 있지만, 저항 발열체(18a)는 카본 와이어 이외의 선형이고 가요성이 있는 고순도의 저항 발열체라도 좋다.In the above embodiment, the resistance heating element 18a of the heater element 18 is made of carbon wire, but the resistance heating element 18a may be a linear and flexible high purity resistance heating element other than the carbon wire.

상기 실시 형태는 반응관(2)이 내관(3)과 외관(4)으로 구성된 2중관 구조인 배치식 종형의 열처리 장치이지만, 단일관 구조의 열처리 장치에도 본 발명을 적용하는 것이 가능하다. 또한, 피처리체는 반도체 웨이퍼(W)로 한정되는 것은 아니고, 예를 들어 LCD용 유리 기판 등에도 적용할 수 있다. Although the said embodiment is a batch type heat processing apparatus whose reaction tube 2 is the double tube structure which consists of the inner tube 3 and the exterior 4, it is possible to apply this invention also to the heat treatment apparatus of a single tube structure. In addition, the to-be-processed object is not limited to the semiconductor wafer W, For example, it can be applied also to the glass substrate for LCDs.

Claims (14)

피처리체를 수용하기 위한 반응실과, A reaction chamber for accommodating a target object, 상기 반응실을 둘러싸도록 설치된 단열체와, An insulator installed to surround the reaction chamber, 상기 단열체에 마련된 복수의 구멍과, A plurality of holes provided in the heat insulator, 상기 복수의 구멍의 내부에 각각 설치된 복수의 환형의 스페이서 부재와, A plurality of annular spacer members respectively provided in the plurality of holes; 상기 복수의 환형 스페이서 부재 내에 각각 삽입된 복수의 히터 요소를 구비하는 것을 특징으로 하는 열처리 장치. And a plurality of heater elements respectively inserted in the plurality of annular spacer members. 제1항에 있어서, 상기 스페이서 부재는 탄성을 갖고 있는 것을 특징으로 하는 열처리 장치. The heat treatment apparatus according to claim 1, wherein the spacer member has elasticity. 제2항에 있어서, 상기 스페이서 부재는 O링인 것을 특징으로 하는 열처리 장치. 3. The heat treatment apparatus of claim 2, wherein the spacer member is an O-ring. 제1항 내지 제3항 중 어느 한 항에 있어서, 상기 히터 요소는 직관형 부분을 갖고 있는 것을 특징으로 하는 열처리 장치. The heat treatment apparatus according to any one of claims 1 to 3, wherein the heater element has a straight portion. 제4항에 있어서, 상기 히터 요소의 직관형 부분은 상기 반응실에 대해 소정의 간격으로 배치되어 있는 것을 특징으로 하는 열처리 장치. The heat treatment apparatus according to claim 4, wherein the tubular portions of the heater elements are arranged at predetermined intervals with respect to the reaction chamber. 피처리체를 수용하기 위한 반응실과, A reaction chamber for accommodating a target object, 상기 반응실을 둘러싸도록 설치된 금속제의 단열체와, A metal insulator installed to surround the reaction chamber; 상기 단열체에 마련된 복수의 구멍과, A plurality of holes provided in the heat insulator, 상기 복수의 구멍의 내부에 각각 삽입된 복수의 히터 요소를 구비하고, A plurality of heater elements respectively inserted in the plurality of holes, 상기 복수의 구멍의 내벽은 세라믹으로 코팅되어 있는 것을 특징으로 하는 열처리 장치. And the inner walls of the plurality of holes are coated with ceramic. 제6항에 있어서, 상기 세라믹은 알루미나, 실리카 또는 이트리아인 것을 특징으로 하는 열처리 장치. 7. The apparatus of claim 6, wherein the ceramic is alumina, silica or yttria. 제6항 또는 제7항에 있어서, 상기 세라믹은 다공질인 것을 특징으로 하는 열처리 장치. 8. The heat treatment apparatus according to claim 6 or 7, wherein the ceramic is porous. 제1항 내지 제8항 중 어느 한 항에 있어서, 상기 단열체의 상기 반응실측의 면은 세라믹으로 코팅되어 있는 것을 특징으로 하는 열처리 장치. The heat treatment apparatus according to any one of claims 1 to 8, wherein the surface of the reaction chamber side of the heat insulator is coated with ceramic. 제1항 내지 제9항 중 어느 한 항에 있어서, 상기 히터 요소는 케이블에 접속되어 있고, 10. The heater element according to any one of the preceding claims, wherein the heater element is connected to a cable, 상기 케이블은 상기 히터 요소의 단부 근방에서 고정 부재에 의해 장치 외벽에 고정되어 있는 것을 특징으로 하는 열처리 장치. And the cable is fixed to the device outer wall by a fixing member near an end of the heater element. 제10항에 있어서, 상기 고정 부재는 타이랩인 것을 특징으로 하는 열처리 장치. The apparatus of claim 10, wherein the fixing member is a tie wrap. 피처리체를 수용하기 위한 반응실과, A reaction chamber for accommodating a target object, 상기 반응실을 둘러싸도록 설치된 단열체와, An insulator installed to surround the reaction chamber, 상기 단열체에 마련된 복수의 구멍과, A plurality of holes provided in the heat insulator, 상기 복수의 구멍의 내부에 각각 설치된 복수의 환형의 스페이서 부재와, A plurality of annular spacer members respectively provided in the plurality of holes; 상기 복수의 환형 스페이서 부재 내에 각각 삽입된 복수의 히터 요소를 구비한 열처리 장치를 조립하는 방법이며, A method of assembling a heat treatment apparatus having a plurality of heater elements respectively inserted in the plurality of annular spacer members, 상기 복수의 구멍의 내부에 각각 복수의 환형의 스페이서 부재를 배치하는 공정과, Disposing a plurality of annular spacer members, respectively, in the plurality of holes; 상기 복수의 환형 스페이서 부재 내에 각각 복수의 히터 요소를 삽입하는 공정을 구비하는 것을 특징으로 하는 방법. And inserting a plurality of heater elements into the plurality of annular spacer members, respectively. 제12항에 있어서, 상기 히터 요소에 접속된 케이블을 상기 히터 요소의 단부 근방에서 고정 부재에 의해 장치 외벽에 고정하는 공정을 더 구비하는 것을 특징으로 하는 방법. The method according to claim 12, further comprising a step of fixing the cable connected to the heater element to the device outer wall by a fixing member near the end of the heater element. 제13항에 있어서, 상기 히터 요소에 접속된 케이블을 상기 히터 요소의 단부 근방에서 타이랩에 의해 장치 외벽에 고정하는 공정을 더 구비하는 것을 특징으로 하는 방법.The method according to claim 13, further comprising the step of securing a cable connected to said heater element to a device outer wall by a tiewrap near the end of said heater element.
KR1020057001068A 2005-01-20 2002-09-20 Heat-treating apparatus and method for assembling heat-treating apparatus KR100880966B1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020057001068A KR100880966B1 (en) 2005-01-20 2002-09-20 Heat-treating apparatus and method for assembling heat-treating apparatus

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020057001068A KR100880966B1 (en) 2005-01-20 2002-09-20 Heat-treating apparatus and method for assembling heat-treating apparatus

Publications (2)

Publication Number Publication Date
KR20050086404A true KR20050086404A (en) 2005-08-30
KR100880966B1 KR100880966B1 (en) 2009-02-03

Family

ID=37270288

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020057001068A KR100880966B1 (en) 2005-01-20 2002-09-20 Heat-treating apparatus and method for assembling heat-treating apparatus

Country Status (1)

Country Link
KR (1) KR100880966B1 (en)

Family Cites Families (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001208478A (en) 2000-01-31 2001-08-03 Tokyo Electron Ltd Thermal processor

Also Published As

Publication number Publication date
KR100880966B1 (en) 2009-02-03

Similar Documents

Publication Publication Date Title
JP5135915B2 (en) Mounting table structure and heat treatment apparatus
KR100730817B1 (en) Thermal processing apparatus
US20030183614A1 (en) Heat treatment apparatus and method for processing substrates
WO2011081049A1 (en) Mounting table structure and processing apparatus
JP2010109346A (en) Mounting table structure and treatment device
JP2011165891A (en) Mounting stand structure, and processing device
JP2001208478A (en) Thermal processor
KR102435774B1 (en) Substrate heating apparatus and substrte processing apparatus using the same
JP2011054838A (en) Placing table structure and processing apparatus
JP4393009B2 (en) Vertical heat treatment equipment
KR100880966B1 (en) Heat-treating apparatus and method for assembling heat-treating apparatus
JP2010061850A (en) Heat treatment device
JP4438246B2 (en) Heat treatment equipment
JP2009033115A (en) Heating device and substrate-treating device using the same, method of manufacturing semiconductor device, and insulator
TWI517745B (en) Heater device
CN100508127C (en) Heat treatment equipment
JP4618920B2 (en) Heater heater connection method and heat treatment apparatus
JP4298899B2 (en) Vertical heat treatment equipment
JP2005072468A (en) Heat treatment apparatus of semiconductor wafer
JP3641193B2 (en) Vertical heat treatment apparatus, heat treatment method, and heat insulation unit
JP2002296122A (en) Heat treatment device and heat treatment method
JP2723110B2 (en) Heat treatment equipment
JPH04186616A (en) Heat treating apparatus
JP5584461B2 (en) Heating apparatus, substrate processing apparatus, and semiconductor device manufacturing method
JP2002093717A (en) Vertical heat-treating apparatus

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20130111

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20140107

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20150105

Year of fee payment: 7

LAPS Lapse due to unpaid annual fee