KR20050001874A - 휴대단말기의 데이터 포트 겸용장치 및 방법 - Google Patents

휴대단말기의 데이터 포트 겸용장치 및 방법 Download PDF

Info

Publication number
KR20050001874A
KR20050001874A KR1020030042222A KR20030042222A KR20050001874A KR 20050001874 A KR20050001874 A KR 20050001874A KR 1020030042222 A KR1020030042222 A KR 1020030042222A KR 20030042222 A KR20030042222 A KR 20030042222A KR 20050001874 A KR20050001874 A KR 20050001874A
Authority
KR
South Korea
Prior art keywords
unit
data
signal
port
infrared
Prior art date
Application number
KR1020030042222A
Other languages
English (en)
Other versions
KR100548359B1 (ko
Inventor
구본혁
Original Assignee
엘지전자 주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 엘지전자 주식회사 filed Critical 엘지전자 주식회사
Priority to KR1020030042222A priority Critical patent/KR100548359B1/ko
Publication of KR20050001874A publication Critical patent/KR20050001874A/ko
Application granted granted Critical
Publication of KR100548359B1 publication Critical patent/KR100548359B1/ko

Links

Classifications

    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04MTELEPHONIC COMMUNICATION
    • H04M1/00Substation equipment, e.g. for use by subscribers
    • H04M1/72Mobile telephones; Cordless telephones, i.e. devices for establishing wireless links to base stations without route selection
    • H04M1/724User interfaces specially adapted for cordless or mobile telephones
    • H04M1/72403User interfaces specially adapted for cordless or mobile telephones with means for local support of applications that increase the functionality
    • H04M1/72409User interfaces specially adapted for cordless or mobile telephones with means for local support of applications that increase the functionality by interfacing with external accessories
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F13/00Interconnection of, or transfer of information or other signals between, memories, input/output devices or central processing units
    • G06F13/38Information transfer, e.g. on bus
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04MTELEPHONIC COMMUNICATION
    • H04M2250/00Details of telephonic subscriber devices
    • H04M2250/64Details of telephonic subscriber devices file transfer between terminals

Landscapes

  • Engineering & Computer Science (AREA)
  • Human Computer Interaction (AREA)
  • Computer Networks & Wireless Communication (AREA)
  • Signal Processing (AREA)
  • Mobile Radio Communication Systems (AREA)

Abstract

본 발명은 휴대단말기의 유에이알티 포트를 적외선용 아이알디에이 포트로 용이하게 변경하여 사용하는 것으로, 휴대단말기의 각 기능부를 제어하고 감시하는 동시에 입력된 데이터를 해당 처리하여 출력하는 제어부; 상기 제어부로부터 인가된 데이터를 유에이알티 방식으로 변환하여 전송하는 동시에 반대의 처리를 하는 비동기부; 상기 비동기부와 접속하고 해당 제어신호에 의하여 송수신되는 데이터 신호의 전송경로를 선택하는 제1 선택부; 상기 제1 선택부와 접속하고 인가되는 데이터를 외부 장비에 전송하는 동시에 반대의 처리를 하는 포트부; 상기 제1 선택부와 접속하고 인가되는 데이터를 아이알디에이 방식으로 변환 출력하는 동시에 반대의 처리를 하는 적외선부; 상기 적외선부로부터 인가되는 신호를 적외선으로 변환하여 출력하는 동시에 반대의 처리를 하는 적외선 모듈로 이루어지는 구성의 특징 등에 의하여, 휴대단말기에 유에이알티 포트가 할당되면 점유하고, 할당되지 않으면 송신용과 수신용의 지피아이오 포트를 각각 할당받아 데이터 통신하며, 외부장치가 유선접속되면, 유에이알티 방식으로 데이터 통신하고, 외부장치가 접속되지 않으면, 아이알디에이 방식 적외선으로 데이터 통신을 하는 효과가 있다.

Description

휴대단말기의 데이터 포트 겸용장치 및 방법{A METHOD AND A APPARATUS OF COMBINING USE DATA PORT FOR MOBILE PHONE}
본 발명은 휴대단말기의 데이터 포트를 다기능으로 사용하는 것으로, 특히, 유에이알티(UART) 포트를 적외선용 아이알디에이(IrDA) 포트로도 용이하게 변경하여 사용하는 휴대단말기의 데이터 포트 겸용장치 및 방법에 관한 것이다.
휴대단말기(MS: MOBILE STATION)는 가입 등록된 이동통신 시스템의 서비스 영역 안에서 자유롭게 이동하면서 언제 어디서나 상대방과 무선접속하여 통신하는 장비로, 개인이 항상 휴대하고 이동하면서 통신한다는 장점에 의하여 보급이 확산되고 있다.
상기와 같은 휴대단말기를 통신에 사용하는 시간은, 통계적으로, 하루에 그리 많지 않으며, 대부분의 시간을 대기상태로 휴대하고 있는 점을 개선하고 활용도를 높이기 위하여, 상기 휴대단말기에 많은 부가기능이 첨부되고 있으며, 상기와 같은 부가기능은, 일 실시 예로, 메모 기능, 계산기 기능, 전화번호부 관리 기능, 메시지 작성 기능, 대금 결재기능, 리모콘 기능 등등이 있다.
상기와 같이 다양한 부가기능을 이용하기 위한 데이터 작성은, 휴대단말기에서 직접 처리하기도 하지만, 유에이알티(UART: UNIVERSAL ASYNCHRONOUS RECEIVER TRANSMITTER)라고 불리는 휴대단말기의 데이터 포트에 해당 케이블을 통하여 컴퓨터(PC)를 연결하고, 상기 컴퓨터에서 데이터 처리 및 작성한 후에 휴대단말기에 입력하는 방식이 있다.
또한, 상기와 같이 유선 케이블을 사용하지 않고, 적외선(IR: INFRARED)을 이용하여 무선으로 데이터를 송수신하는 아이알디에이(IrDA) 방식이 있고, 상기 아이알디에이 방식과 유에이알티(UART) 방식은 신호처리 방식 및 데이터 전송 방식 등에 차이가 있으므로, 동일한 포트(PORT)에 동시에 적용할 수 없다.
상기 UART 포트는, 일 예로, 마이크로 프로세서와 직렬(SERIAL) 전송방식 데이터 통신을 하도록 하는 것으로, 기구적으로 동일한 직렬 전송방식을 사용하는 IrDA, U-SIM 등의 포트로도 사용될 수 있으나, 신호 포맷 등에서는 많은 차이가 있고, 일반적인 마이크로 프로세서에서는 UART 기능은 제공해도 IrDA(INFRARED DATA ASSOCIATION) 기능을 제공하지 않는다.
그러나, 상기 휴대단말기에 구비된 다양한 부가기능을 이용하는 경우, UART와 IrDA 포트가 모두 필요하고, 소형화되어 면적이 제한된 휴대단말기에서는. 상기 각 포트를 모두 구비할 수 없는 문제가 있으므로, 이를 해결할 필요가 있다.
이하, 종래 기술에 의한 휴대단말기의 데이터 포트 겸용방식을 첨부된 도면을 참조하여 설명한다.
종래 기술을 설명하기 위한 것으로, 도1 은 종래 기술에 의한 휴대단말기의 데이터 포트 겸용방식 기능 구성도 이다.
상기 도1을 참조하면, 이동통신용 휴대단말기(10)는 , 상기 휴대단말기 전체의 기능을 감시하고 제어하며 입력된 데이터를 해당 처리하여 출력하는 제어부(20)와; 상기 제어부(20)와 접속되고 해당 제어신호에 의하여 데이터 신호의 송수신 경로를 스위칭(SWITCHING)하는 제1 스위치(30)와; 상기 제1 스위치(30)와 접속되어 데이터(DATA)를 유에이알티(UART) 방식으로 범용 비동기 전송처리하는 동시에 반대의 처리를 하는 비동기부(40)와; 상기 제1 스위치(30)와 접속되어 데이터(DATA)를 아이알디에이(IrDA) 방식으로 변환하여 적외선(INFRARED)으로 전송되도록 처리하는 동시에 반대의 처리를 하는 적외선부(50)와; 상기 비동기부(40) 및 적외선부(50)에 접속되고 해당 제어신호에 의하여 데이터 신호의 송수신 경로를 스위칭(SWITCHING)하는 제2 스위치(60)와; 상기 제1 스위치(30)와 제2 스위치(60)에 접속되고, 설정된(SETTING) 값에 의하여 상기 제1 스위치(30)와 제2 스위치(60)가 상기 비동기부(40) 또는 적외선부(50) 중에서 하나를 동일하게 동시에 선택하고 스위칭하여 접속하도록 제어하는 설정부(70)와; 상기 제2 스위치(60)와 접속하고 외부의 커넥터(CONNECTOR) 핀과 기구적 및 전기적 접속에 의하여 해당 데이터를 직렬(SERIAL)로 송수신하는 것으로, 리셉터클(RECEPTACLE) 또는 데이터 포트(DATA PORT)로 불리며, 외부와 직접 데이터를 입출력하는 포트부(80)로 이루어진다.
이하, 상기와 같은 구성의 종래 기술에 의한 것으로, 휴대단말기의 데이터 포트 겸용장치를 첨부된 도면을 참조하여 상세히 설명한다.
상기 휴대단말기(10)의 제어부(20)는, 휴대단말기(10)를 구성하는 각 기능부를 감시하고 제어하여 이동통신을 하도록 하는 동시에 각종 부가기능을 수행하여 해당 데이터를 출력하며, 입력되는 데이터는 해당 처리하여 출력하고, 상기와 같이 입출력되는 데이터를 위하여 상기 제1 스위치(30)와 접속한다.
상기 제1 스위치(30)와 제2 스위치(60)는, 상기 설정부(70)에 고정 설정된 값에 의하여 출력되는 해당 제어신호를 각각 입력하고, 동시에 동일한 방향으로 스위칭하는 것으로, 일 예로, 상기 제1 스위치(30)와 제2 스위치(60)가 비동기부(40)와 접속되거나 또는 적외선부(50)와 접속한다.
상기와 같은 설정부(70)는 휴대단말기(10) 내부에 구비되므로, 제1 스위치(30) 및 제2 스위치(60)의 설정상태 및 연결상태를 임의변경하기 어렵다.
일 실시 예로, 상기 설정부(70)에 설정된 제어신호에 의하여, 상기 제1 스위치(30) 및 제2 스위치(60)가 비동기부(40)와 접속하도록 스위칭되는 경우, 상기 제어부(20)로부터 출력되는 데이터는 상기 설정부(70)의 제어를 받는 제1 스위치(30)를 통하여 비동기부(40)에 인가되고, 상기 비동기부(40)는 입력된 데이터를 비동기 상태의 직렬신호 처리하여 상기 제2 스위치(60)에 인가하며, 상기 제2 스위치(60)는 상기 설정부(70)의 제어신호에 의하여 비동기부(40)의 신호만을 입력하고, 상기 적외선부(50)로부터 인가되는 신호는 입력하지 않는다.
상기 제2 스위치(60)는 비동기부(40)로부터 입력된 신호를, 휴대단말기(10) 외부와의 데이터 입출력 포트로 사용되는 포트부(80)에 인가하며, 상기 포트부(80)에 접속된 해당 커넥터를 통하여 송신되는 동시에 수신되는 신호는 포트부(80)를 통하여 제2 스위치(60)에 인가되고, 상기 설정부(70)로부터 스위칭 제어를 받는 제2 스위치(60)는, 상기 외부로부터 입력된 신호를 비동기부(40)에 인가한다.
상기 비동기부(40)는 제2 스위치(60)로부터 입력된 데이터 신호를 제1 스위치(30)에 인가하고, 상기 설정부(70)의 제어를 받는 제1 스위치(30)는 상기 입력된 신호를 제어부(20)에 인가한다.
다른 일 실시 예로, 상기 설정부(70)에 설정된 제어신호가, 상기 제1 스위치(30)와 제2 스위치(60)를 상기 적외선부(50)와 접속하도록 제어하는 경우, 상기 제어부(20)로부터 출력되는 데이터 신호는, 제1 스위치(30)의 스위칭에 의하여 적외선부(50)로 출력하고, 상기 적외선부(50)는 해당 처리하여 상기 제2 스위치(60)에 인가하며, 상기 제2 스위치(60)는 적외선부(50)로부터 인가되는 신호만을 입력하여 상기 데이터 포트인 포트부(80)에 인가한다.
또한, 상기 포트부(80)에 인가된 신호는, 상기의 반대 방향으로, 포트부(80)로부터 제2 스위치(60), 적외선부(50), 제1 스위치(30)를 거쳐, 상기 제어부(20)에 인가된다.
상기와 같은 구성의 종래 기술은, 상기 설정부(70)를 이용하여, 상기 제어부(20)로부터 출력되는 신호를 아이알디에이(IrDA) 방식 또는 유에이알티(UART) 방식 중에서 하나를 선택하여 출력되도록 하는 동시에 입력하여 해당 처리한다.
따라서, 상기 설정부(70)의 설정상태를 변경하는 경우, 상기 휴대단말기(10)의 데이터 포트를 아이알디에이(IrDA) 방식으로 운영하므로, 해당 데이터를 적외선(IR)으로 무선송수신 할 수 있고, 상기 설정부(70)의 설정상태를 다시 변경하는 경우, 상기 데이터 포트를 해당 커넥터를 통하여 유선접속하고 직렬(SERIAL) 방식으로 송수신한다.
그러나, 상기와 같은 구성의 종래 기술은, 상기 설정부(70)가 휴대단말기(10) 내부에 구비되는 것으로, 훰웨어(FIRMWARE)에 의한 내부 등록(REGISTRATION)을 설정(SETTING)하고, 상기 데이터 포트를 UART 포트 또는 IrDA 포트 중에서 설정된 하나의 포트로 사용하는 것이며, 상기 설정 상태를 변경하기 위하여서는, 휴대단말기(10)를 분해하여야 하므로, 초기에 설정된 상태를 변경하지 못하거나 변경하기 어려운 문제가 있다.
또한, 외부와 접속하여 데이터를 송수신하는 포트(80)는 물리적으로 접속되는 접속 핀 또는 커넥터의 구조가 고정되어 있으므로, UART 포트로 사용하거나IrDA 포트로 사용하는 경우, 각각 적합한 커넥터 구조를 사용하여야 하는 사용상 복잡한 문제가 있다.
본 발명은 휴대단말기가 외부장치와 접속하여 데이터를 송수신하는데 있어서, 하나의 데이터 포트를 비동기 직렬 전송의 유에이알티 방식 또는 적외선의 무선으로 전송하는 아이알디에이 방식 중에서 하나의 방식을 용이하게 선택하여 데이터 전송하는 휴대단말기의 데이터 포트 겸용장치 및 방법을 제공하는 것이 그 목적이다.
상기와 같은 목적을 달성하기 위하여 안출한 본 발명은, 휴대단말기의 각 기능부를 제어하고 감시하는 동시에 입력된 데이터를 해당 처리하여 출력하는 제어부와; 상기 제어부로부터 인가된 데이터를 유에이알티 방식으로 변환하여 전송하는 동시에 반대의 처리를 하는 비동기부와; 상기 비동기부와 접속하고 해당 제어신호에 의하여 송수신되는 데이터 신호의 전송경로를 선택하는 제1 선택부와; 상기 제1 선택부와 접속하고 인가되는 데이터를 외부 장비에 전송하는 동시에 반대의 처리를 하는 포트부와; 상기 제1 선택부와 접속하고 인가되는 데이터를 아이알디에이 방식으로 변환 출력하는 동시에 반대의 처리를 하는 적외선부와; 상기 적외선부로부터 인가되는 신호를 적외선으로 변환하여 출력하는 동시에 반대의 처리를 하는 적외선 모듈로 이루어지는 구성을 특징으로 한다.
또한, 상기와 같은 목적을 달성하기 위하여 안출한 본 발명은, 휴대단말기의 각 기능부를 제어하고 감시하는 동시에 입력된 데이터를 해당 처리하여 출력하는제어부와; 상기 제어부와 접속하고 데이터를 정합상태로 입출력하는 범용입출력부와; 상기 범용입출력부와 접속하고 인가되는 데이터를 유에이알티 방식으로 변환하여 전송하는 동시에 반대의 처리를 하는 범용비동기부와; 상기 범용비동기부와 접속하고 해당 제어신호에 의하여 송수신되는 데이터 신호의 전송경로를 선택하는 제2 선택부와; 상기 제2 선택부와 접속하고 인가되는 데이터를 외부 장비에 전송하는 동시에 반대의 처리를 하는 포트부와; 상기 제2 선택부와 접속하고 인가되는 데이터를 아이알디에이 방식으로 변환 출력하는 동시에 반대의 처리를 하는 적외선부와; 상기 적외선부로부터 인가되는 신호를 적외선으로 변환하여 출력하는 동시에 반대의 처리를 하는 적외선 모듈로 이루어지는 구성을 특징으로 한다.
또한, 상기와 같은 목적을 달성하기 위하여 안출한 본 발명은, 휴대단말기는 외부 장비와 데이터 통신을 할 것인지 판단하는 시작과정과; 상기 과정에서 판단하여 데이터 통신을 하는 경우, 유에이알티 포트 또는 지피아이오 포트를 할당하는지 판단하고 해당 포트를 할당하는 과정과; 상기 과정에서 할당된 포트를 통하여 데이터의 직렬병렬변환 및 병렬직렬변환을 하는 변환과정과; 상기 과정에서 변환된 데이터로 직렬통신 또는 적외선 통신을 할 것인지 판단하고 직렬 통신의 유에이알티 통신과 적외선 통신의 아이알디에이 통신을 하는 통신과정으로 이루어진 것을 특징으로 한다.
도1 은 종래 휴대단말기의 데이터 포트 겸용방식 기능 구성도,
도2 는 본 발명 일 예의 휴대단말기 데이터 포트 겸용장치 기능 구성도,
도3 은 본 발명 일 예의 휴대단말기 데이터 포트 겸용장치 기능 구성도,
도4 는 본 발명에 의한 휴대단말기의 데이터 포트 겸용방법.
** 도면의 주요 부분에 대한 부호 설명 **
100,200 : 휴대단말기 110,210 : 제어부
120 : 비동기부 130 : 제1 선택부
140,250 : 포트부 150,260 : 적외선부
160,270 : 적외선 모듈 220 : 범용입출력부
230 : 범용비동기부 240 : 제2 선택부
이하, 본 발명에 의한 휴대단말기의 데이터 포트 겸용장치 및 방법을 첨부된 도면을 참조하여 설명한다.
본 발명의 설명을 위한 것으로, 도2 는 본 발명 일 예에 의한 휴대단말기의 데이터 포트 겸용장치 기능 구성도 이며, 도3 은 본 발명 일 예에 의한 휴대단말기의 데이터 포트 겸용장치 기능 구성도 이고, 도4 는 본 발명에 의한 휴대단말기의 데이터 포트 겸용방법 이다.
상기 도2를 참조하면, 본 발명의 일 실시 예에 의한 휴대단말기(100)의 데이터 포트 겸용장치는, 휴대단말기(100)의 각 기능부를 제어하고 감시하는 동시에 입력된 데이터를 해당 처리하여 출력하는 제어부(110)와,
상기 제어부(110)로부터 인가된 데이터를 유에이알티(UART) 방식으로 변환하여 전송하는 동시에 반대의 처리를 하는 것으로, 제어부(110)로부터 입력되는 병렬(PARALLEL) 신호를 유에이알티(UART) 방식 비동기(ASYNCHRONOUS) 직렬(SERIAL) 신호로 변환하여 후술하는 제1 선택부(130)에 출력하고, 상기 제1 선택부(130)로부터 입력되는 유에이알티(UART) 방식 비동기 직렬 신호를 병렬 신호로 변환하여 상기 제어부(110)에 출력하는 비동기부(120)와,
상기 비동기부(120)와 접속하고 해당 제어신호에 의하여 송수신되는 데이터 신호의 전송경로를 선택하는 것으로, 상기 제어부(110)의 제어신호에 의하여 상기 비동기부(120)로부터 입력되는 유에이알티 방식 신호를 후술하는 포트부(140)와 적외선부(150)의 경로 중에서 선택된 경로(PATH)로 출력하는 동시에, 포트부(140)의 경로로부터 입력되는 신호와 적외선부(150)의 경로로부터 입력되는 신호 중에서 하나를 선택하여 상기 비동기부(120)에 출력하는 제1 선택부(130)와,
상기 제1 선택부(130)와 접속하고 인가되는 유에이알티(UART) 방식 데이터신호를 입력하여 외부 장비 또는 장치에 전송하는 동시에, 상기 외부 장치로부터 입력되는 유에이알티 방식 비동기 직렬 데이터 신호를 상기 제1 선택부(130)에 출력하는 포트부(140)와,
상기 제1 선택부(130)와 접속하고 인가되는 데이터 신호를 아이알디에이(IrDA) 방식으로 변환 출력하는 동시에 반대의 처리를 하는 것으로, 제1 선택부(130)로부터 입력되는 유에이알티(UART) 방식 비동기 직렬 데이터 신호를 아이알디에이(IrDA) 방식 데이터 신호로 변환하여 후술하는 적외선 모듈(MODULE)(160)에 출력하고, 상기 적외선 모듈(160)로부터 아이알디에이(IrDA) 방식 데이터 신호로 입력되는 신호는 유에이알티(UART) 방식 비동기 직렬 데이터 신호로 변환하여 상기 제1 선택부(130)에 출력하는 적외선부(150)와,
상기 적외선부(150)로부터 아이알디에이 방식으로 인가되는 데이터 신호를 입력하고 적외선 데이터 신호로 변환하여 출력하는 동시에, 적외선 데이터 신호로 입력되는 신호는 아이알디에이(IrDA) 방식 데이터 신호로 변환하여 상기 적외선부(260)에 출력하는 적외선 모듈(270)로 이루어지는 구성이다.
이하, 상기와 같은 구성의 본 발명에 의한 것으로, 휴대단말기의 데이터 포트 겸용장치를 상세히 설명한다.
상기 휴대단말기(100)는 이동통신을 하는 동시에 다양한 부가서비스를 이용할 수 있는 부가기능이 구비되어 있는 것으로, 상기와 같은 부가기능은, 일 예로, 온라인(ON-LINE) 게임(GAME), 자체 게임, 대금 결재 기능, 리모콘 기능, 메시지 작성 기능, 메모기능, 계산기, 전화번호 관리부 기능 등과 같이 매우 다양하며, 상기와 같은 부가기능에서 처리될 데이터를 외부장치로부터 입력받을 수 있는 동시에, 처리된 데이터를 외부장치에 출력할 수 있다.
상기와 같이 휴대단말기(100)와 외부장치 사이에 데이터 신호를 입출력하기 위한 것으로, 상기 제어부(110)는 휴대단말기(100)를 구성하는 각 기능부를 감시하고 제어하는 동시에 부가기능에 의한 부가서비스에서 처리될 데이터 신호를 입력하는 동시에 출력하는 것으로, 상기 제어부(110)는 마이크로 프로세서(MICRO PROCESSOR)로 구성되고, 상기 마이크로 프로세서는 신속한 데이터 처리를 위하여 데이터 신호를 병렬(PARALLEL) 방식으로 입출력한다.
상기 휴대단말기(100)에 비동기 직렬 전송 방식으로 데이터를 입출력 처리하는, 유에이알티(UART) 포트가 구비되어 있거나 사용할 수 있는 경우, 상기 제어부(110)는 유에이알티(UART) 방식으로 데이터를 처리하는 비동기부(120)에 병렬 데이터를 출력하고, 상기 비동기부(120)에 의하여 병렬 데이터를 비동기(ASYNCHRONOUS) 방식 직렬 데이터로 변환하여 제1 선택부(130)에 출력한다.
상기 제1 선택부(130)는 데이터 신호의 전송경로(TRANSMITTING PATH)를 스위칭(SWITCHING)에 의하여 설정하는 것으로, 상기 제어부(110)의 해당 제어신호에 의하여 스위칭하고 경로를 설정한다.
일 예로, 상기 제어부(110)의 제어신호에 의하여, 상기 제1 선택부(130)가 비동기부(120)와 포트부(140) 사이의 경로를 스위칭으로 설정하면, 상기 비동기부(120)로부터 출력되는 유에이알티 방식 비동기 직렬 데이터는 포트부(140)에 전송되므로, 상기 포트부(140)를 구성하는 핀(PIN) 또는 커넥터(CONNECTOR)와접속되는 외부장치에 전송된다.
상기 제어부(110)는 상기 휴대단말기의 각 기능부를 제어하고 감시하므로, 상기 포트부(140)에 외부장치가 해당 커넥터를 통하여 접속되었는지 또는 접속되지 않았는지를 확인하고, 상기 확인에 의하여 상기 포트부(140)에 외부 장치가 접속된 경우는 제1 선택부(130)에 해당 제어신호를 출력하여 비동기부(120)와 포트부(140)의 경로가 스위칭되어 설정되도록 하며, 상기 확인에서 상기 포트부(140)에 외부장치가 접속되지 않은 것으로 판단되는 경우는, 비동기부(120)와 적외선부(150)의 경로가 스위칭으로 설정되도록 제어한다.
또한, 다른 일 실시 예로, 휴대단말기(100)의 해당 키보드(KEY BOARD)를 통하여 입력되는 제어명령에 의하여 경로(PATH) 설정을 제어할 수 있고, 상기 외부장치로부터 입력되는 유에이알티(UART) 방식의 비동기 직렬 데이터 신호는, 상기의 반대 순서에 의한 처리로 상기 제어부(110)에 입력된다.
상기 제어부(110)가 포트부(140)를 감시하여 외부장치가 접속되지 않은 것으로 판단하는 경우, 상기 제1 선택부(130)를 제어하여 비동기부(120)와 적외선부(150)의 경로를 스위칭으로 설정하므로, 상기 비동기부(120)로부터 출력되는 유에이알티(UART) 방식 비동기 직렬 데이터 신호는, 상기 적외선부(150)에 인가되어 아이알디에이(IrDA) 방식 데이터 신호로 변환되어 상기 적외선 모듈(INFRARED MODULE)(160)에 출력된다.
상기 적외선 모듈(160)은 적외선부(150)로부터 입력되는 아이알디에이(IrDA) 방식 데이터 신호를 적외선(INFRARED)의 빛으로 변환하여 출력하는 동시에, 적외선빛으로 입력되는 신호는 전기적 신호로 변환하여 상기 적외선부(150)에 인가한다.
상기 적외선부(150)는 적외선 모듈(160)에서 수신된 신호를 유에이알티(UART) 방식 비동기 직렬 데이터 신호로 변환하여 상기 제1 선택부(130)에 인가하고, 상기 비동기부(120)에 의하여 병렬 데이터 신호로 변환되어 상기 제어부(110)에 인가된다.
따라서, 휴대단말기(110)에 유에이알티 방식 신호를 처리하는 유에이알티 포트 기능의 비동기부(120)가 구성되고 사용 가능하며, 상기 제어부(110)가 포트부(140)를 감시하고 출력하는 해당 제어신호에 의하여 유에이알티 방식 비동기 직렬 데이터 신호 또는 적외선 데이터 신호로 외부 장치와 데이터 통신한다.
이하, 상기 첨부된 도3을 참조하여, 본 발명의 다른 일 실시 예에 의한 휴대단말기(200)의 데이터 포트 겸용장치를 설명한다.
상기 휴대단말기(200)의 각 기능부를 감시하고 해당 제어신호를 출력하는 동시에 입력된 데이터를 해당 처리하여 출력하는 제어부(210)와,
상기 제어부(210)와 접속하고 데이터를 정합(MATCHING) 상태로 입출력하는 범용입출력부(GPIO: GENERAL PURPOSE INPUT OUTPUT PORT)(220)와,
상기 범용입출력부(220)와 접속하고 인가되는 데이터를 유에이알티(UART) 방식으로 변환하여 전송하는 동시에 반대의 처리를 하는 것으로, 상기 범용입출력부(220)로부터 정합상태로 입력되는 병렬(PARALLEL) 신호를 유에이알티(UART) 방식 비동기(ASYNCHRONOUS) 직렬(SERIAL) 신호로 변환하여 후술하는 제2 선택부(240)에 출력하고, 상기 제2 선택부(240)로부터 입력되는 유에이알티(UART) 방식 비동기 직렬 신호를 병렬 신호로 변환하여 상기 범용입출력부(220)에 출력하는 범용비동기부(230)와,
상기 범용비동기부(230)와 접속하고 제어부(210)의 제어신호에 의하여 송수신되는 데이터 신호의 전송경로를 선택하는 것으로, 상기 제어부(210)가 포트부(250)를 감시하여 발생하는 해당 제어신호에 의하여 상기 범용비동기부(230)로부터 입력되는 신호를, 포트부(250)와 적외선부(260)에 의한 경로 중에서, 하나의 선택된 경로로 출력하는 동시에, 포트부(250)로부터 입력되는 신호와 적외선부(260)로부터 입력되는 신호 중에서 하나를 선택하여 상기 범용비동기부(230)에 출력하는 제2 선택부(240)와,
상기 제2 선택부(240)와 접속하고 인가되는 데이터를 외부 장비에 전송하는 동시에 반대의 처리를 하는 것으로, 상기 제2 선택부(240)로부터 입력된 유에이알티(UART) 방식 비동기 직렬 데이터 신호를 외부에 출력하는 동시에, 외부로부터 입력되는 유에이알티(UART) 방식 비동기 직렬 신호를 상기 제2 선택부(240)에 출력하는 포트부(250)와,
상기 제2 선택부(240)와 접속하고 인가되는 데이터를 아이알디에이(IrDA) 방식으로 변환 출력하는 동시에 반대의 처리를 하는 것으로, 상기 제2 선택부(240)로부터 입력되는 유에이알티(UART) 방식 비동기 직렬 데이터 신호를 아이알디에이(IrDA) 방식 데이터 신호로 변환하여 후술하는 적외선 모듈(270)에 출력하고, 상기 적외선 모듈(270)로부터 아이알디에이(IrDA) 방식 데이터 신호로 입력되는 신호는 유에이알티(UART) 방식 비동기 직렬 데이터 신호로 변환하여 상기제2 선택부(240)에 출력하는 적외선부(260)와,
상기 적외선부(260)로부터 인가되는 신호를 적외선 데이터 신호로 변환하여 출력하는 동시에 반대의 처리를 하는 것으로, 상기 적외선부(260)로부터 아이알디에이(IrDA) 방식 데이터 신호를 입력하고 적외선 데이터 신호로 변환하여 출력하며, 적외선 데이터로 입력되는 신호는 아이알디에이(IrDA) 방식 데이터 신호로 변환하여 적외선부(260)에 출력하는 적외선 모듈(270)로 이루어지는 구성이다.
이하, 상기와 같은 구성을 하는 것으로, 본 발명의 다른 일 실시 예를 첨부된 도면을 참조하여 상세히 설명한다.
상기 도3에 의한 본 발명의 실시 예는, 휴대단말기(200)의 제어부(210)에 유에이알티 포트(UART PORT)가 구비되어 있지 않거나 또는, 구비되어 있지만 다른 용도로 이미 점유되어 사용되고 있는 경우, 범용입출력부(GPIO)(220)를 이용하여 외부장치와 데이터 신호를 입출력하도록 하는 것이다.
상기 범용입출력부(GPIO)(220)는 마이크로프로세서(MICRO PROCESSOR)에서 여러 가지 외부 장치를 직접 제어하기 위하여 구성되는 것으로, 상기 제어부(210)에 입출력되는 데이터 신호를 정합(MATCHING)상태로 입출력되도록 하는 것이고, 상기 제어부(210)는 마이크로프로세서로 구성된다.
상기와 같이 제어부(210)의 병렬(PARALLEL) 데이터를 정합상태로 입출력하는 범용입출력부(GPIO)(220) 신호는, 상기 범용비동기부(230)에 의하여 유에이알티 방식 비동기 직렬 데이터 신호로 변환되어 상기 제2 선택부(240)에 인가된다.
상기 제어부(210)는 포트부(250)를 감시하여 외부장치가 접속되어 있는지를확인하고, 상기 확인에서 포트부(250)에 외부장치가 접속된 것으로 판단되면, 상기 제2 선택부(240)에 제어신호를 출력하므로, 상기 제2 선택부(240)는 범용비동기부(230)와 포트부(250)의 경로가 설정되도록 스위칭 처리하고, 상기 제어부(210)가 포트부(250)에 외부장치 접속을 확인하지 못한 경우는, 상기 제2 선택부(240)를 제어하여 범용비동기부(230)와 적외선부(260)가 접속하도록 한다.
상기 포트부(250)는 상기 포트부(140)와, 상기 적외선부(260)는 상기 적외선부(150)와, 상기 적외선 모듈(270)은 상기 적외선 모듈(160)과 동일한 기능 및 작용을 하므로, 중복 설명을 피하기로 한다.
즉, 상기와 같은 구성의 본 발명에 의한 다른 일 실시 예는, 제어부(210)에 UART 포트 또는 비동기부가 할당되어 있지만 다른 용도로 사용되거나, 또는 UART 포트가 할당되지 않은 경우, 상기 제어부(210)가 외부장치를 직접 제어하기 위한 GPIO 포트 또는 범용입출력부를 이용하여 비동기 직렬 방식 데이터 신호를 입출력하도록 하는 동시에 적외선으로 데이터 신호를 전송하는 IrDA 방식으로 외부장치와 데이터 통신을 하도록 한다.
이하, 상기 첨부된 도4를 참조하여, 본 발명에 의한 휴대단말기의 데이터 포트 겸용방법을 설명한다.
이동하면서 언제 어디서나 상대방과 즉시 통신하고, 통신에 사용하지 않는 경우에는 다양한 부가기능을 사용하는 휴대단말기(100,200)는 외부 장비와 데이터 통신을 할 것인지 판단하는 시작과정(S100)과,
상기 시작과정(S100)에서 판단하여 데이터 통신을 하는 경우,유에이알티(UART) 포트 또는 지피아이오(GPIO) 포트를 할당하는지 판단하고 해당 포트를 할당하는 것으로, 상기 휴대단말기(100,200)의 제어부(110,210)가 데이터를 입출력하는 포트로 유에이알티(UART) 포트를 사용할 것인지 지피아이오(GPIO) 포트를 사용할 것인지를 판단하는 과정(S110); 상기 판단과정(S110)에서 유에이알티(UART) 포트를 사용하는 것으로 판단하면, 유에이알티(UART) 포트를 할당하는 과정(S120); 상기 판단과정(S110)에서 지피아이오(GPIO) 포트를 사용하는 것으로 판단하면, 송신용(TX) 및 수신용(RX) 지피아이오(GPIO) 포트를 각각 할당하는 과정(S130)으로 이루어진 할당과정과,
상기 할당과정에서 할당된 포트를 통하여 데이터의 직렬병렬변환(SERIAL TO PARALLEL CONVERSION) 및 병렬직렬변환(PARALLEL TO SERIAL CONVERSION)을 하는 변환과정(S140)과,
상기 변환과정(S140)에서 변환된 데이터로 직렬통신의 유에이알티(UART) 방식 통신을 할 것인지 또는, 적외선을 이용하여 데이터 신호를 전송하는 아이알디에이(IrDA) 방식 적외선 통신을 할 것인지 판단하고, 직렬 통신의 유에이알티 통신과 적외선 통신의 아이알디에이 통신을 하는 것으로, 유선에 의한 직렬통신을 하는지 또는 무선에 의한 적외선 통신을 하는지 판단하는 과정(S150); 상기 과정(S150)의 판단에서 유선으로 비동기 직렬통신을 하는 경우, 유에이알티(UART) 방식 비동기 직렬 통신을 하는 과정(S160); 상기 과정(S150)의 판단에서 적외선(INFRARED)으로 무선통신을 하는 경우, 아이알디에이(IrDA) 방식 통신을 하는 과정(S160)으로 이루어진 통신과정으로 구성된다.
이하, 상기와 같은 구성의 본 발명에 의한 것으로, 휴대단말기(100,200)의 데이터 포트 겸용 방법을 첨부된 도면을 참조하여 상세히 설명한다.
상기 휴대단말기(100,200)의 부가서비스 기능용 데이터를 외부장치와 통신하여 전송하는지 판단하고(S100), 상기 판단(S100)에서 외부장치와 데이터 통신을 하는 경우, 제어부(110, 210)의 병렬 데이터를 비동기 직렬 데이터로 변환하는 유에이알티(UART) 포트가 사용될 것인지 또는, 상기 제어부(110, 210)의 병렬 데이터를 정합상태로 입출력하는 지피아이오(GPIO) 포트가 사용될 것인지를 판단한다(S110).
상기 판단(S110)에서 유에이알티(UART) 포트를 사용하는 것으로 판단되는 경우는, 사용 가능한 유에이알티UART) 포트를 할당하고(S120), 유에이알티(UART) 포트를 사용할 수 없어 지피아이오(GPIO) 포트를 사용하는 경우는, 송신용 지피아이오 포트와 수신용 지피아이오 포트를 각각 할당한다(S130).
상기와 같이 할당된 포트를 통하여 제어부(110,210)의 병렬 데이터를 비동기 직렬 데이터로 변환하거나 또는, 상기 병렬 데이터를 정합상태로 입력하고 나서 비동기 직렬 데이터로 변환하는 동시에, 반대 방향으로 입력되는 데이터 신호는 상기의 반대 순서에 의한 데이터 처리를 한다(S140).
일 예로, 상기와 같이 비동기 직렬 데이터로 변환된 신호를 외부 장치와 유선 직렬통신을 할 것인지 또는 무선 적외선 통신 할 것인지를, 제어부가 포트부를 감시하여 판단하고(S150), 상기 판단(S150)에서 포트부에 외부장치가 접속되어 있는 것이 제어부에 감지되어, 유선의 직렬 통신을 하는 경우는, 외부 장치와 비동기 직렬 데이터를 유선으로 전송하는 유에이알티(UART) 통신을 한다.
상기 제어부가 포트부를 감시한 결과, 상기 포트부에 외부장치가 접속되지 않고 적외선(INFRARED)을 이용하여 무선통신을 하는 것으로 판단되는 경우는, 데이터를 아이알디에이(IrDA) 방식에 의하여 변환하고 해당 외부장치에 적외선(INFRARED)으로 전송하는 아이알디에이(IrDA) 통신을 한다(S160).
상기와 같이 적외선 통신을 위하여 UART 방식 데이터 신호를 IrDA 방식 데이터 신호로 변환하는 로직 코드의 일 실시 예는 다음과 같다.
(로직 코드)
library ieee;
use ieee.STD_LOGIC_1164.all;
use ieee.STD_LOGIC_ARITH.all;
use ieee.STD_LOGIC_MISC.all;
use ieee.STD_LOGIC_UNSIGNED.all;
use work.pkg_util.all;
entity sirendec is
port (
clk16x : in STD_LOGIC;
irrxd : in STD_LOGIC;
nrcven : in STD_LOGIC;
rxd : out STD_LOGIC;
txd : in STD_LOGIC;
irtxd : out STD_LOGIC
);
end sirendec;
architecture behavior of sirendec is
component jk_ff
port (
clk : in STD_LOGIC;
J : in STD_LOGIC;
K : in STD_LOGIC;
CLR : in STD_LOGIC;
jkout : out STD_LOGIC
);
end component;
signal q0 : STD_LOGIC;
signal q1 : STD_LOGIC;
signal q2 : STD_LOGIC;
signal q3 : STD_LOGIC;
signal trigctl : STD_LOGIC;
signal count8reset : STD_LOGIC;
signal one_more : STD_LOGIC;
signal clear_ff : STD_LOGIC;
signal restrigff : STD_LOGIC;
signal reset_count : STD_LOGIC;
signal din_q1 : STD_LOGIC;
signal din_q2 : STD_LOGIC;
signal din_q3 : STD_LOGIC;
signal judge_1 : STD_LOGIC;
signal judge_2 : STD_LOGIC;
signal count4bit : STD_LOGIC_VECTOR(3 downto 0 );
signal dec8clkcount : STD_LOGIC;
signal dec10cycclk : STD_LOGIC;
signal jk_clk, jk_jinput, jk_clr : STD_LOGIC;
begin
rxd <= not(clear_ff);
restrigff <= nrcven and count8reset;
reset_count <= not((not(one_more)) or (not(trigctl)));
din_q1 <= not(reset_count or (not((q0 xor q1))));
din_q2 <= not(reset_count or (not((q2 xor ((q0 and q1))))));
din_q3 <= not(reset_count or (not((((q0 and q1 and q2)) xor q3))));
judge_1 <= not(q0 or q1 or q2 or q3);
judge_2 <= not((not(q0)) and (not(q1)) and (not(q2)) and q3);
dec8clkcount <= (not(count4bit(0))) or (not(count4bit(1))) or
(not(count4bit(2))) or count4bit(3);
dec10cycclk <= count4bit(0) or (not(count4bit(1))) or count4bit(2) or
(not(count4bit(3)));
process (irrxd, restrigff)
begin
if not((restrigff) = '1' ) then
trigctl <= '0';
elsif (irrxd'event and irrxd = '0' ) then
trigctl <= '1';
end if ;
end process ;
process (clk16x, clear_ff)
begin
if not((clear_ff) = '1' ) then
q0 <= '0';
elsif (clk16x'event and clk16x = '0' ) then
q0 <= (reset_count or (not(q0)));
end if ;
end process ;
process (clk16x, clear_ff)
begin
if not((clear_ff) = '1' ) then
q1 <= '0';
elsif (clk16x'event and clk16x = '0' ) then
q1 <= din_q1;
end if ;
end process ;
process (clk16x, clear_ff)
begin
if not((clear_ff) = '1' ) then
q2 <= '0';
elsif (clk16x'event and clk16x = '0' ) then
q2 <= din_q2;
end if ;
end process ;
process (clk16x, clear_ff)
begin
if not((clear_ff) = '1' ) then
q3 <= '0';
elsif (clk16x'event and clk16x = '0' ) then
q3 <= din_q3;
end if ;
end process ;
process (clk16x, nrcven)
begin
if not((nrcven) = '1' ) then
count8reset <= '0';
elsif (clk16x'event and clk16x = '1' ) then
count8reset <= judge_2;
end if ;
end process ;
process (clk16x, nrcven)
begin
if not((nrcven) = '1' ) then
clear_ff <= '0';
elsif (clk16x'event and clk16x = '1' ) then
clear_ff <= not(judge_1 and (not(trigctl)));
end if ;
end process ;
process (clk16x, nrcven)
begin
if not((nrcven) = '1' ) then
one_more <= '0';
elsif (clk16x'event and clk16x = '0' ) then
one_more <= q3;
end if ;
end process ;
process (clk16x, txd)
begin
if (txd) = '1' then
count4bit <= "0000";
elsif (clk16x'event and clk16x = '0' ) then
count4bit <= ext(ext(count4bit,32) + 1,abs(3-0)+1);
end if ;
end process ;
jk_clk <= not(clk16x);
jk_jinput <= not(dec8clkcount);
jk_clr <= not(txd);
visual_0_jk_ff: jk_ff
port map (
clk => jk_clk,
J => jk_jinput,
K => dec10cycclk,
CLR => jk_clr,
jkout => irtxd);
end ;
상기 로직은 VHDL 코드를 컴파일 해서 말들어 진다.
즉, 상기 본 발명은, 휴대단말기 제어부의 데이터를 전송하는 포트로, 유에이알티 포트를 할당 및 사용할 수 있으면, 유에이알티 포트를 할당받아 사용하고, 상기 유에이알티 포트를 할당받지 못하고 사용하지 못하는 경우에도, 제어부의 데이터를 정합상태로 입출력하는 송신용과 수신용 범용입출력부(GPIO)를 각각 할당받아 사용하며, 제어부에서 포트부를 감시한 제어신호에 의하여, 유에이알티 방식의비동기 직렬 데이터 신호를 유선으로 전송하거나 또는 상기 유에이알티 방식 신호를 아이알디에이 방식 신호로 용이하게 변환하여 적외선에 의한 무선으로 전송한다.
상기와 같은 구성의 본 발명은 휴대단말기에 유에이알티 포트가 할당되는 경우 점유하고, 할당되지 않는 경우는 송신용과 수신용의 지피아이오 포트를 각각 할당받아 점유하여 외부장치와 데이터 통신하는 사용상 편리한 효과가 있다.
또한, 휴대단말기의 제어부에서 포트부를 감시하여 외부장치가 유선접속되면, 유에이알티 방식으로 데이터 통신하고, 외부장치가 포트부에 접속되지 않으면, 유에이알티 방식 데이터 신호를 아이알디에이 방식 데이터 신호로 용이하게 변환하고, 적외선으로 변환하여 데이터 통신을 하는 사용상 편리한 효과가 있다.

Claims (13)

  1. 휴대단말기의 각 기능부를 감시하고 해당 제어신호를 출력하는 동시에 입력된 데이터를 해당 처리하여 출력하는 제어부와,
    상기 제어부로부터 인가된 데이터를 유에이알티 방식으로 변환하여 전송하는 동시에 반대의 처리를 하는 비동기부와,
    상기 비동기부와 접속하고 제어부의 제어신호에 의하여 송수신되는 데이터 신호의 전송경로를 스위칭 선택하는 제1 선택부와,
    상기 제1 선택부와 접속하고 인가되는 데이터를 외부 장비에 전송하는 동시에 반대의 처리를 하는 포트부와,
    상기 제1 선택부와 접속하고 인가되는 데이터를 아이알디에이 방식으로 변환 출력하는 동시에 반대의 처리를 하는 적외선부와,
    상기 적외선부로부터 인가되는 신호를 적외선으로 변환하여 출력하는 동시에 반대의 처리를 하는 적외선 모듈로 이루어지는 구성을 특징으로 하는 휴대단말기의 데이터 포트 겸용장치.
  2. 제1 항에 있어서, 상기 비동기부는,
    상기 제어부로부터 입력되는 병렬 신호를 유에이알티 방식 비동기 직렬 신호로 변환하여 상기 제1 선택부에 출력하고, 상기 제1 선택부로부터 입력되는 유에이알티 방식 비동기 직렬 신호를 병렬 신호로 변환하여 상기 제어부에 출력하는 구성을 특징으로 하는 휴대단말기의 데이터 포트 겸용장치.
  3. 제1 항에 있어서, 상기 제1 선택부는,
    상기 제어부의 제어신호에 의하여 상기 비동기부로부터 입력되는 신호를 상기 포트부와 적외선부 중에서 선택된 경로로 출력하는 동시에 상기 포트부로부터 입력되는 신호와 적외선부로부터 입력되는 신호 중에서 하나를 선택하여 상기 비동기부에 출력하는 구성을 특징으로 하는 휴대단말기의 데이터 포트 겸용장치.
  4. 제1 항에 있어서, 상기 적외선부는,
    상기 제1 선택부로부터 입력되는 유에이알티 방식 비동기 직렬 신호를 아이알디에이 방식 신호로 변환하여 상기 적외선 모듈에 출력하고, 상기 적외선 모듈로부터 아이알디에이 방식 신호로 입력되는 신호는 유에이알티 방식 비동기 직렬 신호로 변환하여 상기 제1 선택부에 출력하는 구성을 특징으로 하는 휴대단말기의 데이터 포트 겸용장치.
  5. 제1 항에 있어서,
    상기 포트부는, 상기 제1 선택부로부터 입력된 유에이알티 방식 비동기 직렬 데이터 신호를 외부에 출력하는 동시에 외부로부터 입력되는 유에이알티 방식 비동기 직렬 데이터 신호를 상기 제1 선택부에 출력하고,
    상기 적외선 모듈은 상기 적외선부로부터 아이알디에이 방식 데이터 신호를입력하고 적외선 데이터 신호로 변환하여 출력하며, 적외선 데이터 신호로 입력되는 신호는 아이알디에이 방식 데이터 신호로 변환하여 상기 적외선부에 출력하는 구성을 특징으로 하는 휴대단말기의 데이터 포트 겸용장치.
  6. 휴대단말기의 각 기능부를 감시하고 해당 제어신호를 출력하는 동시에 입력된 데이터를 해당 처리하여 출력하는 제어부와,
    상기 제어부와 접속하고 데이터를 정합상태로 입출력하는 범용입출력부와,
    상기 범용입출력부와 접속하고 인가되는 데이터를 유에이알티 방식으로 변환하여 전송하는 동시에 반대의 처리를 하는 범용비동기부와,
    상기 범용비동기부와 접속하고 제어부의 제어신호에 의하여 송수신되는 데이터 신호의 전송경로를 선택하는 제2 선택부와,
    상기 제2 선택부와 접속하고 인가되는 데이터를 외부 장비에 전송하는 동시에 반대의 처리를 하는 포트부와,
    상기 제2 선택부와 접속하고 인가되는 데이터를 아이알디에이 방식으로 변환 출력하는 동시에 반대의 처리를 하는 적외선부와,
    상기 적외선부로부터 인가되는 신호를 적외선 데이터 신호로 변환하여 출력하는 동시에 반대의 처리를 하는 적외선 모듈로 이루어지는 구성을 특징으로 하는 휴대단말기의 데이터 포트 겸용장치.
  7. 제6 항에 있어서, 상기 범용비동기부는,
    상기 범용입출력부로부터 정합상태로 입력되는 병렬 신호를 유에이알티 방식 비동기 직렬 신호로 변환하여 상기 제2 선택부에 출력하고, 상기 제2 선택부로부터 입력되는 유에이알티 방식 비동기 직렬 신호를 병렬 신호로 변환하여 상기 범용입출력부에 출력하는 구성을 특징으로 하는 휴대단말기의 데이터 포트 겸용장치.
  8. 제6 항에 있어서, 상기 제2 선택부는,
    상기 제어부의 제어신호에 의하여 상기 범용비동기부로부터 입력되는 신호를 상기 포트부와 적외선부 중에서 선택된 경로로 출력하는 동시에 상기 포트부로부터 입력되는 신호와 적외선부로부터 입력되는 신호 중에서 하나를 선택하여 상기 범용비동기부에 출력하는 구성을 특징으로 하는 휴대단말기의 데이터 포트 겸용장치.
  9. 제6 항에 있어서, 상기 적외선부는,
    상기 제2 선택부로부터 입력되는 유에이알티 방식 비동기 직렬 신호를 아이알디에이 방식 신호로 변환하여 상기 적외선 모듈에 출력하고, 상기 적외선 모듈로부터 아이알디에이 방식 신호로 입력되는 신호는 유에이알티 방식 비동기 직렬 신호로 변환하여 상기 제2 선택부에 출력하는 구성을 특징으로 하는 휴대단말기의 데이터 포트 겸용장치.
  10. 제6 항에 있어서,
    상기 포트부는, 상기 제2 선택부로부터 입력된 유에이알티 방식 비동기 직렬데이터 신호를 외부에 출력하는 동시에 외부로부터 입력되는 유에이알티 방식 비동기 직렬 데이터 신호를 상기 제2 선택부에 출력하고,
    상기 적외선 모듈은 상기 적외선부로부터 아이알디에이 방식 데이터 신호를 입력하고 적외선 신호로 변환하여 출력하며, 적외선 데이터로 입력되는 신호는 아이알디에이 방식 데이터 신호로 변환하여 적외선부에 출력하는 구성을 특징으로 하는 휴대단말기의 데이터 포트 겸용장치.
  11. 휴대단말기는 외부 장비와 데이터 통신을 할 것인지 판단하는 시작과정과,
    상기 과정에서 판단하여 데이터 통신을 하는 경우, 유에이알티 포트 또는 지피아이오 포트를 할당하는지 판단하고 해당 포트를 할당하는 과정과,
    상기 과정에서 할당된 포트를 통하여 데이터의 직렬병렬변환 및 병렬직렬변환을 하는 변환과정과,
    상기 과정에서 변환된 데이터로 직렬통신 또는 적외선 통신을 할 것인지 판단하고 직렬 통신의 유에이알티 통신과 적외선 통신의 아이알디에이 통신을 하는 통신과정으로 이루어진 것을 특징으로 하는 휴대단말기의 데이터 포트 겸용방법.
  12. 제11 항에 있어서, 상기 할당과정은,
    휴대단말기의 제어부가 데이터를 입출력하는 포트로 유에이알티 포트를 사용할 것인지 지피아이오 포트를 사용할 것인지를 판단하는 과정과,
    상기 판단과정에서 유에이알티 포트를 사용하는 것으로 판단하면, 유에이알티 포트를 할당하는 과정과,
    상기 판단과정에서 지피아이오 포트를 사용하는 것으로 판단하면, 송신 및 수신용 지피아이오 포트를 각각 할당하는 과정으로 이루어진 것을 특징으로 하는 휴대단말기의 데이터 포트 겸용방법.
  13. 제11 항에 있어서, 상기 통신과정은,
    유선 직렬통신을 하는지 무선 적외선 통신을 하는지 판단하는 과정과,
    상기 과정의 판단에서 유선으로 직렬통신을 하는 경우, 유에이알티 방식 비동기 직렬 통신을 하는 과정과,
    상기 과정의 판단에서 무선 적외선으로 통신을 하는 경우, 아이알디에이 방식 통신을 하는 과정으로 이루어진 것을 특징으로 하는 휴대단말기의 데이터 포트 겸용방법.
KR1020030042222A 2003-06-26 2003-06-26 휴대단말기의 데이터 포트 겸용장치 및 방법 KR100548359B1 (ko)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020030042222A KR100548359B1 (ko) 2003-06-26 2003-06-26 휴대단말기의 데이터 포트 겸용장치 및 방법

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020030042222A KR100548359B1 (ko) 2003-06-26 2003-06-26 휴대단말기의 데이터 포트 겸용장치 및 방법

Publications (2)

Publication Number Publication Date
KR20050001874A true KR20050001874A (ko) 2005-01-07
KR100548359B1 KR100548359B1 (ko) 2006-02-02

Family

ID=37217468

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020030042222A KR100548359B1 (ko) 2003-06-26 2003-06-26 휴대단말기의 데이터 포트 겸용장치 및 방법

Country Status (1)

Country Link
KR (1) KR100548359B1 (ko)

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH09179669A (ja) * 1995-12-26 1997-07-11 Fujitsu Ltd インタフェース回路及びそれを用いた情報処理装置
KR100273311B1 (ko) * 1998-09-18 2000-12-15 김영환 무선 유니버셜 시리얼 버스장치
KR20000043472A (ko) * 1998-12-29 2000-07-15 김영환 이동통신 시스템용 제어보드의 다중 시리얼 통신장치
KR100640411B1 (ko) * 2000-01-18 2006-10-31 삼성전자주식회사 이동 무선 단말기에서 외부 디바이스간 데이터 송/수신연결 장치
JP2001265471A (ja) * 2000-03-23 2001-09-28 Yaskawa Electric Corp インタフェース切り替え回路

Also Published As

Publication number Publication date
KR100548359B1 (ko) 2006-02-02

Similar Documents

Publication Publication Date Title
JP3031837B2 (ja) 無線通信システムにおける無線伝送システムのアセンブリ
KR100514304B1 (ko) 복수의 서로 다른 통신 시스템 사이에 상호운용성을 제공하기 위한 시스템 및 방법
CN1937721A (zh) 具有无线传输功能的电视机
US20040001471A1 (en) Card device for wireless data communication
KR20050001874A (ko) 휴대단말기의 데이터 포트 겸용장치 및 방법
KR100641228B1 (ko) 휴대단말기의 멀티 커넥터 제어장치 및 구조
KR100724871B1 (ko) 블루투스를 이용한 휴대 전화기 원격 제어 장치
CN108572749A (zh) 一种终端控制方法和系统、设置适配装置、及移动终端
KR100606717B1 (ko) 이동통신 단말기의 듀얼 uart회로
CN101360292A (zh) 手机中实现单蓝牙支持至少两个sim卡功能互换的方法及系统
KR101123334B1 (ko) 입출력 장치 및 그 제어 방법
KR100640411B1 (ko) 이동 무선 단말기에서 외부 디바이스간 데이터 송/수신연결 장치
KR20030078197A (ko) 이동통신 단말기간 데이터 교환방법
JPH11272409A (ja) 複数端末を操作可能な入力装置
KR100548238B1 (ko) 개인용 컴퓨터와 어드레스를 공유하는 인터넷 프로토콜 폰 및 그의 제어방법
KR100881220B1 (ko) 휴대용 복합 단말기
KR20020082645A (ko) Ic 카드 단말기용 데이터 송수신 장치
CN101986731A (zh) 一种无线通信及遥控系统和无线通信及遥控方法
KR200328981Y1 (ko) 다기능 접속 장치
US20040093391A1 (en) Computer console for wirelessly controlling remote computers
KR100540567B1 (ko) 대용량 데이터 무선 송수신 시스템 및 그 방법
KR20040026793A (ko) 무선 데이터 접속시스템, 그에 사용되는 무선 데이터접속장치 및 방법
KR20030088148A (ko) 휴대통신 단말기와 초단거리 무선통신장치를 이용한자동화시스템
JPH01223835A (ja) コードレス電話装置
KR20090024537A (ko) 전술 정보 처리 방법 및 장치

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20121227

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20131224

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20141224

Year of fee payment: 10

FPAY Annual fee payment

Payment date: 20151224

Year of fee payment: 11

FPAY Annual fee payment

Payment date: 20161223

Year of fee payment: 12

LAPS Lapse due to unpaid annual fee