KR200409518Y1 - 기판 프로세싱 챔버를 위한 내부 안테나 - Google Patents

기판 프로세싱 챔버를 위한 내부 안테나 Download PDF

Info

Publication number
KR200409518Y1
KR200409518Y1 KR2020050032802U KR20050032802U KR200409518Y1 KR 200409518 Y1 KR200409518 Y1 KR 200409518Y1 KR 2020050032802 U KR2020050032802 U KR 2020050032802U KR 20050032802 U KR20050032802 U KR 20050032802U KR 200409518 Y1 KR200409518 Y1 KR 200409518Y1
Authority
KR
South Korea
Prior art keywords
coil
internal antenna
conductor
plasma
standoffs
Prior art date
Application number
KR2020050032802U
Other languages
English (en)
Inventor
케이쓰 에이. 밀러
젠후아 수
쉥데 총
마헨드라 헤지?? 록한데
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Priority to KR2020050032802U priority Critical patent/KR200409518Y1/ko
Application granted granted Critical
Publication of KR200409518Y1 publication Critical patent/KR200409518Y1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • H01J37/3211Antennas, e.g. particular shapes of coils
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32192Microwave generated discharge
    • H01J37/32211Means for coupling power to the plasma
    • H01J37/3222Antennas
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Electromagnetism (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Plasma Technology (AREA)

Abstract

벽을 갖는 프로세스 챔버 내 플라즈마에 에너지를 결합시키기 위한 안테나는 챔버 내 플라즈마에 노출된 표면을 갖는 코일을 포함한다. 복수 개의 스탠드오프는 프로세스 챔버의 벽으로부터 설정된 간격을 두고 코일을 지지하며, 적어도 하나의 스탠드오프는 전력이 외부 전력 소스로부터 코일에 인가되게 하는 단자를 포함한다. 상기 단자는 제 1 길이 L1를 갖는 도체 리셉터클 및 도체 리셉터클 둘레의 쟈켓을 포함하며, 쟈켓은 제 2 길이 L2를 갖는다. 길이 L1는 길이 L2 보다 더 크다. 도체 컵은 단자를 갖는 스탠드오프 둘레에 제공된다.

Description

기판 프로세싱 챔버를 위한 내부 안테나{INTERIOR ANTENNA FOR SUBSTRATE PROCESSING CHAMBER}
도 1은 프로세스 챔버 내부의 플라즈마에 에너지를 결합시키기 위한 내부 안테나의 일 실시예에 대한 투시도이다.
도 2는 도 1의 안테나에 대한 단면을 보여주는 측면도로서, 코일 및 외부 전력 공급원으로부터 커넥터로 코일을 연결시키기 위한 단자를 포함하는 스탠드오프의 단면을 도시한다.
도 3은 단자를 구비한 한 쌍의 스탠드오프를 보여주는 도 1의 안테나에 대한 상부 단면도이다.
도 4는 코일 및 단자를 가진 스탠드오프를 보여주는 안테나의 또 다른 실시예에 대한 측면 단면도이다.
도 5는 코일 및 단자를 가진 스탠드오프를 보여주는 안테나의 또 다른 실시예에 대한 측면 단면도이다.
도 6a는 코일 및 스탠드오프를 갖는 안테나의 또 다른 실시예에 대한 상부 개략도이다.
도 6b는 코일 및 스탠드오프를 갖는 안테나의 또 다른 실시예에 대한 상부 개략도이다.
도 6c는 코일 및 스탠드오프를 갖는 안테나의 또 다른 실시예에 대한 상부 개략도이다.
도 6d는 코일 스탠드오프를 갖는 안테나의 또 다른 실시예에 대한 상부 개략도이다.
도 7a는 적층된 코일들을 구비하는 안테나의 실시예에 대한 개략적인 단면도이다.
도 7b는 서로 맞물리는 에지를 가진 코일 말단을 보여주는 도 7a의 안테나에 대한 투시도이다.
도 8은 기판 프로세스 챔버의 일 실시예의 개략적인 측면 단면도이다.
도 9는 도 8의 장치와 연관된 전기 회로의 실시예이다.
본 발명의 실시예들은 기판 프로세싱 챔버를 위한 내부 안테나에 관한 것이다.
반도체 기판 및 디스플레이와 같은 기판의 프로세싱에서, 기판은 기판 프로세싱 챔버에 배치되고 기판 상에 재료를 증착시키거나 기판상의 재료를 에칭하기 위하여 활성화된 가스에 노출된다. 전형적인 프로세스 챔버는 기판 지지부 둘레의 프로세스 영역을 에워싸는 엔클로저 벽, 챔버에 프로세스 가스 조성물을 제공하는 가스 공급원, 기판을 프로세싱하기 위하여 프로세스 가스를 활성화(energize)시키 는 가스 활성화기, 및 가스 배출 포트를 포함한다. 상기 챔버는 화학 기상 증착(CVD), 물리적 기상 증착(PVD) 및 에칭 프로세스에 사용될 수 있다.
PVD 프로세스에서, 챔버 내 스퍼터링 타겟은 기판과 대향한다. 프로세스 가스는 챔버 내로 도입되어 타겟으로부터 재료를 스퍼터링하는 플라즈마를 형성하기 위하여 이온화된다. 프로세스 가스는 예를 들어, 용량성 결합, 유도성 결합, 마이크로파 에너지 또는 그 결합에 의해 고주파수 전기장을 가스에 결합시킴으로써 활성화될 수 있다. 용량성 결합된 플라즈마에서, 전압은 에너지를 프로세스 가스에 결합시키기 위하여 타겟과 기판 지지부에 인가된다. 유도성 결합 플라즈마(ICP) 발생기에서, 전류는 플라즈마에 전자기 전류를 유도하기 위하여 안테나를 통해 통과된다.
내부 안테나는 또한 챔버 내부에 그리고 타겟과 기판 지지부 사이의 플라즈마 발생 영역 둘레에 배치될 수 있다. 플라즈마에 대한 내부 안테나의 근접성은 플라즈마에 결합되는 에너지를 최대화시킨다. 안테나는 또한 타겟과 동일한 재료로 구성되어, 안테나의 표면은 기판 주변 영역에서의 스퍼터 증착율과 기판 중심 영역에서의 스퍼터 증착율을 균등하게 하기 위하여 기판 주변 영역 상에 증착되는 스퍼터링 재료를 제공하도록 스퍼터링될 수 있다. 환형 안테나는 또한 홀의 에지에서 스퍼터링된 재료의 축적물로부터 홀의 보이드를 형성하지 않으면서 기판의 높은 종횡비 콘택 홀을 더 양호하게 충전하기 위하여 플라즈마 에너지를 "콜리메이팅"하도록 사용될 수 있다.
그러나, 사용시, 내부 안테나의 노출된 표면 상의 축적된 스퍼터링 증착물은 안테나가 변형되어 휘어지게 할 수 있다. 안테나 변형은 또한 상승된 플라즈마 온도에서 발생하는 열적 팽창으로부터 야기될 수 있다. 이러한 변형으로 인해 안테나의 팽창된 부분들이 주변의 접지된 도체들과 접촉된다면 안테나가 단락될 수 있다. 안테나 변형은 또한 안테나로부터 축적된 증착 재료를 제거한 이후 챔버 내 안테나를 재삽입 및 설치(bolt)하는 것을 어렵게 만든다. 전체 안테나는 또한 본래 원형의 기하구조로부터 비대칭 또는 타원형이 되도록 치수를 변화시킬 수 있거나, 종결 지점 둘레에 더 넓은 간격을 가질 수 있어, 결과적으로 안테나를 지지하기 위해 사용되었던 전기적 연결 포스트가 더 이상 맞지 않는다.
따라서, 프로세스 챔버의 플라즈마에 양호한 에너지 전달을 제공하는 안테나를 갖는 것이 바람직하다. 또한, 축적된 증착물을 수용할 수 있거나 과도한 변형없이 상승된 온도에 노출될 수 있는 안테나를 갖는 것이 바람직하다.
일 실시예에서, 벽을 갖는 프로세스 챔버 내 플라즈마에 RF 에너지를 결합시키기 위한 내부 안테나는 챔버 내 플라즈마에 노출된 표면을 갖는 코일을 포함한다. 복수 개의 스탠드오프는 프로세스 챔버의 벽으로부터 설정된 간격을 두고 코일을 지지하며, 적어도 하나의 스탠드오프는 전력이 외부 전력 소스로부터 코일에 인가되게 하는 단자를 포함한다. 상기 단자는 제 1 길이 L1를 갖는 도체 리셉터클 및 도체 리셉터클 둘레의 쟈켓을 포함하며, 쟈켓은 제 2 길이 L2를 갖는다. 길이 L1는 길이 L2 보다 더 크다. 도체 컵은 단자를 갖는 스탠드오프 둘레에 제공된다.
또 다른 실시예에서, 내부 안테나는 코일 및 복수 개의 스탠드오프를 포함하고, 적어도 하나의 스탠드오프는 전력이 외부 전력 소스로부터 코일로 인가되게 하는 단자를 포함하며, 단자는 도체 리셉터클을 포함한다. 도체 컵은 단자를 갖는 스탠드오프 둘레에 있고, 도체 컵은 측벽과 단자 사이에 측벽 갭을 제공하기에 충분히 큰 내부 직경을 갖는 측벽을 포함하여, 단자의 수치 변화는 단자와 도체 컵 사이의 접촉을 야기하지 않는다.
부가적인 실시예에서, 내부 안테나는 코일 및 50도 이하로 이격된 복수 개의 스탠드오프를 포함하며, 스탠드오프는 프로세스 챔버의 벽으로부터 간격을 제공하도록 코일을 지지한다. 스탠드오프 단자는 전력이 스탠드오프 단자를 통하여 외부 전력 소스로부터 코일로 인가되게 하도록 제공된다.
또 다른 실시예에서, 안테나는 코일 및 복수 개의 스탠드오프를 포함하고, 적어도 하나의 스탠드오프는 전력이 외부 전력 소스로부터 코일로 인가되게 하는 단자를 포함하며, 상기 단자는 외부 표면을 갖는다. 내부 표면을 포함하는 도체 컵은 단자를 구비한 스탠드오프를 에워싼다. 단자의 외부 표면 및 도체 컵의 내부 표면 중 적어도 하나는 세라믹을 포함한다.
내부 안테나의 또 다른 실시예는 코일 및 프로세스 챔버의 벽으로부터 간격을 두고 코일을 지지하는 복수 개의 스탠드오프를 포함한다. 적어도 하나의 스탠드오프는 전력이 외부 전력 소스로부터 코일로 인가되게 하는 외부 전기 커넥터를 끼우도록 적응된 슬라이딩 가능한 내부 표면(slidable inner surface)을 가진 콘택홀을 갖는 단자를 포함한다.
내부 안테나의 부가적인 실시예는 실질적으로 원형 섹션 및 방사상 내향하여 휘어진 섹션을 포함하는 코일을 포함한다. 복수 개의 스탠드오프는 프로세스 챔버의 벽으로부터 간격을 두고 코일을 지지하기 위하여 제공된다.
내부 안테나의 또 다른 실시예는 제 1 두께를 갖는 제 1 섹션 및 제 1 두께보다 큰 제 2 두께를 갖는 제 2 섹션을 갖는 코일을 포함한다.
내부 안테나의 또 다른 실시예는 코일의 중심 축 둘레에 다면체를 형성하는 복수 개의 섹션들을 갖는 코일을 포함한다.
프로세스 챔버 내 플라즈마에 RF 에너지를 결합시키기 위하여 사용되는 안테나의 플라즈마 노출된 표면을 세정하기 위한 프로세스가 또한 기술된다. 상기 프로세스는 프로세스 챔버 내 압력에서 불활성 가스를 유지하는 단계 및 상기 가스로부터 플라즈마를 형성하기 위하여 불활성 가스에 RF 전력을 인가하는 단계를 포함하며, 상기 RF 전력은 적어도 약 1 kW의 전력 레벨에서, 적어도 약 5분의 시간 주기동안 인가되며, 그에 의하여, 코일의 플라즈마 노출된 표면 상의 프로세스 증착물이 제거된다.
본 발명의 이러한 특징, 실시예 및 이점들이 이하의 설명, 첨부된 청구범위 및 본 발명의 예를 도시하는 첨부 도면과 관련하여 더 잘 이해될 것이다. 그러나, 각 특징들은 단지 특정 도면의 맥락에서가 아니라 본 발명에 일반적으로 사용될 수 있으며, 본 발명은 이러한 특징들의 임의의 결합을 포함한다.
플라즈마에 에너지를 결합시키기 위한 내부 안테나(20)의 예시적인 실시예는 도 1 내지 도 3에 도시된다. 일반적으로, 안테나(20)는 챔버 내부의 기판 주변 둘레에서 플라즈마에 노출되는 표면(face)(24)을 가진 코일(22)을 포함한다. 코일(22)의 표면(24)은 스퍼터링 가능한 재료, 예를 들어, 스퍼터링 챔버 애플리케이션 내의 기판과 대향하는 스퍼터링 타겟과 동일한 재료로 구성될 수 있다. 스퍼터링 애플리케이션에서, 코일(22)의 표면(24)으로부터 생성된 스퍼터링 재료는 챔버의 타겟으로부터 기판의 중심 영역에 형성된 더 두꺼운 증착물과 균형을 맞추기 위하여 대체로 기판의 주변 영역 상에 증착된다. 그리하여, 안테나(20)로부터 스퍼터링된 재료는 타겟 스퍼터링 재료가 기판의 중심 영역에서 더 두껍게 증착되는 경향을 상쇄시킨다. 그러한 애플리케이션에서, 적어도 표면(24), 보다 전형적으로 전체 코일(22)은 스퍼터링 가능한 도전 금속 또는 금속 화합물, 예를 들어, 탄탈, 탄탈륨 나이트라이드, 알루미늄, 알루미늄 나이트라이드, 티타늄, 티타늄 나이트라이드, 텅스텐, 텅스텐 나이트라이드 및 구리 중 하나 또는 그 이상으로부터 구성된다. 예를 들어, 탄탈 또는 탄탈 나이트라이드의 스퍼터링 증착에서, 코일(22)은 99.999%의 순수한 탄탈로 구성될 수 있다.
도 1에 도시된 실시예에서, 코일(22)의 표면(24)은 환형이고 실질적으로 평평하다. 코일(22)은 예를 들어, 도 8에 도시된 바와 같이, 전형적으로 코일(22)의 내부 원주 내에 있는 기판의 평면에 실질적으로 수직이다. 그러나, 표면(24)은 기판 평면에 대해 약간 각이 지거나, 기판 평면에 평행할 수도 있다. 부가하여, 본 명세서에 기술된 예시적인 실시예에서, 코일(22)은 환형 또는 고리형인데, 그 이유 는 코일이 반도체 웨이퍼와 같은 원형인 기판을 둘러싸기 때문이다. 그러나, 코일(22)은 다른 형태, 예를 들어, 플라즈마 또는 LCD 스크린에 사용되는 직사각형 디스플레이와 같은 기판의 형태에 부합되도록 직사각형 형태를 가질 수 있다. 본 명세서에서 기술된 예시적인 코일(22)의 실시예는 청구범위를 제한하기 위해 사용되어서는 안 된다.
코일(22)은 전력이 코일(22)에 인가되게 하는 적어도 한 쌍의 말단(26a,26b)을 갖는다. 말단(26a,26b)은 도시된 바와 같이 단일 권선 코일(22)의 개시부 및 종결부에 존재하나, 다수의 권선수를 갖는 코일(22)의 실시예는 각각의 코일에 전류를 공급하기 위하여 다수 개의 말단(미도시)을 가질 수 있다.
복수 개의 스탠드오프(28a-28h)는 도 1 및 도 8에 도시된 바와 같이 프로세스 챔버의 벽으로부터 설정된 간격에서 코일(22)을 지지하기 위하여 사용된다. 스탠드오프(28a-28h)는 챔버 벽으로부터 코일(22)을 분리하고 전기적으로 절연시킨다. 스탠드오프(28a-28h)는 또한 코일(22)로부터 주변의 챔버 벽으로, 또는 전형적으로 전기적 접지에 있어 코일(22)을 단락시킬 실드(shield)로 증착된 재료의 완전한 도전 경로를 형성하지 않으면서 챔버의 타겟으로부터 스탠드오프(28a-28h) 상에 스퍼터링 재료 증착의 축적을 허용하는 내부 미로 구조(internal maze structure)를 갖는다. 스탠드오프 간격은 벽으로부터 코일(22)을 분리시키도록 설정되고, 또한 플라즈마에 에너지를 더 효율적으로 결합시키기 위하여 코일(22)을 챔버 내 플라즈마 존에 더 근접시킨다. 적절한 간격은 적어도 약 10 mm, 보다 전형적으로는 약 20 내지 약 40 mm이다.
스탠드오프(28a-28h)는 코일에 대한 적절한 지지를 제공하기 위하여 코일(22)의 외부 원주를 따라 이격된다. 일 실시예에서, 예를 들어, 코일(22) 둘레에 적어도 7개 또는 8개의 스탠드오프를 제공함으로써, 코일(22)의 원주 둘레에서 스탠드오프(28a-28h)를 50° 이하만큼 이격시키는 것이 바람직하다는 것이 발견되었다. 이러한 구성은 코일(22)이 챔버 벽에 더 견고하게 부착될 수 있게 하여, 챔버에 사용되는 동안 코일(22)의 변형을 감소시킨다. 5개 이하의 스탠드오프를 사용한 종래 코일들은 프로세싱된 증착물들이 코일(22)의 표면(24) 상에 축적될 때 외형이 현저히 변화됨이 발견되었다. 코일(22)이 프로세싱 동안 노출되는 플라즈마 온도로부터 야기되는 열적 응력 또한 코일(22)의 변형을 일으킬 수 있다. 50° 이하로 이격된 스탠드오프(28a-28h)를 가진 코일(22)은 코일(22)이 변형 응력을 인가받을 때조차 그 형태를 유지함을 보장한다. 그러나, 다른 수단에 의해 강화된 다른 코일 설계에서, 더 적은 개수의 스탠드오프(28)가 또한 사용될 수 있다.
적어도 하나의 스탠드오프(28a-28h)는 외부 전력 소스로부터 코일(22)로 전력이 인가되게 하는 단자(30a, 30b)를 포함한다. 예를 들어, 스탠드오프(28a 및 28h)는 도 3에 도시된 바와 같이 단일 권선 코일(22)에 전력을 공급하기 위한 단자(30a, 30b)로서 사용될 수 있다. 스탠드오프(28a,28b)는 코일(22)에 전력을 공급하기 위하여 단일 권선 코일(22)의 단부의 두 말단(26a,26b)에 연결된다. 단자(30a,30b)는 각각 코일(22)의 말단(26a,26b)에서 연장되는 도체 리셉터클(32a,32b)를 포함한다. 도체 리셉터클(32a,32b)은 챔버 외부에 배치된 전력 소스로부터 고주파수 전류를 제공하기 위하여 도 8에 도시된 바와 같이, 실드(150) 및/또는 챔버 벽을 관통하여 연장되는 진공 피드스루(37a,37b)의 커넥터(35a,35b)를 수용한다. 커넥터(35a,35b)는 스테인리스 스틸, 구리 또는 알루미늄으로 구성된 금속 핀을 포함한다. 진공 피드스루(37a,37b)는 종래의 진공 밀봉을 포함하고 챔버 측벽(도시)에서 또는 챔버(102)의 바닥으로부터 직접 연장될 수 있다.
단자(30a,30b)를 가진 각각의 스탠드오프(28a,28b)는 각각 단자(30a,30b)를 커버하여 차폐시키는 주변의 도체 컵(40a,40b)을 포함한다. 도체 컵(40a,40b)은 확장부(43a,43b)를 가진 원통형 커버(41a,41b)를 포함하고, 바람직하게 챔버에 증착된 것과 동일한 재료로 구성된다. 그리하여, 증착되는 재료가 탄탈을 포함한다면, 도체 컵(40a,40b) 또한 바람직하게 탄탈로 구성된다. 증착된 재료의 부착을 촉진하기 위하여, 도체 컵(40a,40b)의 표면을 비드 블래스트(bead blast)에 의해 처리하는 것이 바람직하며, 이것은 증착된 재료로부터 입자들의 발산(shedding)을 감소시킬 것이다. 확장부(43a,43b) 각각은 세라믹 부싱(bushing)과 같은 절연 분리기(45a,45b) 상에 놓이고, 이것은 단자들(30a,30b)로부터 도체 컵(40a,40b)을 절연시킨다. 통기 홀(47a,47b)은 어셈블리로부터 부산물을 통기하여 제거하기 위하여 도체 컵(40a,40b)에 제공된다.
베이스(33)로부터 측정했을 때 제 1 길이 L1를 갖는 도체 리셉터클(32) 및 제 2 길이 L2를 갖는 쟈켓(34)의 일 실시예가 도 4에 도시된다. 도체 리셉터클(32)은 원통을 포함하고, 쟈켓(34)은 동심 원통이다. 이러한 실시예에서, 길이 L2는 코일(22)의 팽창 또는 다른 변형이 단자(30)의 외부 표면(36)과 스탠드오프(28) 둘레 에 배치된 도체 컵(40)의 내부 표면 사이의 접촉을 야기하지 않도록 길이 L1보다 작게 유지된다. 종래 단자에서, 코일(22)의 변형 팽창에 의해 야기된 쟈켓(34)과 도체 컵(40) 사이의 접촉은 코일의 전기적 단락을 일으키는 것으로 결정되었다. 그러나, 감소된 길이 L2를 갖는 쟈켓(34)을 구비한 단자(30)는 보다 견고하고 전기적 단락에 저항성이 있는 것으로 발견되었다. 일 실시예에서, 쟈켓(34)의 제 2 길이 L2는 도체 리셉터클(32)의 제 1 길이 L1보다 적어도 약 0.5mm 만큼 더 작다. 도체 리셉터클(32) 및 쟈켓(34)의 길이는 길이 L2가 길이 L1에 비해 약 0.5mm 내지 약 2.5mm만큼 더 작게 조정될 수 있다. 일 실시예에서, 제 2 길이 L2는 약 6mm 내지 약 10mm이고, 제 1 길이 L1은 약 7mm 내지 약 12mm이다.
도 2에 도시된 또 다른 실시예에서, 도체 컵(40)은 측벽(42)과 쟈켓(34) 사이에 측벽 갭(44)을 제공하기에 충분히 큰 내부 직경을 갖는 측벽(42)을 포함하여, 단자(30)의 치수 변화는 단자(30)와 도체 컵(40) 사이의 접촉을 야기하지 않는다. 다시, 코일(22)의 변형은 코일(22)의 전기적 단락을 야기하는 쟈켓(34)의 외부 표면(36)과 스탠드오프(28) 둘레의 도체 컵(40)의 내부 표면(38) 사이의 접촉을 야기할 수 있다. 예를 들어, 측벽(42)의 내부 직경은 적어도 약 24mm로 구성될 수 있고, 보다 바람직하게는, 약 25mm 내지 약 30mm로 구성될 수 있다. 이러한 실시예에서, 측벽(42)과 쟈켓(34) 사이의 측벽 갭(44)은 미리 설정된 단자(30)의 수치 변화가 단자(30)와 도체 컵(40) 사이의 접촉을 야기하지 않기에 충분히 큰 사이즈를 갖는다. 예를 들어, 측벽 갭(44)은 적어도 약 2mm일 수 있다. 통기 홀(47)이 또한 스탠드오프(28)의 여러 홀 및 내부 표면로부터 번오프(burn off)하는 부산물 및 오염물을 제거할 수 있게 제공된다
도체 컵(40)의 바닥 벽(48)과 쟈켓(34)의 원주 에지(50) 사이에 바닥 벽 갭(46)을 제공하는 것이 또한 필수적이다. 바닥 벽 갭(46)은 단자(30)의 수치 변화가 단자(30)의 에지(50)와 도체 컵(40)의 벽(48) 사이의 접촉을 야기하지 않기에 충분히 크게 구성된다. 예를 들어, 바닥 벽 갭(46)은 적어도 약 1 mm, 보다 전형적으로는 약 1.2 mm 내지 약 2 mm일 수 있다. 이러한 실시예에서, 단자(30)가 단자(30)와 도체 컵(40) 사이의 접촉없이 바닥 벽 갭(46) 내에서 수치변화되게 하는 갭 크기를 제공하기 위하여, 도체 컵(40) 사이의 바닥 벽(48)은 더 얇은 사이즈를 갖거나, 쟈켓(34)은 더 작은 크기를 갖는다. 바닥 벽 갭은 단자의 수치 변화가 단자(30)와 도체 컵(40) 사이의 접촉을 야기하지 않도록 충분히 큰 내부 원주 에지(50)로부터의 깊이에서 바닥 벽(48)을 유지함으로써 형성된다. 예를 들어, 바닥 벽(48)의 깊이는 적어도 약 15 mm, 또는 약 15 mm 내지 20 mm일 수 있다.
또 다른 실시예에서, 스탠드오프(28)의 단자(30)의 외부 표면(36) 및 도체 컵(40)의 내부 표면(38) 중 적어도 하나는 도 5에 도시된 바와 같이, 세라믹(54)으로 코팅된다. 단자(30)는 쟈켓(34) 둘레에 외부 표면(36)을 포함한다. 도체 컵(40)은 단자(30)를 에워싸는 내부 표면(38)을 포함한다. 종래의 코일이 변형될 때, 응력이 단자(30)에 가해져, 단자는 팽창 또는 변형되어 단자(30)의 외부 표면(36)이 도체 컵(40)의 내부 표면(38)에 닿게 하고, 그 결과 전기적 단락이 일어난 다. 이러한 실시예에서, 단자(30)의 외부 표면(36) 또는 도체 컵(40)의 내부 표면(38)은 세라믹(54)을 포함한다. 적절한 세라믹은 알루미늄 옥사이드, 실리콘 옥사이드, 티타늄 옥사이드, 지르코늄 옥사이드, 글래스 세라믹 및 그 혼합물을 포함한다. 세라믹(54)은 약 1 mm 이하의 두께로, 보다 바람직하게는 약 0.05 mm 내지 약 0.5 mm의 두께로 제공될 수 있다. 적절한 세라믹은 표면들(36,38)을 커버하는 세라믹 케이스를 포함하고 다결정 세라믹 또는 글래스 세라믹의 블록을 부합(match)시킴으로써 구성된다. 세라믹(54)은 표면들(36,38)에 가해지는 코팅의 형태, 또는 표면들(36,38)을 커버하는 가공된 케이스 형태를 가질 수 있다. 적절한 세라믹 코팅 방법은 플라즈마 스프레이, 또는 슬러리 코팅 및 소결(sinter)을 포함한다. 세라믹 코팅은 약 0.05 mm 이하의 두께를 가질 수 있다.
부가적인 실시예에서, 도 5에 또한 도시된 바와 같이, 단자(30)는 외부 슬라이딩 커넥터(35a)에 끼우도록 적응된 내부 슬라이딩 표면(62)을 갖는 콘택홀(60)을 포함하고, 전력은 상기 외부 슬라이딩 커넥터(35a)를 통해 코일(22)에 인가된다. 예를 들어, 단자(30)의 콘택홀(60)은 도시된 바와 같이, 슬라이딩 커넥터(35a) 및 바나나 플러그(banana plug)(65)를 수용하는 크기를 갖는 내부 직경을 갖도록 형성될 수 있다. 스프링 디자인을 사용하는 전형적인 장비 또는 타입에 사용되는 1/4 인치 또는 3.5 mm 커넥터와 같은 다른 슬라이딩 커넥터 또한 사용될 수 있다. 슬라이딩 커넥터(35a)는 도전 금속으로 구성될 수 있고, 보다 바람직하게는 스프링형 탄성력을 갖는 금속, 예를 들어, 포스포러스 브론즈(phosphorous bronze)로 구성된다. 바나나 플러그(65)는 베이스로부터 일정 각도로 외향하여 연장되는 길이방향 암(66)을 갖고, 상기 암(66)은 중간 섹션에서 최대치에 도달할 때 제 2 각도로 내향하여 구부러진다. 바나나 플러그(65) 구부러지고, 콘택홀(60) 내로 삽입될 때 내부 슬라이딩 표면(62)에 대해 외향 압력을 인가하도록 부분적으로 접힌다. 콘택홀(60)은 바나나 플러그(65)의 중간 섹션의 외부 직경보다 약간 더 작은 직경을 갖는다.
또 다른 실시예에서, 도 6a 내지 도 6d에 도시된 바와 같이, 코일(22a)은 변형되어 더 양호하게 응력을 흡수할 수 있게 된다. 예를 들어, 도 6a에 도시된 바와 같이, 코일(22a)은 실질적으로 원형인 섹션(68) 및 방사상 내향하여 휘어진 섹션(70)을 포함하는 상이한 섹션들을 갖도록 형성될 수 있다. 도시된 바와 같이, 방사상 내향하여 휘어진 섹션(70)은 각각 그 위에 스탠드오프(28a,28g)를 갖는 2개의 부분(71a,71b)을 포함한다. 실질적으로 원형인 섹션(68)은 다른 스탠드오프(28b-28f)를 갖는 코일(22a)의 나머지 원주에 걸쳐 연장된다. 코일(22)의 방사상 내향하여 휘어진 섹션(70)은 단자(30a,30b)를 포함하는 스탠드오프(28a,28g)의 위치에 제공되는데, 이는 상기 스탠드오프(28a,28g)가 원형 섹션(68) 둘레에 배치되어 단자로 사용되지 않는 나머지 스탠드오프들(28b-28f)과 상이한 응력을 형성하기 때문이다. 방사상 내향하여 휘어진 섹션(70)은 분리된 섹션으로서 도시되고, 분리된 섹션은 2개의 부분(71a,71b)을 가지나, 분리되지 않고 하나의 연속적인 부분(미도시)을 가질 수도 있다. 플라즈마에 노출되는 코일(22a)의 표면(24a)은 기판에 대해 균일한 전기장을 제공하기 위하여 실질적으로 원형이다. 방사상 내향하여 휘어진 섹션(70)은 또한 각각의 스탠드오프(28a-28g) 둘레에 배치될 수도 있다.
또 다른 실시예에서, 코일(22b)은 도 6b에 도시된 바와 같이, 코일(22c)의 중심 축(73) 둘레에 다면체를 형성하는 복수 개의 각진 섹션(72a-72k)을 갖는다. 각각의 각진 섹션(72a-72k)은 방사상 내향하여 휘어져서, 코일(22b)은 복수 개의 방사상 내향하여 휘어진 섹션들(72a-72k)을 갖는다. 섹션들(72a-72k)은 코일의 중심(76)으로부터 평균 반경 R1에 있다. 반경 R1은 전형적으로 약 120 mm 내지 약 200 mm이다. 각각의 섹션은 또한 R1으로부터 10 mm 까지 이탈될 수 있다. 섹션들(72a-72k)은 실질적으로 평평하거나 둥근형이거나, 대안적으로 평평한 형태 및 둥근 형태일 수 있다. 예를 들어, 번갈아가며 건너 뛰는 섹션(72a,72c,72e,72g,72i,72k,72m)은 평평하고 이들 위치에서 더 큰 강성(rigidity)을 제공하기 위하여 스탠드오프(28a-28g)에 배치될 수 있는 반면, 나머지 섹션(72b,72d,72f,72h,72j,72l)은 원형이고 평평한 섹션들 사이에 배치된다.
부가적인 실시예에서, 도 6c에 도시된 바와 같이, 코일(22c)은 제 1 두께를 갖는 제 1 섹션(74a-74e) 및 제 2 두께를 갖는 제 2 섹션(76a-76d)을 갖도록 형성될 수 있다. 도시된 실시예에서, 제 1 두께는 제 2 두께보다 더 크다. 예를 들어, 제 1 두께는 제 2 두께보다 적어도 약 1.5배만큼 더 클 수 있다. 예를 들어, 제 1 두께는 약 16 내지 약 20 mm 일 수 있고, 제 2 두께는 약 14 내지 약 18 mm 일 수 있다. 더 두꺼운 섹션(74a-74e)은 이들 지점에서 더 큰 강성을 제공하기 위하여 스탠드오프(28a-28e)에 배치될 수 있는 반면, 더 얇은 섹션들(76a-76d)은 코일의 적절한 유연성을 제공하기 위하여 두꺼운 섹션들 사이에 배치된다. 그러나, 대안적인 실시예들은 코일(22c)이 사용되는 동안 특정 섹션에서 발생하는 변형 응력에 따라 선택되는 상이한 두께를 가진 상이한 섹션을 가질 수 있다. 더 두꺼운 부분들은 코일(22c)의 외부 표면으로부터 외향하여 연장되기 때문에 플라즈마에 노출되는 표면(24c)은 중심(73)으로부터 동일한 거리를 갖는다.
또 다른 실시예에서, 도 6d에 도시된 바와 같이, 코일(22d)은 실질적으로 균일한 제 1 두께를 갖는 제 1 섹션들(77a-77i) 및 굴곡 영역에 걸쳐 평균 제 2 두께를 갖는 제 2 섹션들(78a-78i)를 갖도록 형성된다. 도시된 실시예에서, 제 1 두께는 평균 제 2 두께보다 더 크다. 예를 들어, 제 1 두께는 이전에 설명한 바와 같이 평균 제 2 두께에 비해 동일한 배수만큼 더 클 수 있다. 제 1 섹션(77a-77i)은 스탠드오프(28a-28e)를 지지하기 위한 강성을 제공하는 반면, 제 2 섹션(78a-78i)은 내향하여 굴곡이 지고 로드 베어링 스탠드오프(28a-28e)를 갖는 제 1 섹션들(77a-77i)의 유연성을 허용하는 힌지로서 사용된다. 또 다른 실시예에서, 스탠드오프들(28a-28e)의 위치에 배치되는 섹션들(77)은 더 두껍게 구성되어 이들 위치에서 두꺼운 섹션들 사이의 섹션들(78)에 비해 더 큰 강성을 제공할 수 있다.
도 7a 및 도 7b는 서로의 상부에 적층된 2개의 단일 권선 코일(22a,22b)을 갖는 안테나(20a)의 또 다른 실시예를 도시한다. 복수 개의 스탠드오프들(28a,28b)이 프로세스 챔버의 벽으로부터 설정된 간격을 두고 코일들(22a,22b)을 지지하기 위하여 사용된다. 상부 코일(22a)은 노출된 표면(22a)을 갖고, 노출된 표면(22a)은 코일(22b)의 노출된 표면(22b) 위에 배치된다. 각각의 코일(22a,22b)은 각각 말단 부분(26a,26b)을 갖는다. 예를 들어, 도 7a에 도시된 바와 같이, 코 일(22a)에 대한 말단(26a)은 하나 이상의 단자(30a)에 연결될 수 있고, 코일(22b)에 대한 말단(26b)은 하나 이상의 단자(30b)에 연결될 수 있다. 단자들(30a,30b)은 각각 코일(22a,22b)의 말단(26a,26b)에서 연장되는 도체 리셉터클(32a,32b)을 포함한다. 도체 리셉터클(32a,32b)은 외부 환경에 연결되는 커넥터들(35a,35b)을 수용한다. 상부 및 하부 코일들(22a,22b)이 함께 결합되면, 즉, 전류가 하나에서 다른 하나로 흐르면, 단지 한 쌍의 단자들(30a,30b)이 두 코일들(22a,22b)(또는 코일 권선수)에 대해 필요하고, 그렇지 않으면, 각 쌍의 단자들이 단일 코일에 사용되도록 2쌍의 단자들이 필요하다. 코일들(22a,22b)은 또한 도 7b에 도시된 바와 같이, 단일 도체 컵(40)이 도시된 바와 같이 양 단자(30a,30b)를 에워싸게 하기 위하여 단자들(30a,30b)이 서로의 위에 배치되도록 말단(26a,26b)에서 서로 맞물리는 에지(80a,80b)를 가질 수 있다. 여러 절연 분리기(45a-45c)가 단자(30a,30b)의 도체 및 커넥터를 전기적으로 분리시키고 절연시키기 위하여 사용된다. 또 다른 실시예에서, 다수 권선 코일은 또한 도시된 바와 같이 각 코일에 대한 별개의 단자를 갖는 대신에, 병렬 또는 직렬 배치(미도시)에서 서로로부터 전류를 태핑(tap)하는 개별적 코일 세그먼트를 가질 수 있다.
전술된 안테나(20)의 실시예들은 프로세스 챔버를 포함하는 임의의 적절한 기판 프로세싱 장치에 설치될 수 있다. 기판(104)를 프로세싱하기 위한 프로세스 챔버(102)를 포함하는 기판 프로세싱 장치(100)의 예시적인 실시예가 도 8에 도시된다. 챔버(102)는 스퍼터링 타겟(106)을 갖고, 스퍼터링 타겟(106)은 기판(104) 상에 층을 스퍼터링 증착하기 위하여 플라즈마 존(105)에서 형성된 플라즈마에 의 하여 스퍼터링된다. 타겟(106)은 도전 금속 또는 금속 화합물, 예를 들어, 하나 이상의 탄탈, 탄탈 나이트라이드, 알루미늄, 알루미늄 나이트라이드, 티타늄, 티타늄 나이트라이드, 텅스텐, 텅스텐 나이트라이드 및 구리로 구성될 수 있다.
기판 지지부(108)는 챔버(102)에서 기판(104)을 지지하기 위하여 제공된다. 기판 지지부(108)는 또한 냉각 및 가열 시스템을 포함할 수 있다. 유연성 있는 밀봉 벨로우(109)는 챔버의 내부가 외부 환경으로부터 가스 기밀로 유지되는 동안 챔버(102) 내에서 지지부(108)를 수직으로 이동시키기 위하여 사용된다. 기판(104)은 챔버(102)의 기판-로딩 입구(미도시)를 통해 챔버(102) 내로 도입되어 지지부(108) 상에 배치된다. 지지부(108)는 기판을 유지하기 위하여 기계적, 진공 또는 정전기 척을 포함할 수 있다.
가스 공급원(110)은 각각 하나 이상의 가스 소스(114,118)에 연결된 가스 주입구(112)를 통해 챔버(102) 내로 가스를 도입한다. 하나 이상의 질량 유량 컨트롤러(122a,122b)가 개별 가스의 유량을 제어하기 위하여 사용되고, 상기 개별 가스는 챔버(102) 내로 도입되기에 앞서 혼합 매니폴드(128)에서 미리 혼합되거나, 챔버(102) 내로 별개로 도입될 수 있다. 가스는 전형적으로 비반응성 가스, 예를 들어, 아르곤 또는 크세논을 포함하고, 비반응성 가스는 플라즈마를 형성하기 위하여 이온화될 때, 타겟(106)에 강력하게 충돌하여 충격을 가해서, 타겟(106)으로부터 재료가 스퍼터링되게 한다. 가스는 또한 질소와 같은 반응성 가스를 포함할 수 있다. 예를 들어, TaN을 증착하기 위하여, 탄탈로 이루어진 타겟은 챔버(102) 내로 흐르는 질소와 함께 사용된다. 또한, 당업자에게 자명한 바와 같이, 다른 반응 가 스들 또는 다른 타입의 비반응성 가스들을 포함하는 다른 가스 조성이 사용될 수 있다.
배출 시스템(130)은 챔버(102) 내 스퍼터링 가스의 압력을 제어하고, 챔버(102)로부터 액세스 가스 및 부산물 가스를 배출시킨다. 배출 시스템(130)은 하나 이상의 배출 펌프(138)로 유도하는 배출 라인(134)에 연결된 챔버(102)의 배출 포트(132)를 포함한다. 배출 라인(134)의 쓰로틀 밸브(140)가 챔버(102)의 스퍼터링 가스의 압력을 제어하기 위하여 사용될 수 있다. 전형적으로, 챔버(102)의 스퍼터링 가스의 압력은 대기압 이하 레벨로 설정된다.
스퍼터링 챔버(102)는 또한 스퍼터링 증착물을 수용하기 위하여 챔버(102)의 측벽(154)의 앞에 놓인 실드(150)를 가질 수 있고, 그에 의하여, 벽(112) 상의 증착 및 축적을 방지한다. 실드(150)는 또한 접지 평면으로 사용되도록 전기적으로 접지될 수 있다. 실드(150)는 일반적으로 사발 형태이고, 대체로 원통형 형태의 수직으로 배치된 벽(151)을 포함하며, 스탠드오프(128)는 코일(22)을 절연적으로 지지하기 위하여 상기 벽(151)에 부착된다. 실드(150)는 부가하여 대체로 환형의 바닥 벽(152)을 갖고, 상기 바닥 벽(152)은 기판(104)을 지지하는 기판 지지부(108)를 둘러싼다. 클램프 링(153)은 기판을 지지부(108)에 클램핑하고 실드(150)의 바닥 벽(152)과 지지부(108) 사이의 갭을 커버한다. 실드(150)는 클램프 링(153)과 함께 챔버(102) 내 기판(104) 상에 증착되는 증착 재료로부터 챔버(102)의 내부를 보호한다. 안테나(20)는 스탠드오프(28)에 의해 실드(150)에 부착되고, 적어도 소정의 스탠드오프는 또한 하나 이상의 단자(30a,30b)를 포함한다.
챔버(102)는 또한 타겟의 스퍼터링을 개선하기 위하여 타겟(106) 아래에 인접한 플라즈마 존(105)의 이온 밀도를 증가시키기 위하여 타겟(106) 근처에 자기장(164)을 발생시키는 자기장 발생기(160)를 포함할 수 있다. 비반응성 가스들에 대한 필요성을 최소화시키면서 알루미늄, 티타늄 또는 다른 금속들의 지속된 자체-스퍼터링(self-sputtering)을 제공하는 자기장 소스(160)의 일 실시예는 예를 들어, Fu에 의한 미국 특허 제 6,183,614호, "Rotating Sputter Magnetron Assembly(회전하는 스퍼터 마그네트론 어셈블리)" 및 Gopalraja 등에 의한 미국 특허 제 6,274,008호, "Integrated Process for Copper Via Filling(구리 비아 충전을 위한 통합 프로세스)"에 개시되고, 상기 두 특허는 전체가 본 명세서에 참조로서 편입된다. 하나의 실시예에서, 자기장 소스(160)는 타겟(106) 둘레에 세미-토로이드 자기장(164)을 발생시킨다. 다른 실시예에서, 자기장 소스(160)는 회전축(166)을 중심으로 자기장 소스(160)를 회전시키기 위하여 모터(168)를 포함한다.
챔버(102)는 챔버 컨트롤러(170)에 의해 제어될 수 있고, 챔버 컨트롤러(170)는 챔버(102) 내에서 기판(104)을 프로세싱하기 위하여 챔버(102)의 컴포넌트들을 동작시키는 명령 세트를 갖는 프로그램 코드를 포함한다. 예를 들어, 컨트롤러(170)는 챔버(102) 내에 기판(104)을 배치시키기 위해 하나 이상의 기판 지지부(108) 및 기판 운반부를 동작시키는 기판 배치 명령 세트, 스퍼터링 가스 공급원(110) 및 질량 유량 컨트롤러(122a,122b)를 동작시키는 가스 유량 제어 명령 세트, 챔버(102) 내 압력을 유지하기 위하여 배출 시스템(130) 및 쓰로틀 밸브(138)를 동작시키는 가스 압력 제어 명령 세트, 가스 활성화 전력 레벨을 설정하기 위해 타겟 전력 소스(140)를 동작시키는 타겟 전력 제어 명령 세트, 챔버(102) 내 온도를 제어하는 온도 제어 명령 세트, 챔버(102)의 프로세스를 모니터링하는 프로세스 모니터링 명령 세트, 및 안테나(20)의 코일(22)에 인가되는 AC 및/또는 DC 전력을 제어하는 안테나 전력 제어 명령 세트를 포함하는 프로그램 코드를 포함할 수 있다.
스퍼터링 타겟(106)은 절연체(180)에 의해 챔버(102)로부터 전기적으로 절연된다. 타겟은 또한 DC 또는 RF 전력 소스와 같은 타겟 전력 소스(190)에 의해 플라즈마의 이온들을 유인하는 극성으로 바이어스된다. 일 실시예에서, 타겟 전력 소스(190), 타겟(106), 및 실드(150)는 타겟(106)으로부터 재료를 스퍼터링하기 위해 스퍼터링 가스를 활성화시킬 수 있는 가스 활성화기(140)로서 동작한다. 타겟 전력 소스(190)는 타겟(106)으로부터 재료를 스퍼터링하는 플라즈마를 형성하기 위해 챔버(102) 내 스퍼터링 가스를 활성화시키기 위하여 실드(150)에 대해 타겟(106)을 전기적으로 바이어스시킨다. 플라즈마에 의해 타겟(106)으로부터 스퍼터링되는 재료는 기판(104) 상에 증착되고, 기판(104) 상에 증착 층을 형성하기 위하여 플라즈마의 반응성 또는 다른 가스 성분들과 반응할 수 있다.
전형적으로, 금속 타겟(106)으로부터 스퍼터링되는 재료는 양의 금속 이온이 되도록 플라즈마에서 이온화된다. 기판 상의 이러한 양의 금속 이온의 증착을 촉진하기 위하여, 기판 지지부(108)는 AC 전력 소스와 같은 적절한 바이어스 전압 소스(194)에 연결될 수 있다. 도시된 실시예에서, 전력 소스(190 및 194)는 각각 타겟(106) 및 기판(104)에서 음의 바이어스가 전개되도록 한다.
고주파수 전력은 적절한 정합 네트워크(208)를 경유하여 RF 전력 공급원 (204)으로부터 안테나(20)의 코일(22)로 공급되고, 이러한 예시적인 실시예는 도 9에 도시된다. 도 8에 도시된 바와 같이, 안테나(20)의 하나의 말단은 정합 네트워크(208)에 연결되고, 안테나(20)의 나머지 단부는 RF 필터(218)를 경유하여 접지에 연결된다. 안테나(20)에 공급되는 RF 전력은 부가하여 플라즈마 존(105)에서 플라즈마를 유지 또는 발생시키는 전자기장의 발생을 야기한다. 자기장 발생기(160)는 또한 챔버(102) 내 플라즈마의 발생에 기여한다. 안테나(20)로 흐르는 RF 전류가 없는 경우, 타겟(106)으로 DC 또는 RF 전압을 인가함으로써 더 낮은 밀도의 플라즈마가 또한 타겟(106) 근처에 생성될 수 있다. 이러한 조건 하에서, 기판(104) 상에 증착되는 재료는 타겟(106)으로부터 스퍼터링되고, 플라즈마 필드에서 적어도 부분적으로 이온화되어, 기판(104) 상으로 지향된다.
코일(22)에 인가되는 유일한 전압이 교류 RF 전압일 때, DC 셀프 바이어스가 본질적으로 RF 필터(218)를 거쳐 코일(22) 상에 유도된다. 예시된 실시예에서,이러한 바이어스는 음의 극성을 가질 수 있고, -100 볼트 정도의 크기일 수 있다. 코일(22)은 또한 앞서 설명한 바와 같이, 스퍼터링가능한 재료, 예를 들어, 타겟(106)과 동일한 재료를 구성될 수 있고, 그리하여, DC 셀프 바이어스의 결과로서 코일(22)에 유인되는 플라즈마 이온은 코일(22)의 표면으로부터 재료를 스퍼터링 또는 떼어낼 수 있다. 타겟(106) 및 코일(22)은 공간적으로 분리된 스퍼터링 재료 소스들을 제공하며, 이것은 막 특성을 개선시키기 위해 사용될 수 있으며, 예를 들어, 코일(22)은 기판(104)의 주변 바로 외부에 배치되기 때문에, 안테나(20)로부터 생겨난 스퍼터링된 재료는 중심 영역(111)보다는 오히려 대체로 기판(104)의 주변 영역(107)에 증착되는 경향이 있다. 이것은 타겟(106)으로부터 스퍼터링된 재료가 주변 영역(107)보다 기판(104)의 중심 영역(111)에서 더 두껍게 증착되는 경향이 있을 때, 더 높은 가스 압력을 사용하여 동작시키는 경우 유용하다. 그리하여, 안테나(20)로부터 증착 재료를 스퍼터링하는 것은 타겟(106)으로부터 스퍼터링되는 재료가 기판(104)의 중심 영역(111)에서 더 두껍게 증착되는 경향을 상쇄시킬 수 있다.
코일 스퍼터링 비율은 최고의 증착 균일성을 달성하기 위하여 전력 레벨의 독립성 정도를 사용하여 제어될 수 있다. 도시된 실시예에서, 플라즈마 및 별개의 DC 바이어스를 생성하기 위하여 안테나(20)에 공급되는 에너지는 또한 코일 바이어스 레벨을 별도로 제어하여 코일 스퍼터링 비율을 제어하기 위하여 안테나(20)에 인가된다. 안테나(20) 상의 DC 바이어스는 DC 전압 소스(220)에 코일(22)을 연결시킴으로써 공급원(204)에 의해 전달되는 전력의 크기 및 주파수를 독립적으로 변경할 수 있다. RF 필터(218)는 DC 소스(220)로 흐르는 RF 전류를 실질적으로 제거 또는 감소시키기 위하여 코일(22)과 DC 전압 소스(220) 사이에 연결된다. 필터(218)는 코일(22)이 전압 소스(220)에 의해 제공되는 전압과 본질적으로 동일한 DC 바이어스에 배치되도록 소스(220)와 코일(22) 사이에 작은 DC 임피던스를 제공한다. 전압 소스(220)는 배터리에 의해 개략적으로 표현되었으나, 어떠한 적절한 DC 전압 소스도 채택될 수 있고, 그 출력 전압은 코일(22) 상에 목적하는 레벨의 DC 바이어스를 생성하도록 조정될 수 있다.
코일(22)에 RF 전류 및 DC 바이어스 전압을 공급하기 위해 사용된 예시적인 회로가 도 9에 도시된다. 상기 회로에서, 안테나(20)는 인덕턴스 및 저항이 직렬 배치된 등가 회로에 의해 표현된다. RF 소스(204) 및 정합 네트워크(208)는 바람직하게 코일(22)의 말단(26a)에 결합되는 반면, DC 소스(220) 및 필터(218)는 코일의 길이를 따라 어느 곳이든 코일(22)에 결합될 수 있다. 정합 네트워크(208)는 2개의 조정가능한 커패시턴스 및 인덕터를 포함하는 통상적인 네트워크이다. 도시된 바와 같이, 회로(200)의 목적은 RF 전력 공급원(204)의 출력 임피던스를 상기 RF 출력 공급원(204)이 연결된 부하의 임피던스와 정합시키기 위함이다. 부가하여, 안테나(20)와 접지 사이에 연결된 필터(218)는 안테나(20)로부터 접지로 DC 전류가 흐르는 것을 방지하기 위해 사용된다. 증착된 층의 균일성을 개선하기 위하여, RF 주파수 및 전력 레벨은 증착 동안 주기적으로 변경될 수 있다. 부가하여, 정합 네트워크 및 RF 필터의 컴포넌트들의 임피던스는 증착 동안에 주기적으로 변화할 수 있다. 필터(218)는 코일(22)로부터 DC 소스(220)로의 RF 전력 전달을 차단하기 위하여 커패시터 및 인덕터로 구성된다.
본 명세서에서 예시된 여러 코일 및 스탠드오프 실시예 대신에 또는 그에 부가하여, 더 양호한 챔버 세정 방법이 또한 적어도 소정의 코일(22) 상에 축적된 증착물을 제거하기 위하여 사용될 수 있다. 챔버 내 플라즈마에 노출된 코일(22)의 표면을 세정하는 방법에서, 불활성 가스는 프로세스 챔버 내 압력으로 유지되고, RF 전력은 예를 들어, 가스로부터 플라즈마를 형성하기 위하여 코일 및 타겟을 경유하여 불활성 가스에 인가된다. 플라즈마는 코일로부터 축적된 증착물을 세정한다. RF 전력은 코일의 표면 상의 증착물들을 제거하기 위하여 적어도 약 5분의 시 간 주기 동안 적어도 약 1kW의 전력 레벨로 인가된다. 바람직하게, RF 전력은 약 1 내지 약 5 kW의 전력 레벨로, 적어도 약 10분의 시간 주기 동안 불활성 가스에 인가된다. 일 실시예에서, 불활성 가스는 예를 들어, 20 sccm의 유량으로 제공되는 아르곤을 포함한다.
본 발명은 특정한 바람직한 실시예를 참조하여 설명되었으나, 다른 실시예들도 가능하다. 예를 들어, 안테나는 예를 들어, 에칭, CVD 및 세정 프로세스와 같이 당업자에게 자명한 다른 타입의 애플리케이션에 사용될 수 있다. 당업자에게 자명한 코일의 다른 형태 및 구성 또한 사용될 수 있고, 마찬가지로, 당업자에게 자명한 코일의 다른 세정 방법도 사용될 수 있다. 따라서, 첨부된 청구범위의 사상 및 범위는 본 명세서에 포함된 바람직한 실시예의 설명에 국한되어서는 안 된다.
본 발명은 프로세스 챔버의 플라즈마에 양호한 에너지 전달을 제공하는 안테나를 제공한다. 또한, 축적된 증착물을 수용할 수 있거나 과도한 변형없이 상승된 온도에 노출될 수 있는 안테나를 제공한다.

Claims (49)

  1. 벽을 갖는 프로세스 챔버에서 플라즈마에 RF 에너지를 결합시키기 위한 내부 안테나로서,
    (a) 상기 챔버 내 상기 플라즈마에 노출된 표면을 갖는 코일;
    (b) 상기 프로세스 챔버의 상기 벽으로부터 간격을 두고 상기 코일을 지지하는 복수 개의 스탠드오프 - 적어도 하나의 스탠드오프는 외부 전력 소스로부터 상기 코일로 전력이 인가되게 하는 단자를 포함하고, 상기 단자는 (i) 제 1 길이 L1를 갖는 도체 리셉터클, 및 (ⅱ) 상기 도체 리셉터클 둘레의 쟈켓을 포함하고, 상기 쟈켓은 상기 제 1 길이 L1보다 더 작은 제 2 길이 L2를 가짐 -;
    (c) 상기 단자를 갖는 상기 스탠드오프 둘레의 도체 컵;
    을 포함하는 내부 안테나.
  2. 제 1 항에 있어서,
    L2는 L1보다 적어도 약 0.5 mm만큼 더 작은 내부 안테나.
  3. 제 1 항에 있어서,
    L2는 L1보다 약 0.5 mm 내지 약 2.5 mm만큼 더 작은 내부 안테나.
  4. 제 1 항에 있어서,
    L1은 약 7 mm 내지 약 12 mm인 내부 안테나.
  5. 제 1 항에 있어서,
    L2는 약 6 mm 내지 약 10 mm인 내부 안테나.
  6. 제 1 항에 있어서,
    상기 단자의 수치 변화가 상기 단자와 상기 도체 컵 사이의 접촉을 야기하지 않도록, 상기 도체 컵은 상기 측벽과 상기 쟈켓 사이에 측벽 갭을 제공하기에 충분히 큰 내부 직경을 갖는 측벽을 포함하는 내부 안테나.
  7. 제 1 항에 있어서,
    상기 단자의 수치 변화가 상기 단자와 상기 도체 컵 사이의 접촉을 야기하지 않도록, 상기 도체 컵은 내부 원주 에지 및 바닥 벽을 더 포함하고, 상기 바닥 벽은 상기 바닥 벽과 상기 단자 사이의 바닥 벽 갭을 제공하기에 충분히 큰 내부 원주 에지로부터의 깊이에 위치하는 내부 안테나.
  8. 제 1 항에 있어서,
    상기 코일은 실질적으로 원형인 섹션과 방사상 내향하여 휘어지 섹션을 포함 하는 내부 안테나.
  9. 벽을 갖는 프로세스 챔버에서 플라즈마에 RF 에너지를 결합시키기 위한 내부 안테나로서,
    (a) 상기 챔버 내 상기 플라즈마에 노출된 표면을 갖는 코일;
    (b) 상기 프로세스 챔버의 상기 벽으로부터 간격을 두고 상기 코일을 지지하는 복수 개의 스탠드오프 - 적어도 하나의 스탠드오프는 외부 전력 소스로부터 상기 코일로 전력이 인가되게 하는 단자를 포함하고, 상기 단자는 도체 리셉터클을 포함함 -; 및
    (c) 단자를 갖는 적어도 하나의 스탠드오프 둘레의 도체 컵 - 상기 도체 컵은 상기 단자의 수치 변화가 상기 단자와 상기 도체 컵 사이의 접촉을 야기하지 않도록 상기 단자와의 사이에 측벽 갭을 제공하기에 충분히 큰 내부 직경을 갖는 측벽을 포함함 -;
    을 포함하는 내부 안테나.
  10. 제 9 항에 있어서,
    상기 측벽의 상기 내부 직경은 적어도 약 16 mm인 내부 안테나.
  11. 제 9 항에 있어서,
    상기 측벽의 상기 내부 직경은 약 25 mm 내지 약 30 mm인 내부 안테나.
  12. 제 9 항에 있어서,
    상기 측벽 갭은 적어도 약 1.5 mm인 내부 안테나.
  13. 제 9 항에 있어서,
    상기 단자의 수치 변화가 상기 단자와 상기 도체 컵 사이의 접촉을 야기하지 않도록, 상기 도체 컵은 내부 원주 에지 및 바닥 벽을 더 포함하고, 상기 바닥 벽은 상기 바닥 벽과 상기 단자 사이에 바닥 벽 갭을 제공하기에 충분히 큰 내부 원주 에지로부터의 깊이에 위치하는 내부 안테나.
  14. 제 13 항에 있어서,
    상기 바닥 벽의 상기 깊이는 적어도 약 15 mm 인 내부 안테나.
  15. 제 13 항에 있어서,
    상기 바닥 벽의 상기 깊이는 약 15 mm 내지 약 20 mm 인 내부 안테나.
  16. 제 13 항에 있어서,
    상기 바닥 벽 갭은 적어도 약 1 mm 인 내부 안테나.
  17. 제 9 항에 있어서,
    상기 코일은 실질적으로 원형인 섹션과 방사상 내향하여 휘어진 섹션을 포함하는 내부 안테나.
  18. 벽을 갖는 프로세스 챔버에서 플라즈마에 RF 에너지를 결합시키기 위한 내부 안테나로서,
    (a) 상기 챔버 내 상기 플라즈마에 노출된 표면을 갖는 코일;
    (b) 상기 프로세스 챔버의 상기 벽으로부터 간격을 제공하도록 상기 코일을 지지하며, 50도 이하로 이격된 복수 개의 스탠드오프; 및
    (c) 외부 전력 소스로부터 상기 코일로 전력이 인가되게 하는 스탠드오프 단자;
    를 포함하는 내부 안테나.
  19. 제 18 항에 있어서,
    적어도 7개의 스탠드오프를 포함하는 내부 안테나.
  20. 제 18 항에 있어서,
    적어도 8개의 스탠드오프를 포함하는 내부 안테나.
  21. 제 18 항에 있어서,
    상기 코일은 실질적으로 원형인 섹션과 방사상 내향하여 휘어진 섹션을 포함 하는 내부 안테나.
  22. 제 18 항에 있어서,
    매립된 전기적 커넥터를 구비한 도체 리셉터클을 갖는 스탠드오프 둘레에 도체 컵을 포함하고,
    상기 도체 컵은
    (ⅰ) 원주 에지; 및
    (ⅱ) 상기 도체 리셉터클의 미리 설정된 팽창이 상기 도체 리셉터클과 상기 도체 컵 사이의 접촉을 야기하지 않도록, 스탠드오프의 상기 도체 리셉터클과의 사이에 측벽 갭을 제공하기에 충분히 큰 내부 직경을 갖는 측벽;
    을 포함하는 내부 안테나.
  23. 벽을 갖는 프로세스 챔버에서 플라즈마에 RF 에너지를 결합시키기 위한 내부 안테나로서,
    (a) 상기 챔버 내 상기 플라즈마에 노출된 표면을 갖는 코일;
    (b) 상기 프로세스 챔버의 상기 벽으로부터 간격을 두고 상기 코일을 지지하는 복수 개의 스탠드오프 - 적어도 하나의 스탠드오프는 외부 전력 소스로부터 상기 코일로 전력이 인가되게 하는 단자를 포함하고, 상기 단자는 외부 표면을 가짐 -;
    (c) 단자를 갖는 상기 스탠드오프를 에워싸는 내부 표면을 포함하는 도체 컵;
    을 포함하고, 상기 단자의 상기 외부 표면 및 상기 도체 컵의 내부 표면 중 적어도 하나는 세라믹을 포함하는 내부 안테나.
  24. 제 23 항에 있어서,
    상기 세라믹은 세라믹 코팅을 포함하는 내부 안테나.
  25. 제 24 항에 있어서,
    세라믹 코팅은 약 0.5 mm 이하의 두께를 갖는 내부 안테나.
  26. 제 23 항에 있어서,
    세라믹은 알루미늄 옥사이드, 실리콘 옥사이드, 티타늄 옥사이드, 지르코늄 옥사이드 및 그 혼합물을 포함하는 내부 안테나.
  27. 제 23 항에 있어서,
    상기 세라믹은 약 0.05 mm 내지 약 0.5 mm의 두께를 포함하는 내부 안테나.
  28. 벽을 갖는 프로세스 챔버에서 플라즈마에 RF 에너지를 결합시키기 위한 내부 안테나로서,
    (a) 상기 챔버 내 상기 플라즈마에 노출된 표면을 갖는 코일; 및
    (b) 상기 프로세스 챔버의 상기 벽으로부터 간격을 두고 상기 코일을 지지하는 복수 개의 스탠드오프 - 적어도 하나의 스탠드오프는 단자를 포함하고, 상기 단자는 외부 전력 소스로부터 상기 코일로 전력이 인가되게 하는 외부 전기적 커넥터를 끼우도록 적응된 슬라이딩 가능한 내부 표면을 갖는 콘택홀을 포함함 -;
    를 포함하는 내부 안테나.
  29. 제 28 항에 있어서,
    상기 단자의 상기 콘택홀은 바나나 플러그를 수용하는 크기의 내부 직경을 포함하는 내부 안테나.
  30. 벽을 갖는 프로세스 챔버에서 플라즈마에 RF 에너지를 결합시키기 위한 내부 안테나로서,
    (a) 상기 챔버 내 상기 플라즈마에 노출된 표면을 갖고, 실질적으로 원형인 섹션과 방사상 내향하여 휘어진 섹션을 포함하는 코일; 및
    (b) 상기 프로세스 챔버의 상기 벽으로부터 간격을 두고 상기 코일을 지지하는 복수 개의 스탠드오프 - 하나 이상의 스탠드오프는 외부 전력 소스로부터 상기 코일로 전력이 인가되게 하는 단자를 포함함 -;
    를 포함하는 내부 안테나.
  31. 제 30 항에 있어서,
    상기 코일은 스탠드오프의 위치에 방사상 내향하여 휘어진 섹션을 포함하는 내부 안테나.
  32. 제 30 항에 있어서,
    상기 코일은 한 쌍의 인접한 스탠드오프 사이에 실질적으로 원형인 섹션을 포함하는 내부 안테나.
  33. 제 30 항에 있어서,
    상기 코일의 상기 방사상 내향하여 휘어진 섹션은 단자를 포함하는 스탠드오프에 위치하는 내부 안테나.
  34. 제 30 항에 있어서,
    상기 코일의 상기 방사상 내향하여 휘어진 섹션은 단자를 가진 스탠드오프의 쌍 둘레에 위치하는 내부 안테나.
  35. 제 30 항에 있어서,
    상기 코일은 각각 스탠드오프 둘레에 있는 복수 개의 방사상 내향하여 휘어진 섹션들을 포함하는 내부 안테나.
  36. 제 30 항에 있어서,
    상기 코일은 단일 권선 도체를 포함하는 내부 안테나.
  37. 벽을 갖는 프로세스 챔버에서 플라즈마에 RF 에너지를 결합시키기 위한 내부 안테나로서,
    (a) 상기 챔버 내 상기 플라즈마에 노출된 표면을 갖는 코일 - 상기 코일은 제 1 두께를 갖는 제 1 섹션 및 상기 제 1 두께보다 더 큰 제 2 두께를 갖는 제 2섹션을 구비함 -; 및
    (b) 상기 프로세스 챔버의 상기 벽으로부터 간격을 두고 상기 코일을 지지하는 복수 개의 스탠드오프 - 하나 이상의 스탠드오프는 외부 전력 소스로부터 상기 코일로 전력이 인가되게 하는 단자를 포함함 -;
    를 포함하는 내부 안테나.
  38. 제 37 항에 있어서,
    상기 제 1 두께는 상기 제 2 두께보다 적어도 약 1.5배 더 큰 내부 안테나.
  39. 제 37 항에 있어서,
    상기 제 1 두께는 상기 제 2 두께보다 적어도 약 0.5 mm 더 큰 내부 안테나.
  40. 제 37 항에 있어서,
    상기 제 1 두께는 약 16 내지 약 20 mm인 내부 안테나.
  41. 제 37 항에 있어서,
    상기 제 2 두께는 약 14 내지 약 18 mm인 내부 안테나.
  42. 제 37 항에 있어서,
    상기 코일은 복수 개의 실질적으로 원형인 섹션 및 방사상 내향하여 휘어진 섹션을 포함하는 내부 안테나.
  43. 제 37 항에 있어서,
    상기 코일은 단일 권선 도체를 포함하는 내부 안테나.
  44. 제 37 항에 있어서,
    상기 코일은 적층된 코일들을 포함하는 내부 안테나.
  45. 벽을 갖는 프로세스 챔버에서 플라즈마에 RF 에너지를 결합시키기 위한 내부 안테나로서,
    (a) 상기 챔버 내 상기 플라즈마에 노출된 표면을 갖고, 상기 코일의 중심 축을 중심으로 다면체를 형성하는 복수 개의 섹션들을 포함하는 코일; 및
    (b) 상기 프로세스 챔버의 상기 벽으로부터 간격을 두고 상기 코일을 지지하는 복수 개의 스탠드오프 - 하나 이상의 스탠드오프는 외부 전력 소스로부터 상기 코일로 전력이 인가되게 하는 단자를 포함함 -;
    를 포함하는 내부 안테나.
  46. 제 45 항에 있어서,
    각각의 섹션은 상기 코일의 중심으로부터 평균 반경 R1에 위치하고, 상기 반경 R1은 약 120 mm 내지 약 200 mm인 내부 안테나.
  47. 제 45 항에 있어서,
    상기 섹션들은 실질적으로 평평한 내부 안테나.
  48. 제 47 항에 있어서,
    상기 실질적으로 평평한 섹션들은 상기 스탠드오프들에 위치하는 내부 안테나.
  49. 제 45 항에 있어서,
    상기 섹션들은 번갈아가며 평평한 형태이고 둥근 형태인 내부 안테나.
KR2020050032802U 2005-05-20 2005-11-21 기판 프로세싱 챔버를 위한 내부 안테나 KR200409518Y1 (ko)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR2020050032802U KR200409518Y1 (ko) 2005-05-20 2005-11-21 기판 프로세싱 챔버를 위한 내부 안테나

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/134,033 2005-05-20
KR2020050032802U KR200409518Y1 (ko) 2005-05-20 2005-11-21 기판 프로세싱 챔버를 위한 내부 안테나

Publications (1)

Publication Number Publication Date
KR200409518Y1 true KR200409518Y1 (ko) 2006-03-03

Family

ID=41759886

Family Applications (1)

Application Number Title Priority Date Filing Date
KR2020050032802U KR200409518Y1 (ko) 2005-05-20 2005-11-21 기판 프로세싱 챔버를 위한 내부 안테나

Country Status (1)

Country Link
KR (1) KR200409518Y1 (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2013112303A1 (en) * 2012-01-27 2013-08-01 Applied Materials, Inc. Isolation of microwave sources through bellows
KR20230046804A (ko) * 2021-09-30 2023-04-06 주식회사 나이스플라즈마 클램프 링이 구비된 클램프 척

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2013112303A1 (en) * 2012-01-27 2013-08-01 Applied Materials, Inc. Isolation of microwave sources through bellows
US9315900B2 (en) 2012-01-27 2016-04-19 Applied Materials, Inc. Isolation of microwave sources through bellows
KR20230046804A (ko) * 2021-09-30 2023-04-06 주식회사 나이스플라즈마 클램프 링이 구비된 클램프 척
KR102637744B1 (ko) * 2021-09-30 2024-02-19 주식회사 나이스플라즈마 클램프 링이 구비된 클램프 척

Similar Documents

Publication Publication Date Title
US8187416B2 (en) Interior antenna for substrate processing chamber
US7585384B2 (en) Apparatus and method to confine plasma and reduce flow resistance in a plasma reactor
US6431112B1 (en) Apparatus and method for plasma processing of a substrate utilizing an electrostatic chuck
US6074488A (en) Plasma chamber support having an electrically coupled collar ring
KR100715070B1 (ko) 재료 처리용 rf 플라즈마 소스
US6679981B1 (en) Inductive plasma loop enhancing magnetron sputtering
EP1509942B1 (en) Apparatus and methods for minimizing arcing in a plasma processing chamber
US5748434A (en) Shield for an electrostatic chuck
CN102414338B (zh) 不破坏真空的从基座表面移除残留物的原位电浆清除技术
US8221602B2 (en) Non-contact process kit
JP6442463B2 (ja) 環状のバッフル
EP0801413A1 (en) Inductively coupled plasma reactor with faraday-sputter shield
EP1075707A1 (en) Apparatus for improved biasing and retaining of a workpiece in a workpiece processing system
EP1243016A2 (en) Method and apparatus for ionized physical vapor deposition
SG177902A1 (en) Non-contact process kit
WO2004059712A1 (en) Method, apparatus and magnet assembly for enhancing and localizing a capacitively coupled plasma
JP2002520492A (ja) フィードスルー重複コイル
US11339466B2 (en) Heated shield for physical vapor deposition chamber
KR20140063511A (ko) Rf pvd 챔버를 위한 균일성 튜닝 가능한 정전척 접지 키트
KR100489917B1 (ko) 플라즈마생성용코일을지지하는스탠드오프및코일지지방법
KR101125885B1 (ko) 감소된 플라즈마 침투 및 아킹을 갖는 정전척을 제공하는 방법 및 장치
KR20070053213A (ko) 박막형성장치
CN106796864B (zh) 用以改进配件寿命的用于高压缩应力薄膜沉积的设备
JP3181473B2 (ja) プラズマ処理装置
KR200409518Y1 (ko) 기판 프로세싱 챔버를 위한 내부 안테나

Legal Events

Date Code Title Description
REGI Registration of establishment
FPAY Annual fee payment

Payment date: 20121227

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20131227

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20141230

Year of fee payment: 10

EXPY Expiration of term