KR20040096317A - System for treating exhaust gas - Google Patents

System for treating exhaust gas Download PDF

Info

Publication number
KR20040096317A
KR20040096317A KR1020030029240A KR20030029240A KR20040096317A KR 20040096317 A KR20040096317 A KR 20040096317A KR 1020030029240 A KR1020030029240 A KR 1020030029240A KR 20030029240 A KR20030029240 A KR 20030029240A KR 20040096317 A KR20040096317 A KR 20040096317A
Authority
KR
South Korea
Prior art keywords
process chamber
vacuum
valve
pump
chamber
Prior art date
Application number
KR1020030029240A
Other languages
Korean (ko)
Inventor
이충하
Original Assignee
삼성전자주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 삼성전자주식회사 filed Critical 삼성전자주식회사
Priority to KR1020030029240A priority Critical patent/KR20040096317A/en
Publication of KR20040096317A publication Critical patent/KR20040096317A/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring

Abstract

PURPOSE: An exhaust gas processing system is provided to prevent backflow of byproducts by installing a check valve at a predetermined position adjacent to a process chamber. CONSTITUTION: A process chamber(110) is used for providing a process gas to a semiconductor substrate(100) and performing a process for forming a layer on the semiconductor substrate. A vacuum unit is connected to the chamber in order to maintain a vacuum state of the chamber and discharge non-reactive gases and byproducts to the outside. A check valve(200) is installed at a connection line between the process chamber and the vacuum unit in order to prevent backflow of the byproducts.

Description

배기 가스 처리 시스템{System for treating exhaust gas}System for treating exhaust gas

본 발명은 반도체 장치를 제조하기 위한 가공 장치에 관한 것으로, 보다 상세하게는 상기 제조 공정 중에 발생하는 배기 가스를 외부로 배출하기 위한 배기가스 처리 시스템에 관한 것이다.The present invention relates to a processing apparatus for manufacturing a semiconductor device, and more particularly to an exhaust gas treatment system for discharging the exhaust gas generated during the manufacturing process to the outside.

근래에 정보 통신 분야의 급속한 발달과 컴퓨터와 같은 정보 매체가 널리 보급에 따라 반도체 장치도 비약적으로 발전하고 있다. 그 기능적인 면에 있어서, 상기 반도체 장치는 고속으로 동작하는 동시에 대용량의 저장 능력을 가질 것이 요구된다. 이에 따라, 상기 반도체 장치는 집적도, 신뢰도 및 응답 속도 등을 향상시키는 방향으로 제조 기술이 발전되고 있다.In recent years, with the rapid development of the information communication field and the widespread use of information media such as computers, semiconductor devices are also rapidly developing. In terms of its function, the semiconductor device is required to operate at a high speed and to have a large storage capacity. Accordingly, the manufacturing technology of the semiconductor device has been developed to improve the degree of integration, reliability, and response speed.

상기 반도체 장치는 일반적으로 막 형성, 패턴 형성, 금속 배선 형성 등을 위한 일련의 단위 공정들을 순차적으로 수행함으로서 제조된다. 상기 단위 공정들의 수행에서는 상기 단위 공정들의 공정 조건에 적합한 제조 장치가 사용된다.The semiconductor device is generally manufactured by sequentially performing a series of unit processes for film formation, pattern formation, metal wiring formation, and the like. In performing the unit processes, a manufacturing apparatus suitable for the process conditions of the unit processes is used.

상기 공정들은 반도체 장치의 품질 및 수율 향상을 위해 압력 및 온도 등 공정 분위기의 정밀한 제어가 필수적인 요구 조건으로 대두되고 있다.These processes are emerging as a requirement for precise control of the process atmosphere such as pressure and temperature in order to improve the quality and yield of semiconductor devices.

일반적으로, 반도체 장치를 제조하기 위한 반도체 기판의 가공 공정들은 다양한 공정 가스들을 사용하고, 반도체 기판이 공기와 반응하지 않도록 하기 위해 대기압에 비해 매우 낮은 진공 상태에서 수행된다.In general, the processing of semiconductor substrates for manufacturing semiconductor devices uses a variety of process gases and is carried out in a very low vacuum compared to atmospheric pressure to ensure that the semiconductor substrate does not react with air.

상기 가공 공정들이 진행되는 공정 챔버의 내부를 진공 상태로 만들기 위해 상기 공정 챔버와 연결되는 다양한 방식의 펌프 시스템이 사용되고 있다. 그런데, 상기 펌프 시스템은 진공을 제공하기 위해서만 사용되지는 않는다.Various types of pump systems are used in connection with the process chamber to vacuum the interior of the process chamber through which the processing processes are carried out. However, the pump system is not only used to provide a vacuum.

상기 펌프 시스템은 상기 공정 가스들에 의해 공정이 진행되는 도중에 발생되는 미반응 가스들과 반응 부산물을 배출한다.The pump system discharges unreacted gases and reaction by-products generated during the process by the process gases.

예를 들면, 반도체 기판 상에 피가공막을 형성하는 증착 공정이나, 상기 증착 공정 이후에 상기 피가공막을 식각하는 식각 공정에는 다양한 종류의 공정 가스들이 사용된다.For example, various kinds of process gases are used in a deposition process for forming a process film on a semiconductor substrate or an etching process for etching the process film after the deposition process.

상기 공정들이 시작될 때 공정 챔버로 공정 가스들이 투입되면, 상기 공정 챔버의 내부는 일시적으로 압력이 상승된다. 따라서 상승된 상기 압력을 공정 조건으로 유지하기 위해 공정이 진행되는 동안 계속해서 펌프 시스템이 가동되어야 하고, 공정이 진행되는 동안 발생하는 미반응 가스 및 반응 부산물의 배출도 펌프 시스템에 의해 이루어진다.When process gases are introduced into the process chamber when the processes are started, the interior of the process chamber is temporarily raised. Therefore, the pump system must be operated continuously during the process to maintain the elevated pressure at the process condition, and the pump system also discharges unreacted gases and reaction by-products generated during the process.

따라서, 공정 조건의 정밀한 제어를 위한 연구가 활발히 진행되고 있으며 그 예로서, 터보 펌프(turbo pump)와 로터리 베인 펌프(rotary vane pump)를 포함하는 식각 장치의 진공 시스템과 그 운용 방법에 관한 일 예가 대한민국 특허등록 제1996-0006957호에 개시되어 있다.Therefore, researches for precise control of process conditions are actively conducted. As an example, an example of a vacuum system of an etching apparatus including a turbo pump and a rotary vane pump and an operation method thereof are described. It is disclosed in Korean Patent Registration No. 1996-0006957.

상기 펌프 시스템은 공정 장치들에 따라 다양한 방식이 있으며, 진공 라인 등에는 다양한 밸브들이 장착되어 공정 조건을 제어한다. 예를 들어, 고진공을 달성할 수 있는 터보 펌프를 사용하는 경우, 상기 터보 펌프는 개폐 정도를 조절할 수 있는 스로틀 밸브(throttle valve)와 온-오프(on-off) 동작에 의해 개폐를 수행하는 게이트 밸브(gate valve) 또는 고진공 밸브(hi-vacuum valve) 등과 함께 진공 라인에 연결된다.The pump system has various schemes according to the process apparatuses, and various valves are installed in the vacuum line to control process conditions. For example, when using a turbo pump capable of achieving a high vacuum, the turbo pump has a throttle valve that can adjust the degree of opening and closing and a gate that opens and closes by on-off operation. It is connected to the vacuum line together with a gate valve or a hi-vacuum valve.

상기 터보 펌프를 보조하기 위한 드라이 펌프(dry pump)가 공정 챔버로부터 순차적으로 연결된다. 상기 드라이 펌프는 상기 터보 펌프의 펌핑을 보조하는 역할을 수행한다.Dry pumps for assisting the turbopump are sequentially connected from the process chamber. The dry pump serves to assist in pumping the turbo pump.

상기 터보 펌프와 드라이 펌프를 연결하는 라인에는 상기 공정 챔버로 공정 가스를 공급하는 라인과 연결되는 배기 라인이 연결되어 상기 드라이 펌프에 의해 공정이 종료된 후 상기 공정 챔버 내부에 잔류하는 공정 가스들이 배출된다.An exhaust line connected to a line for supplying a process gas to the process chamber is connected to a line connecting the turbo pump and the dry pump to discharge process gases remaining in the process chamber after the process is completed by the dry pump. do.

그런데, 상기 배기 라인에는 공정 도중에 발생되는 반응 부산물이 누적되고, 상기 반응 부산물들은 공정 결함의 원인이 되는 파티클의 발생 원인이 된다.However, reaction by-products generated during the process accumulate in the exhaust line, and the reaction by-products cause generation of particles that cause process defects.

상기 스로틀 밸브의 압력 변화 또는 상기 펌프들의 불안정 등으로 인하여 상기 미반응 가스 및 상기 반응 부산물을 배기하기 위한 배기 라인 내부의 압력에 변화가 발생한다. 상기 배기 라인 내부의 압력 변화로 인하여 상기 배기 라인 내부의 반응 부산물이 상기 공정 챔버 내부로 역류하여 상기 반도체 기판에 파티클을 형성한다. 상기 파티클은 상기 반도체 기판의 불량을 초래하므로 제거되어야 한다.A change in pressure in the exhaust line for exhausting the unreacted gas and the reaction byproduct occurs due to a change in pressure of the throttle valve or instability of the pumps. Due to the pressure change inside the exhaust line, reaction by-products inside the exhaust line flow back into the process chamber to form particles on the semiconductor substrate. The particles must be removed because they cause a defect of the semiconductor substrate.

상기와 같은 문제점을 해결하기 위한 본 발명의 목적은 상기 공정 챔버 내부의 상기 미반응 가스 및 상기 반응 부산물을 상기 배기 라인을 통하여 외부로 배기하며, 상기 배기 라인 내부의 상기 반응 부산물의 역류를 방지하기 위한 배기 가스 처리 시스템을 제공하는데 있다.An object of the present invention for solving the above problems is to exhaust the unreacted gas and the reaction by-products inside the process chamber to the outside through the exhaust line, to prevent the reverse flow of the reaction by-products in the exhaust line To provide an exhaust gas treatment system for.

도 1은 본 발명의 바람직한 일 실시예에 따른 배기 가스 처리 시스템을 설명하기 위한 개략적인 구성도이다.1 is a schematic diagram illustrating an exhaust gas treatment system according to an exemplary embodiment of the present invention.

* 도면의 주요부분에 대한 부호의 설명 *Explanation of symbols on the main parts of the drawings

100 : 반도체 기판 110 : 공정 챔버100 semiconductor substrate 110 process chamber

112 : 제1진공 게이지 120 : 공급 라인112: first vacuum gauge 120: supply line

130 : 척 140 : 터보 펌프130: Chuck 140: Turbo Pump

150 : 드라이 펌프 160 : 스로틀 밸브150: dry pump 160: throttle valve

170 : 고진공 밸브 180 : 포라인 밸브170: high vacuum valve 180: four-line valve

190 : 저진공 밸브 200 : 체크 밸브190: low vacuum valve 200: check valve

210 : 주배기 라인 220 : 보조 배기 라인210: main exhaust line 220: auxiliary exhaust line

222 : 제2진공 게이지222: second vacuum gauge

상기 본 발명의 목적을 달성하기 위하여 본 발명은 반도체 기판에 막을 형성하기 위한 공정 가스가 제공되고, 상기 막을 형성하기 위한 공정이 수행되기 위한 공정 챔버와, 상기 챔버와 연결되고, 상기 챔버 내부를 진공 상태로 유지하며, 상기 공정 도중 발생하는 미반응 가스 및 반응 부산물을 배출하기 위한 진공 수단 및상기 공정 챔버와 상기 진공 수단을 연결하는 라인에 설치되어 상기 라인의 내부에 누적된 상기 반응 부산물의 역류를 방지하기 위한 체크 밸브를 포함하는 것을 특징으로 하는 배기 가스 처리 장치를 제공한다.In order to achieve the object of the present invention, the present invention is provided with a process gas for forming a film on a semiconductor substrate, a process chamber for performing the process for forming the film, and connected to the chamber, vacuum the inside of the chamber A vacuum means for discharging unreacted gas and reaction by-products generated during the process and a line connecting the process chamber and the vacuum means to maintain a reverse flow of the reaction by-product accumulated in the line. It provides an exhaust gas treatment apparatus comprising a check valve for preventing.

상기 장치에서 상기 체크 밸브는 상기 라인에서 상기 공정 챔버와 인접하도록 설치되며, 상기 진공 수단은 상기 공정 챔버의 내부를 고진공으로 유지하기 위한 고진공 펌프와 상기 고진공 펌프를 보조하는 저진공 펌프로 구성된다.In the device, the check valve is installed adjacent to the process chamber in the line, and the vacuum means consists of a high vacuum pump for maintaining the interior of the process chamber at high vacuum and a low vacuum pump to assist the high vacuum pump.

따라서 상기와 같이 구성된 본 발명에 따른 상기 배기 가스 처리 시스템은 상기 배기 라인의 내부에 누적된 반응 부산물이 상기 공정 챔버 내부로 역류되지 않도록 한다. 따라서, 상기 공정 챔버의 오염을 방지하여 상기 반도체 기판의 파티클 발생을 억제한다.Thus, the exhaust gas treatment system according to the present invention configured as described above prevents reaction by-products accumulated in the exhaust line from flowing back into the process chamber. Therefore, contamination of the process chamber is prevented to suppress particle generation of the semiconductor substrate.

이하, 첨부한 도면을 참조하여 본 발명의 바람직한 실시예에 따른 배기 가스 처리 시스템에 대해 상세히 설명한다.Hereinafter, with reference to the accompanying drawings will be described in detail an exhaust gas treatment system according to a preferred embodiment of the present invention.

도 1은 본 발명의 바람직한 일 실시예에 따른 배기 가스 처리 시스템을 설명하기 위한 개략적인 구성도로, 저압 화학기상 증착 장치에 포함되어 있다.1 is a schematic diagram illustrating an exhaust gas treatment system according to an exemplary embodiment of the present invention, which is included in a low pressure chemical vapor deposition apparatus.

도 1을 참조하면, 반도체 기판(100) 상에 텅스텐 실리사이드(WSix) 층을 형성하는 저압 화학기상증착 공정이 수행되는 공정 챔버(110)가 도시되어 있다. 공정 챔버(110)에는 상기 텅스텐 실리사이드층을 형성하기 위한 소스 가스로 디클로로실란(SiH2Cl2) 가스와 반응 가스로 텅스텐 플로라이드(WF6) 가스를 공급하는 공급 라인(120)이 각각 연결된다.Referring to FIG. 1, a process chamber 110 in which a low pressure chemical vapor deposition process is performed to form a tungsten silicide (WSix) layer on a semiconductor substrate 100 is illustrated. The process chamber 110 is connected to a supply line 120 for supplying a dichlorosilane (SiH 2 Cl 2 ) gas as a source gas for forming the tungsten silicide layer and a tungsten fluoride (WF 6 ) gas as a reaction gas, respectively. .

상기 디클로로실란 가스 및 상기 텅스텐 플로라이드 가스는 각각 봄베(bombe, 미도시)에 저장되고, 상기 봄베들은 공급 라인(120)과 각각 연결된다.The dichlorosilane gas and the tungsten fluoride gas are each stored in a bomb (not shown), and the bombes are respectively connected to the supply line 120.

그리고, 공정 챔버(110)의 내부에는 반도체 기판을 파지하는 척(130)이 방사상으로 여섯 개가 구비된다. 상기 척(130)은 수직에서 약 7°정도 기울어져 반도체 기판(100)을 파지하고, 내부에는 반도체 기판(100)을 가열하기 위한 히터(미도시)가 내장되어 있다.In addition, six chucks 130 holding the semiconductor substrate are radially provided in the process chamber 110. The chuck 130 is inclined by about 7 ° from the vertical to hold the semiconductor substrate 100, and a heater (not shown) for heating the semiconductor substrate 100 is embedded therein.

상기 증착 장치는 콜드 월 방식(Cold Wall Type)으로 공정 챔버(110)의 내벽에 텅스텐 실리사이드가 증착되는 것을 방지하고, 반도체 기판(100)을 한번에 여섯 매씩 처리하는 배치 타입(Batch Type)이다.The deposition apparatus is a batch type in which a tungsten silicide is prevented from being deposited on the inner wall of the process chamber 110 by a cold wall type, and the semiconductor substrate 100 is processed at six sheets at a time.

상기 증착 장치는 크게 공정 챔버, 로드락 챔버, 카세트 챔버, 핸들러부, 가스 공급부 및 펌프부로 나눌 수 있다.The deposition apparatus may be roughly divided into a process chamber, a load lock chamber, a cassette chamber, a handler part, a gas supply part, and a pump part.

상기 펌프부는 공정 챔버(110)의 내부를 공정 조건에 적절한 진공 상태로 만들어 유지하고, 상기 공정이 진행되는 동안 상기 디클로로실란 가스와 상기 텅스텐 플로라이드 가스의 화학 반응에 의해 발생되는 반응 부산물과 미반응 가스를 배출하는 역할을 한다.The pump unit maintains the interior of the process chamber 110 in a vacuum suitable for the process conditions, and reacts with the reaction by-products generated by the chemical reaction of the dichlorosilane gas and the tungsten fluoride gas during the process. It serves to discharge gas.

상기 펌프부는 공정 챔버(110)에 연결되는 터보 펌프(140)와 드라이 펌프(150) 및 각종 밸브들로 구성된다. 공정 챔버(110)와 터보 펌프(140)를 연결하는 주배기 라인(210)에는 체크 밸브(200), 스로틀 밸브(160) 및 고진공 밸브(170)가 순서대로 설치되고, 터보 펌프(140)와 드라이 펌프(150) 사이의 포어 라인(230)에는 포어 라인 밸브(180, fore-line valve)가 설치된다.The pump unit includes a turbo pump 140, a dry pump 150, and various valves connected to the process chamber 110. The main exhaust line 210 connecting the process chamber 110 and the turbo pump 140 is provided with a check valve 200, a throttle valve 160, and a high vacuum valve 170 in order, and the turbo pump 140 and A fore-line valve 180 is installed in the foreline 230 between the dry pumps 150.

스로틀 밸브(160)와 고진공 밸브(170) 사이의 주배기 라인(210)에서 분기되어 포어 라인 밸브(180)와 드라이 펌프(140) 사이의 포어 배기 라인(230)과 연결되는 보조 배기 라인(220)에 저진공 밸브(190)가 설치된다.Auxiliary exhaust line 220 branched from main exhaust line 210 between throttle valve 160 and high vacuum valve 170 and connected to fore exhaust line 230 between foreline valve 180 and dry pump 140. The low vacuum valve 190 is installed.

터보 펌프(140)는 공정 챔버(110)의 내부를 고진공으로 유지하기 위한 고진공 펌프이고, 드라이 펌프(150)는 터보 펌프(140)를 보조하는 저진공 펌프로서 로타리 베인 펌프(rotary vane pump)가 사용된다.The turbo pump 140 is a high vacuum pump for maintaining the interior of the process chamber 110 at a high vacuum, and the dry pump 150 is a low vacuum pump that assists the turbo pump 140, and a rotary vane pump is provided. Used.

반도체 기판(100)이 로드락 챔버(미도시)에서 공정 챔버(110)의 내부에 구비되는 척(130)에 로딩되면 드라이 펌프(150)에 의해 공정 챔버의 내부는 일차적으로 진공이 형성되고, 이후 터보 펌프(140)에 의해 고진공이 형성된다. 이때, 스로틀 밸브(160)의 개폐정도가 조절되어 공정 챔버(110)의 내부 진공도가 조절된다.When the semiconductor substrate 100 is loaded into the chuck 130 provided in the process chamber 110 in the load lock chamber (not shown), a vacuum is primarily formed in the process chamber by the dry pump 150. After that, a high vacuum is formed by the turbo pump 140. At this time, the opening and closing degree of the throttle valve 160 is adjusted to control the internal vacuum degree of the process chamber 110.

척(130)의 내부에 구비되는 히터가 반도체 기판(100)을 반응 온도로 가열하고, 공정 챔버(110)의 내부로 공급되는 실란 가스와 텅스텐 플로라이드 가스의 화학 반응에 의해 반도체 기판(100) 상에 텅스텐 실리사이드층이 형성된다.A heater provided in the chuck 130 heats the semiconductor substrate 100 to a reaction temperature, and the semiconductor substrate 100 is formed by a chemical reaction between a silane gas and a tungsten fluoride gas supplied into the process chamber 110. A tungsten silicide layer is formed on it.

공정 챔버(110)에는 제1진공게이지(112)가 설치되어 공정 챔버(110) 내부의 진공도를 측정한다. 보조 배기 라인(220)에는 제2진공게이지(222)가 설치되어 보조 배기 라인(220) 내부의 진공도를 측정한다. 제1, 제2 진공게이지(112, 222)는 10-3torr 이상에서는 열전대나 피라니게이지가 사용되고, 10-3~ 10-9torr에서는 가열필라멘트 이온게이지가 사용된다. 측정된 압력은 수동 또는 자동으로 제어시키는 각종 밸브의 개폐를 결정하는 정보를 제공해준다The first vacuum gauge 112 is installed in the process chamber 110 to measure the degree of vacuum inside the process chamber 110. A second vacuum gauge 222 is installed in the auxiliary exhaust line 220 to measure the degree of vacuum inside the auxiliary exhaust line 220. In the first and second vacuum gauges 112 and 222, a thermocouple or a piranha gauge is used at 10 −3 torr or more, and a heated filament ion gauge is used at 10 −3 to 10 −9 torr. The measured pressures provide information to determine the opening and closing of the various valves that can be controlled manually or automatically.

배기 가스 처리 시스템에 대해 구체적으로 살펴보면, 우선 저압화학기상증착 공정이 수행되는 공정 챔버(110)에 터보 펌프(140)와 드라이 펌프(150)가 순차적으로 연결되어 있다.Referring to the exhaust gas treatment system in detail, first, the turbo pump 140 and the dry pump 150 are sequentially connected to the process chamber 110 in which the low pressure chemical vapor deposition process is performed.

공정 챔버(110)와 터보 펌프(140)를 연결하는 주배기 라인(210)에는 역류를 방지하는 체크 밸브(200), 개폐 정도를 조절하는 스로틀 밸브(160) 및 상기 진공 라인을 개폐하는 고진공 밸브(170)가 순차적으로 설치된다. 터보 펌프(140)와 드라이 펌프(150)를 연결하는 포어 라인(210)에는 포어 라인 밸브(180)가 설치된다.The main exhaust line 210 connecting the process chamber 110 and the turbo pump 140 includes a check valve 200 to prevent backflow, a throttle valve 160 to control the degree of opening and closing, and a high vacuum valve to open and close the vacuum line. 170 are sequentially installed. A foreline valve 180 is installed in the foreline 210 connecting the turbo pump 140 and the dry pump 150.

스로틀 밸브(160)와 고진공 밸브(190) 사이의 주배기 라인(210)에서 분기되어 포어 라인 밸브(180)와 드라이 펌프(150) 사이의 포어 라인(230)과 연결되는 보조 배기 라인(220)이 구비되고, 보조 배기 라인(220)에는 저진공 밸브(190)가 설치된다.Auxiliary exhaust line 220 branched from main exhaust line 210 between throttle valve 160 and high vacuum valve 190 and connected to foreline 230 between foreline valve 180 and dry pump 150. Is provided, the secondary exhaust line 220 is provided with a low vacuum valve 190.

공정 챔버(110)의 내부의 진공도는 반도체 기판(100) 상에 텅스텐 실리사이드층을 형성하기 위해 상기 디클로로실란 가스와 상기 텅스텐 플로라이드 가스 등이 공급되면 미세하게 변하게 되고, 상기 진공도를 일정하게 유지하기 위해 스로틀 밸브(160)가 주배기 라인(210)의 개폐 정도를 조절한다.The degree of vacuum inside the process chamber 110 changes slightly when the dichlorosilane gas and the tungsten fluoride gas are supplied to form a tungsten silicide layer on the semiconductor substrate 100, and maintains the vacuum degree constant. Throttle valve 160 to adjust the opening and closing degree of the main exhaust line 210 in order to.

스로틀 밸브(160)의 주배기 라인(210) 개폐 조절 이상이나 터보 펌프(140) 또는 드라이 펌프(150)의 이상으로 인하여 주배기 라인(210) 및 보조 배기 라인(220)의 내부 압력이 변화될 때, 체크 밸브(200)는 주배기 라인(210) 및 보조 배기 라인(220) 내부의 배기 가스 및 반응 부산물이 역류하는 방지한다.The internal pressure of the main exhaust line 210 and the auxiliary exhaust line 220 may change due to an abnormality in the opening and closing adjustment of the main exhaust line 210 of the throttle valve 160 or an abnormality of the turbo pump 140 or the dry pump 150. At this time, the check valve 200 prevents the exhaust gas and the reaction by-products in the main exhaust line 210 and the auxiliary exhaust line 220 from flowing back.

체크 밸브(200)는 배관계통 구성에 있어서 계통의 운전 상태에 따라 자력으로 계폐하는(self actuating) 유일한 밸브이다. 따라서 다른 밸브와는 달리 한번 설치하면서 유지, 보수 등의 문제를 간과하기 쉬운 밸브이므로 최초선정에 주의를 요한다.The check valve 200 is the only valve that self actuating according to the operating state of the system in the piping system configuration. Therefore, unlike other valves, it is easy to overlook problems such as maintenance and repairing once installed.

체크 밸브(200) 선정에 있어서 무엇보다도 중요한 것은 밸브에 있어서 압력 강하량의 크기문제, 체크 밸브(200) 사이의 유체흐름 속도의 문제, 밸브의 설치 위치와 누설한계 등의 문제 및 계통 특성상 체크 밸브(200)의 닫힘 시간의 문제를 고려한 후에 체크밸브의 형식을 결정하여야 한다.The most important in selecting the check valve 200 is the size of the pressure drop in the valve, the problem of the flow velocity of the fluid between the check valve 200, problems such as the valve installation position and the leakage limit, and check system ( The type of check valve is to be determined after considering the problem of closing time.

체크 밸브(200)의 종류와 종류에 따른 구조를 살펴보자.Let's look at the structure according to the type and type of check valve 200.

우선 스윙 체크 밸브는 상기 체크 밸브 중에서 가장 널리 많이 쓰이고 있는 형식으로서 간단한 구조와 신뢰성 있는 동작이 특징이다. 한지핀을 중심으로 디스크가 유체의 흐름량(유속)에 따라 디스크가 열림으로써 밸브가 개방되고, 유체가 정지함에 따라 밸브 출구측의 압력과 디스크의 무게에 의해 닫히는 구조이다. 유체의 흐름이 균일하고 유속이 빠르지 않은 유체계통에 사용된다.First of all, the swing check valve is the most widely used type among the check valves, and is characterized by simple structure and reliable operation. The valve is opened by opening the disk according to the flow rate (flow rate) of the disk around the Hanji pin, and closed by the pressure of the valve outlet and the weight of the disk as the fluid stops. It is used in fluid systems where the flow of fluid is uniform and the flow rate is not fast.

리프트 체크 밸브는 맥동이 있는 유체나 비교적 유속이 높은 배관계통에 적합한 구조이다. 상기 스윙 체크 밸브의 적용이 곤란한 경우 비교적 간단한 구조와 신뢰성이 높아 널리 사용된다.Lift check valves are suitable for pulsating fluids or piping systems with relatively high flow rates. When the swing check valve is difficult to apply, it is widely used because of its relatively simple structure and high reliability.

틸팅디스크 체크 밸브는 상기 스윙 체크 밸브와 리프트 체크 밸브로 만족시키기 어려운 역류로 인한 급격한 슬램(slamming)을 감소시키고, 상기 리프트 체크밸브의 작은 동작범위 때문에 디스크의 닫힘이 빨라 순간적인 유체 천이력이 크게 되는 경우 이를 어느 정도 감소시킬 수 있도록 고안된 밸브이다. 압력과 온도가 높고 유속이 빠른 고에너지 유체계통에 사용된다.Tilting disc check valve reduces sudden slamming due to backflow, which is difficult to satisfy with the swing check valve and lift check valve, and the disc closes quickly due to the small operating range of the lift check valve, resulting in a large instantaneous fluid transition force. If the valve is designed to reduce it to some extent. It is used in high energy fluid systems with high pressure and temperature and fast flow rates.

웨이퍼 디스크 체크 밸브는 여닫이 창문모양의 싱글 또는 여미의 판을 스프링으로 고정하여 체크밸브의 역할을 수행한다.The wafer disc check valve acts as a check valve by spring-loading a single or tail plate shaped as a casement window.

인-라인 체크 밸브는 일종의 리프트 체크밸브의 형태로 볼이나 풀 가이드 디스크(full guide disc)를 스프링으로 유지하는 체크밸브로 소형경량이고 컴팩트된 구조이다.The in-line check valve is a type of lift check valve, which is a check valve that holds a ball or a full guide disc as a spring, and is compact and lightweight.

스톱 체크 밸브는 일반적으로 리프트 체크밸브로서의 역할을 수행하다가 계통 운전의 필요성 또는 운전 절차에 따라 스톱밸브-글로브 밸브의 차단기능을 수행할 수 있는 두가지 기능을 동시에 갖고 있는 밸브이다.The stop check valve is a valve having two functions that can perform the function of the stop check valve-globe valve according to the necessity of the system operation or the operation procedure while serving as a lift check valve.

고진공 밸브(170)는 스로틀 밸브(160)와 터보 펌프(140) 사이의 주배기 라인(210)을 개폐하고, 포어 라인 밸브(180)는 터보 펌프(140)와 드라이 펌프(150) 사이의 포어 라인(230)을 개폐하며, 저진공 밸브(190)는 보조 배기 라인(220)을 개폐한다.The high vacuum valve 170 opens and closes the main exhaust line 210 between the throttle valve 160 and the turbo pump 140, and the foreline valve 180 opens the pore between the turbo pump 140 and the dry pump 150. Opening and closing line 230, the low vacuum valve 190 opens and closes the auxiliary exhaust line (220).

공정 챔버(110)를 진공 상태로 만들기 위해서는 우선 고진공 밸브(170)와 포어 라인 밸브(180)를 닫고, 저진공 밸브(190)는 개방된 상태에서 드라이 펌프(150)가 작동하여 공정 챔버(110)를 저진공 상태로 만든다. 다음으로 저진공 밸브(190)와 보조 배기 라인(220)과 포어 라인(230)이 연결되는 부위 사이의 보조 배기 라인(220)에 부착된 제2진공 게이지(222)가 터보 펌프(140)가 효과적으로 작동할 수 있는 진공도를 나타내면, 저진공 밸브(190)를 닫고, 고진공 밸브(170)와 포라인 밸브(180)를 개방한다. 이 상태에서 터보 펌프(140)를 작동시켜 공정 챔버(110) 내부를 고진공 상태로 만든다. 이 때 드라이 펌프(150)는 터보 펌프(140)를 보조하게 된다.In order to vacuum the process chamber 110, first, the high vacuum valve 170 and the foreline valve 180 are closed, and the low vacuum valve 190 is opened and the dry pump 150 operates to process the chamber 110. ) To low vacuum. Next, the second vacuum gauge 222 attached to the auxiliary exhaust line 220 between the low vacuum valve 190 and the portion where the auxiliary exhaust line 220 and the fore line 230 are connected is provided with the turbo pump 140. When the degree of vacuum that can be effectively operated is shown, the low vacuum valve 190 is closed and the high vacuum valve 170 and the foreline valve 180 are opened. In this state, the turbo pump 140 is operated to make the inside of the process chamber 110 in a high vacuum state. At this time, the dry pump 150 assists the turbo pump 140.

공정 챔버(110) 내부의 미반응 가스 및 반응 부산물은 터보 펌프(140) 내로 팽창되어 포어 라인을 통해 드라이 펌프(150)를 통과하여 대기로 배출된다.Unreacted gases and reaction by-products within the process chamber 110 are expanded into the turbo pump 140 and passed through the dry pump 150 through the foreline to the atmosphere.

체크 밸브(200)는 배기 가스의 역류를 막고 한 방향으로만 흐르게 하므로, 스로틀 밸브(160), 터보 펌프(140) 및 드라이 펌프(150)의 이상 등 여러 가지 원인에 의해 배기 라인들의 압력이 변화하더라도 배기 가스의 역류가 방지된다.Since the check valve 200 prevents backflow of the exhaust gas and flows in only one direction, the pressure of the exhaust lines is changed due to various causes such as abnormalities of the throttle valve 160, the turbo pump 140, and the dry pump 150. Even if reverse flow of exhaust gas is prevented.

상기 본 발명에 따른 바람직한 일 실시예에서는 반도체 기판 상에 텅스텐 실리사이드층을 형성하는 저압화학기상증착 장치에 적용되는 배기 가스 처리 시스템을 설명하였지만, 본 발명이 상기 저압화학기상증착 장치에 연결되는 배기 가스 처리 시스템에만 한정되지는 않는다.In the preferred embodiment according to the present invention, an exhaust gas treatment system applied to a low pressure chemical vapor deposition apparatus for forming a tungsten silicide layer on a semiconductor substrate has been described, but the present invention is an exhaust gas connected to the low pressure chemical vapor deposition apparatus. It is not limited to processing systems.

상술한 바와 같이, 본 발명의 바람직한 실시예에 따른 배기 가스 처리 시스템은 상기 배기 라인에서 상기 공정 챔버와 인접한 부위에 상기 체크 밸브를 설치한다. 여러 가지 원인에 의해 상기 배기 라인 내부의 압력이 변화되더라도 상기 배기 라인의 내부에 누적된 반응 부산물이 상기 공정 챔버 내부로 역류되지 않도록 한다. 따라서, 상기 공정 챔버의 오염을 방지하여 상기 반도체 기판의 파티클 발생을 억제한다.As described above, the exhaust gas treatment system according to the preferred embodiment of the present invention installs the check valve in a portion adjacent to the process chamber in the exhaust line. Even if the pressure inside the exhaust line is changed for various reasons, the reaction by-products accumulated in the exhaust line are not flowed back into the process chamber. Therefore, contamination of the process chamber is prevented to suppress particle generation of the semiconductor substrate.

상기에서는 본 발명의 바람직한 실시예를 참조하여 설명하였지만, 해당 기술 분야의 숙련된 당업자는 하기의 특허 청구 범위에 기재된 본 발명의 사상 및 영역으로부터 벗어나지 않는 범위 내에서 본 발명을 다양하게 수정 및 변경시킬 수 있음을 이해할 수 있을 것이다.While the foregoing has been described with reference to preferred embodiments of the present invention, those skilled in the art will be able to variously modify and change the present invention without departing from the spirit and scope of the invention as set forth in the claims below. It will be appreciated.

Claims (3)

반도체 기판에 막을 형성하기 위한 공정 가스가 제공되고, 상기 막을 형성하기 위한 공정이 수행되기 위한 공정 챔버;A process chamber provided with a process gas for forming a film on a semiconductor substrate, and the process for forming the film is performed; 상기 챔버와 연결되고, 상기 챔버 내부를 진공 상태로 유지하며, 상기 공정 도중 발생하는 미반응 가스 및 반응 부산물을 배출하기 위한 진공 수단; 및Vacuum means connected to the chamber, for maintaining the interior of the chamber in a vacuum state and for discharging unreacted gas and reaction by-products generated during the process; And 상기 공정 챔버와 상기 진공 수단을 연결하는 라인에 설치되어 상기 라인의 내부에 누적된 상기 반응 부산물의 역류를 방지하기 위한 체크 밸브를 포함하는 것을 특징으로 하는 배기 가스 처리 장치.And a check valve installed in a line connecting the process chamber and the vacuum means to prevent backflow of the reaction by-product accumulated in the line. 제1항에 있어서, 상기 체크 밸브는 상기 라인에서 상기 공정 챔버와 인접하도록 설치되는 것을 특징으로 하는 배기 가스 처리 장치.The exhaust gas treatment apparatus according to claim 1, wherein the check valve is installed to be adjacent to the process chamber in the line. 제1항에 있어서, 상기 진공 수단은 상기 공정 챔버의 내부를 고진공으로 유지하기 위한 고진공 펌프와 상기 고진공 펌프를 보조하는 저진공 펌프로 구성되는 것을 특징으로 하는 배기 가스 처리 시스템.The exhaust gas treatment system according to claim 1, wherein the vacuum means comprises a high vacuum pump for maintaining the inside of the process chamber at a high vacuum and a low vacuum pump to assist the high vacuum pump.
KR1020030029240A 2003-05-09 2003-05-09 System for treating exhaust gas KR20040096317A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020030029240A KR20040096317A (en) 2003-05-09 2003-05-09 System for treating exhaust gas

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020030029240A KR20040096317A (en) 2003-05-09 2003-05-09 System for treating exhaust gas

Publications (1)

Publication Number Publication Date
KR20040096317A true KR20040096317A (en) 2004-11-16

Family

ID=37375059

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020030029240A KR20040096317A (en) 2003-05-09 2003-05-09 System for treating exhaust gas

Country Status (1)

Country Link
KR (1) KR20040096317A (en)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100793836B1 (en) * 2006-06-22 2008-01-11 경일대학교산학협력단 Vacuum apparatus using liquidnitrogen
KR100861819B1 (en) * 2006-12-26 2008-10-07 동부일렉트로닉스 주식회사 Exhausting apparatus of the process chamber for manufacturing a semiconductor device
CN104752273A (en) * 2013-12-27 2015-07-01 株式会社日立国际电气 Substrate Processing Apparatus And Method Of Manufacturing Semiconductor Device

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100793836B1 (en) * 2006-06-22 2008-01-11 경일대학교산학협력단 Vacuum apparatus using liquidnitrogen
KR100861819B1 (en) * 2006-12-26 2008-10-07 동부일렉트로닉스 주식회사 Exhausting apparatus of the process chamber for manufacturing a semiconductor device
CN104752273A (en) * 2013-12-27 2015-07-01 株式会社日立国际电气 Substrate Processing Apparatus And Method Of Manufacturing Semiconductor Device
US9824883B2 (en) 2013-12-27 2017-11-21 Hitachi Kokusai Electric Inc. Substrate processing apparatus, method of manufacturing semiconductor device, and non-transitory computer-readable recording medium

Similar Documents

Publication Publication Date Title
TWI821568B (en) Method of using gas-phase reactor system
US6074202A (en) Apparatus for manufacturing a semiconductor material
JP3501524B2 (en) Vacuum exhaust system for processing equipment
JP3486821B2 (en) Processing apparatus and method of transporting object to be processed in processing apparatus
US5616208A (en) Vacuum processing apparatus, vacuum processing method, and method for cleaning the vacuum processing apparatus
US20050189074A1 (en) Gas processing apparatus and method and computer storage medium storing program for controlling same
JP3403181B2 (en) Heat treatment apparatus and heat treatment method
WO2004007800A9 (en) Thermal processing apparatus and method for evacuating a process chamber
US7165443B2 (en) Vacuum leakage detecting device for use in semiconductor manufacturing system
US20090064765A1 (en) Method of Manufacturing Semiconductor Device
US6169032B1 (en) CVD film formation method
US8051870B2 (en) Pressure reduction process device, pressure reduction process method, and pressure regulation valve
KR20040096317A (en) System for treating exhaust gas
US6843809B2 (en) Vacuum/purge operation of loadlock chamber and method of transferring a wafer using said operation
WO2005001925A1 (en) Vacuum processing device operating method
US6908838B2 (en) Method and device for treating semiconductor substrates
JP2002289668A (en) Substrate treating apparatus
US11807938B2 (en) Exhaust device, processing system, and processing method
KR20230028471A (en) Film formation method and film formation apparatus
KR101032043B1 (en) Gas cooling system for semiconductor processing equipment
WO2020213506A1 (en) Substrate processing device, substrate processing system, and substrate processing method
KR20060055747A (en) Vaccum system of apparatus for manufacturing a semiconductor substrate
KR20060131075A (en) Vacuum forming equipment for semiconductor manufacturing equipment
KR20030003600A (en) Pump System for Manufacturing Semiconductor Devices
KR200244729Y1 (en) Low pressure chemical vapor deposition equipment for semiconductor manufacturing

Legal Events

Date Code Title Description
WITN Withdrawal due to no request for examination