KR20040079060A - exhaust gas system of semiconductor device manufacturing equipment - Google Patents

exhaust gas system of semiconductor device manufacturing equipment Download PDF

Info

Publication number
KR20040079060A
KR20040079060A KR1020030013972A KR20030013972A KR20040079060A KR 20040079060 A KR20040079060 A KR 20040079060A KR 1020030013972 A KR1020030013972 A KR 1020030013972A KR 20030013972 A KR20030013972 A KR 20030013972A KR 20040079060 A KR20040079060 A KR 20040079060A
Authority
KR
South Korea
Prior art keywords
semiconductor device
pipe
pump
main pump
device manufacturing
Prior art date
Application number
KR1020030013972A
Other languages
Korean (ko)
Inventor
김병수
Original Assignee
삼성전자주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 삼성전자주식회사 filed Critical 삼성전자주식회사
Priority to KR1020030013972A priority Critical patent/KR20040079060A/en
Publication of KR20040079060A publication Critical patent/KR20040079060A/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

PURPOSE: An exhaust system of equipment for fabricating a semiconductor device is provided to prevent damage to a main pump by blocking a control valve when the constituting elements of a chamber or a turbo pump need to be repaired or replaced and by performing a process while the main pump is constantly driven. CONSTITUTION: By using the first pipe(16), the turbo pump(18) is connected to the chamber(10) in which a process is performed. The main pump drives exhaust gas from the turbo pump, connected to the turbo pump by using the second pipe(20). At least one control valve selectively blocks the flow of the exhaust gas driven to the main pump through the second pipe. The control valve may be a throttle valve.

Description

반도체소자 제조설비의 배기시스템{exhaust gas system of semiconductor device manufacturing equipment}Exhaust gas system of semiconductor device manufacturing equipment

본 발명은 반도체소자 제조설비의 배기시스템에 관한 것으로서, 보다 상세하게는 반도체소자에 대한 단위 공정을 수행하는 제조설비 내에 공정조건으로서 진공압 상태를 형성하기 위하여 진공펌프로 연결되는 배기라인 상의 교체가 요구되는 경우 펌프의 손상 및 파손을 방지토록 함과 동시에 용이하게 교체 또는 수리 작업을 수행할 수 있도록 하는 반도체소자 제조설비의 배기시스템에 관한 것이다.BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to an exhaust system of a semiconductor device manufacturing facility, and more particularly to a replacement on an exhaust line connected to a vacuum pump to form a vacuum pressure condition as a process condition in a manufacturing facility that performs a unit process for a semiconductor device. When required, the present invention relates to an exhaust system of a semiconductor device manufacturing facility which prevents damage and breakage of a pump, and at the same time, facilitates replacement or repair work.

일반적으로 반도체소자는 웨이퍼 상에 포토리소그래피, 식각, 화학기상증착, 이온주입, 확산, 금속증착 등의 공정을 선택적이고도 반복적으로 수행하는 것에 의해 복수의 회로층을 이루어 만들어진다. 이들 반도체소자 제조공정은 대체로 소정의 공정 분위기 하에서 각종 가스 또는 액상의 화학물질(chemical) 등 공정소스를 공급하고, 이들 공정소스로 하여금 웨이퍼 상에 영향을 미치도록 하는 것으로 이루어진다. 그리고, 상술한 공정의 진행 이후에 공급된 미반응 공정소스나 반응 부산물 등은 다음 공정 진행을 위하여 배관을 통한 배출 과정을 갖는다. 상술한 공정 진행에 있어서 주요한 관리 항목의 하나는 공급이 이루어진 공정소스가 웨이퍼에 대하여 정상적으로 영향을 미치도록 하기 위하여 공정조건으로서 소정의 진공압 분위기를 이루도록 하는 것을 필요로 하고 있다. 또한, 공정이 종료된 이후 공정소스 미반응한 상태로 남거나 다른 공정소스와 합성이 이루어지는 등의 반응 부산물로서 챔버 내에 잔류하고, 이들 잔류물질은 다음 공정 진행의 공정불량의 요인으로 작용함에 따라 신속하게 배출될 것을 필요로 하고 있다. 이러한 관계에 있어서, 챔버 내부를 소정의 진공압 분위기와 잔류물질을 배출시키기 위한 반도체소자 제조설비의 배기시스템에 대하여 첨부한 도면을 참조하여 설명하기로 하고, 이때 반도체소자 제조설비의 구성으로는 식각 공정을 수행하는 것을 그 일 예로 설명하기로 한다.In general, semiconductor devices are made of a plurality of circuit layers by selectively and repeatedly performing processes such as photolithography, etching, chemical vapor deposition, ion implantation, diffusion, and metal deposition on a wafer. These semiconductor device manufacturing processes generally consist of supplying process sources such as various gases or liquid chemicals under a predetermined process atmosphere, and causing these process sources to affect the wafer. Then, the unreacted process source or the reaction by-products supplied after the above process have a discharge process through a pipe for the next process. One of the main management items in the above-described process progress is that it is necessary to achieve a predetermined vacuum pressure atmosphere as the process conditions in order for the supplied process source to normally affect the wafer. In addition, after the end of the process, the process source remains unreacted or is synthesized with other process sources and remains in the chamber, and these residues quickly act as a cause of the process defect in the next process. It needs to be discharged. In this relation, the exhaust system of the semiconductor device manufacturing equipment for discharging a predetermined vacuum pressure atmosphere and residual materials in the chamber will be described with reference to the accompanying drawings, wherein the configuration of the semiconductor device manufacturing equipment is etched. Performing the process will be described as an example.

먼저, 종래의 배기시스템 구성은, 도 1에 도시한 바와 같이, 공정 분위기를 이루기 위하여 선택적으로 밀폐 분위기를 형성하는 챔버(10)가 있고, 이 챔버(10) 내부에는 투입된 웨이퍼(W)를 흡착 고정하여 고주파 파워를 인가하는 하부전극(12)과 이 하부전극(12)에 놓인 웨이퍼(W)의 상부에 위치되어 하부전극(12)과 상반된 고주파 바파워 전극이 인가되는 상부전극(14)을 구비하고 있다. 그리고, 챔버(10)의 측부에는 내부의 공기 및 잔류물질의 배출을 안내하기 위한 제 1 배관(16)이 연통하여 연결하고 있으며, 제 1 배관(16)의 상대측 단부는 제 1 배관(16)을 통해 챔버(10) 내부에 소정의 진공압 즉, 공기를 포함한 잔류물질의 유동을 유도하기 위한 흡입력을 제공하는 터보펌프(18)와 연결된다. 또한, 상술한 터보펌프(18)의 다른 일측에는 유도시킨 공기 및 잔류물질의 유동을 안내하기 위한 제 2 배관(20)과 연통하게 연결된 상태를 이루고, 제 2 배관(20)의 상대측 단부는 다시 터보펌프(18)로부터 유도된 공기 및 잔류물질의 유동을 유도하도록 진공압을 제공하는 메인펌프(24)와 연결하여 있으며, 이후 메인펌프(24)를 통과한 공기 및 잔류물질은 통상의 방법으로 배기덕트(도면의 단순화를 위하여 생략함)로 유동하는 과정을 거친다.First, in the conventional exhaust system configuration, as shown in FIG. 1, there is a chamber 10 that selectively forms an airtight atmosphere in order to achieve a process atmosphere, and the wafer W injected into the chamber 10 is adsorbed. A lower electrode 12 which is fixed and applies high frequency power and an upper electrode 14 which is positioned above the wafer W placed on the lower electrode 12 and is applied with a high frequency bar power electrode opposite to the lower electrode 12. Equipped. The first pipe 16 for guiding the discharge of air and residual materials therein is connected to the side of the chamber 10, and the opposite end of the first pipe 16 is connected to the first pipe 16. Through it is connected to the turbo pump 18 to provide a predetermined vacuum pressure, that is, a suction force for inducing the flow of the residual material including air in the chamber (10). In addition, the other side of the turbopump 18 described above is connected to the second pipe 20 for guiding the flow of induced air and residual material, and the other end of the second pipe 20 is again connected. It is connected to the main pump 24 which provides a vacuum pressure to induce the flow of air and residual material derived from the turbopump 18, and then the air and the residual material passing through the main pump 24 are Flow through the exhaust duct (not shown for simplicity).

이러한 관계 구성에 있어서, 챔버(10)내부로 공급이 이루어진 공정가스는 상술한 상·하부전극(14, 12)에 인가되는 고주파 파워의 영향을 받아 플라즈마 상태로 변환이 이루어지고, 이들 플라즈마 상태의 공정소스는 웨이퍼(W)와 반응한 이후에 각종 형태의 폴리머 등의 반응 부산물로서 퓸(fume) 형태를 이루는 것이 많다.In such a relational structure, the process gas supplied into the chamber 10 is converted into a plasma state under the influence of the high frequency power applied to the upper and lower electrodes 14 and 12 described above. The process source often forms a fume as a reaction by-product of various types of polymers after reacting with the wafer (W).

한편, 상술한 구성으로부터 챔버(10) 또는 터보펌프(18)를 이루는 각 구성이 수리 또는 교체가 필요할 경우에 있어서, 챔버(10) 또는 터보펌프(18) 및 메인펌프(24)를 포함한 반도체소자 제조설비를 이루는 각 구성의 구동을 정지시키고, 필요한 구성에 대한 수리 또는 교체 등의 작업을 실시한다. 이때, 상술한 바와 같이, 메인펌프(24)의 구동이 장시간 정지된 상태로 있게 되면, 유동하던 퓸 형태의 잔류물질이 메인펌프(24)의 구성 부위에 있는 윤활유 등과 혼합되어 메인펌프(24)의 재가동시 그 구동의 저해 요인으로 작용할 뿐 아니라 메인펌프(24)의 수명을 단축시키는 결과를 초래한다. 이것은, 상술한 챔버(10)와 터보펌프(18)를 포함한 다른 각 구성의 수리 또는 교체에 따른 작업량의 증대와 그에 따른 작업시간의 지연 및 작업자의 번거로움을 주는 등의 문제를 유발할 뿐 아니라 고가의 제조설비의 가동률 저하로 인한 반도체소자 제조수율의 저하로 이어지는 등의 문제를 야기한다.On the other hand, when each of the components forming the chamber 10 or the turbopump 18 needs to be repaired or replaced from the above-described configuration, the semiconductor device including the chamber 10 or the turbopump 18 and the main pump 24. The operation of each component constituting the manufacturing facility is stopped and repair or replacement of necessary components is performed. At this time, as described above, when the driving of the main pump 24 is in a stopped state for a long time, the residual fume-like residual material is mixed with the lubricating oil and the like in the constituent portion of the main pump 24 and the main pump 24 In addition to acting as a deterrent to the operation of the re-starting of the pump, the life of the main pump 24 is shortened. This not only causes problems such as an increase in the amount of work resulting from the repair or replacement of each of the other components including the chamber 10 and the turbopump 18 described above, resulting in delays in work time and troublesome workers, but also high cost. This leads to problems such as a decrease in the yield of semiconductor devices due to a decrease in the operation rate of the manufacturing equipment.

본 발명의 목적은, 상술한 종래 기술의 문제를 해결하기 위한 것으로서, 반도체소자에 대한 단위 공정을 수행하는 제조설비 내에 공정조건으로서 진공압 상태를 형성하기 위하여 진공펌프로 연결되는 배기라인 상의 교체가 요구되는 경우 펌프의 손상 및 파손을 방지토록 함과 동시에 용이하게 교체 또는 수리 작업을 수행할 수 있도록 하고, 동시에 메인펌프의 사용 수명을 연장시키도록 할 뿐 아니라 반도체소자 제조수율의 향상을 도모하고자 하는 반도체소자 제조설비의 배기시스템을 제공함에 있다.SUMMARY OF THE INVENTION An object of the present invention is to solve the above-mentioned problems of the prior art, and it is possible to replace a phase on an exhaust line connected to a vacuum pump to form a vacuum pressure condition as a process condition in a manufacturing facility that performs a unit process for a semiconductor device. When necessary, it is possible to prevent damage and breakage of the pump, and to easily perform replacement or repair work, and to extend the service life of the main pump and to improve the yield of semiconductor device manufacturing. An exhaust system of a semiconductor device manufacturing facility is provided.

도 1은 종래 기술에 따른 반도체소자 제조설비의 배기시스템 구성을 개략적으로 나타낸 단면 구성도이다.1 is a schematic cross-sectional view showing a configuration of an exhaust system of a semiconductor device manufacturing apparatus according to the prior art.

도 2는 본 발명의 일 실시예에 따른 반도체소자 제조설비의 배기시스템 구성을 개략적으로 나타낸 단면 구성도이다.2 is a cross-sectional view schematically showing the configuration of an exhaust system of a semiconductor device manufacturing apparatus according to an embodiment of the present invention.

※도면의 주요부분에 대한 부호의 설명※※ Explanation of symbols about main part of drawing ※

10: 챔버 12: 하부전극10 chamber 12 lower electrode

14: 상부전극 16: 제 1 배관14: upper electrode 16: the first pipe

18: 터보펌프 20: 제 2 배관18: turbopump 20: second piping

22: 층 24: 메인펌프22: layer 24: main pump

상기 목적을 달성하기 위한 본 발명에 따른 특징적인 구성은, 공정이 이루어지는 챔버로부터 제 1 배관으로 연결한 터보펌프와; 상기 터보펌프로부터 제 2 배관으로 연결하여 배기가스를 유도하는 메인펌프로 이루어진 반도체소자 제조설비의 배기시스템에 있어서, 상기 제 2 배관 상에 메인펌프로 유도되는 배기가스의 흐름을 선택적으로 차단하기 위한 제어밸브를 적어도 하나 이상 구비하여 이루어짐을 특징으로 한다. 또한, 상기 제어밸브는 스로틀밸브로 구성함이 바람직하다.A characteristic configuration according to the present invention for achieving the above object is a turbo pump connected to the first pipe from the chamber in which the process is made; In the exhaust system of the semiconductor device manufacturing equipment consisting of a main pump for inducing exhaust gas by connecting to the second pipe from the turbo pump, for selectively blocking the flow of exhaust gas guided to the main pump on the second pipe It is characterized by consisting of at least one control valve. In addition, the control valve is preferably composed of a throttle valve.

이하, 상기 목적을 달성하기 위한 본 발명에 따른 반도체소자 제조설비의 배기시스템에 대하여 첨부한 도면을 참조하여 설명하기로 한다.Hereinafter, an exhaust system of a semiconductor device manufacturing apparatus according to the present invention for achieving the above object will be described with reference to the accompanying drawings.

도 2는 본 발명의 일 실시예에 따른 반도체소자 제조설비의 배기시스템을 개략적으로 나타낸 구성도로서, 종래와 동일한 부분에 대하여 동일한 부호를 부여하고, 그에 따른 상세한 설명은 생략하기로 한다.2 is a configuration diagram schematically illustrating an exhaust system of a semiconductor device manufacturing apparatus according to an exemplary embodiment of the present invention. The same reference numerals are assigned to the same parts as in the related art, and detailed description thereof will be omitted.

본 발명에 따른 반도체소자 제조설비의 배기시스템 구성에 대하여 설명하면, 도 2에 도시한 바와 같이, 공정 분위기를 이루기 위하여 선택적으로 밀폐 분위기를 형성하는 챔버(10)에 대하여 공정조건으로서의 진공압 분위기를 형성하기 위한 터보펌프(18)가 제 1 연결관(16)으로 연결이 이루어지고, 터보펌프(18)에 의해 유도 배출되는 잔류물질은 메인펌프(24)로부터 제 2 배관(20)을 통해 제공하는 진공압에의해 배기덕트로 유도 배출이 이루어진다. 또한, 상술한 제 2 배관(20) 사에는 터보펌프(18)와 메인펌프(24) 사이에 대하여 제 2 배관(20)을 통한 유체의 유도를 선택적으로 차단하기 위한 제어밸브(30)를 구비하고 있다. 이렇게 사용되는 제어밸브(30)는 스로틀밸브를 사용함이 바람직하다.Referring to the configuration of the exhaust system of the semiconductor device manufacturing equipment according to the present invention, as shown in Fig. 2, a vacuum pressure atmosphere as a process condition is applied to the chamber 10 to form a closed atmosphere selectively to achieve the process atmosphere The turbopump 18 for forming is connected to the first connecting pipe 16, and the residual material which is induced and discharged by the turbopump 18 is provided from the main pump 24 through the second pipe 20. Induction discharge is performed by exhaust duct by vacuum pressure. In addition, the second pipe 20 is provided with a control valve 30 for selectively blocking the induction of the fluid through the second pipe 20 between the turbo pump 18 and the main pump 24. Doing. The control valve 30 used in this way is preferably to use a throttle valve.

이러한 구성에 의하면, 상술한 챔버(10) 또는 터보펌프(18) 및 이와 관련한 구성의 이상이 발생할 경우 상술한 메인펌프(24)로부터 제공되는 진공압에 대하여 제어밸브(30)를 차단한 상태 즉, 메인펌프(24)를 계속적으로 구동시키는 과정에서 그 수리 및 교체작업을 진행한다. 이에 따라 메인펌프(24)는 계속적인 구동으로 제 2 배관(20) 상의 퓸 등에 의한 손상 또는 동작 오류를 예방하게 되며, 이로부터 메인펌프(24)의 수명이 연장될 수 있는 것이다. 또한, 상술한 수리 또는 교체 작업이 이루어진 이후에 제 2 배관을(20)을 연결하고, 이 제 2 배관(20) 상의 제어밸브(30)를 개방시켜 메인펌프(24)에 의한 진공압의 전달이 있도록 하는 과정의 진행으로 이루어져 이에 따른 작업이 용이하며, 설비 복원까지의 작업시간이 단축되어 고가의 제조설비에 대한 가동률 향상과 그에 따른 반도체소자 제조수율의 향상이 있게 된다.According to this configuration, when the above-described chamber 10 or turbo pump 18 and the above-described configuration is abnormal, the control valve 30 is blocked from the vacuum pressure provided from the main pump 24 described above. In the process of continuously driving the main pump 24, the repair and replacement work proceeds. Accordingly, the main pump 24 is prevented from being damaged or operating error due to the fume on the second pipe 20 due to the continuous driving, thereby extending the life of the main pump 24. In addition, after the above repair or replacement work is performed, the second pipe 20 is connected, and the control valve 30 on the second pipe 20 is opened to transfer the vacuum pressure by the main pump 24. It is easy to work according to the progress of the process to do this, and the work time until the restoration of the facility is shortened, thereby improving the operation rate for the expensive manufacturing equipment and thereby the semiconductor device manufacturing yield.

따라서, 본 발명에 의하면, 챔버 또는 터보펌프를 포함한 구성의 수리 및 교체가 요구되는 경우 제어밸브를 차단하여 메인펌프의 계속적인 구동이 있도록 한 상태에서 작업을 수행할 수 있어 메인펌프의 구동 정지에 따른 손상이나 파손을 미연에 방지하는 효과가 있다.Therefore, according to the present invention, when the repair and replacement of the configuration including the chamber or the turbo pump is required, the operation can be performed in a state in which the main valve is continuously driven by shutting down the control valve to stop the operation of the main pump. There is an effect of preventing damage or damage in advance.

본 발명은 구체적인 실시예에 대해서만 상세히 설명하였지만 본 발명의 기술적 사상의 범위 내에서 변형이나 변경할 수 있음은 본 발명이 속하는 분야의 당업자에게는 명백한 것이며, 그러한 변형이나 변경은 본 발명의 특허청구범위에 속한다 할 것이다.Although the present invention has been described in detail only with respect to specific embodiments, it will be apparent to those skilled in the art that modifications and variations can be made within the scope of the technical idea of the present invention, and such modifications or changes belong to the claims of the present invention. something to do.

Claims (2)

공정이 이루어지는 챔버로부터 제 1 배관으로 연결한 터보펌프와; 상기 터보펌프로부터 제 2 배관으로 연결하여 배기가스를 유도하는 메인펌프로 이루어진 반도체소자 제조설비의 배기시스템에 있어서,A turbo pump connected to the first pipe from the chamber in which the process is performed; In the exhaust system of the semiconductor device manufacturing equipment consisting of a main pump for inducing exhaust gas by connecting to the second pipe from the turbo pump, 상기 제 2 배관 상에 메인펌프로 유도되는 배기가스의 흐름을 선택적으로 차단하기 위한 제어밸브를 적어도 하나 이상 구비하여 이루어짐을 특징으로 반도체소자 제조설비의 배기시스템.And at least one control valve for selectively blocking the flow of the exhaust gas guided to the main pump on the second pipe. 제 1 항에 있어서,The method of claim 1, 상기 제어밸브는 스로틀밸브임을 특징으로 하는 상기 반도체소자 제조설비의 배기시스템.The control valve is an exhaust system of the semiconductor device manufacturing equipment, characterized in that the throttle valve.
KR1020030013972A 2003-03-06 2003-03-06 exhaust gas system of semiconductor device manufacturing equipment KR20040079060A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020030013972A KR20040079060A (en) 2003-03-06 2003-03-06 exhaust gas system of semiconductor device manufacturing equipment

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020030013972A KR20040079060A (en) 2003-03-06 2003-03-06 exhaust gas system of semiconductor device manufacturing equipment

Publications (1)

Publication Number Publication Date
KR20040079060A true KR20040079060A (en) 2004-09-14

Family

ID=37364126

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020030013972A KR20040079060A (en) 2003-03-06 2003-03-06 exhaust gas system of semiconductor device manufacturing equipment

Country Status (1)

Country Link
KR (1) KR20040079060A (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100754243B1 (en) * 2006-02-17 2007-09-03 삼성전자주식회사 vacuum apparatus of semiconductor device manufacturing equipment

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100754243B1 (en) * 2006-02-17 2007-09-03 삼성전자주식회사 vacuum apparatus of semiconductor device manufacturing equipment

Similar Documents

Publication Publication Date Title
KR101213689B1 (en) Apparatus for cleaning exhaust portion and vacuum pump of the semiconductor and LCD process reaction chamber
KR20100109489A (en) Gas flow path structure and substrate processing apparatus
US20060175012A1 (en) Semiconductor fabrication equipment and method for controlling pressure
JP6047672B1 (en) Vacuum processing equipment
KR19990003264A (en) Semiconductor device manufacturing equipment and method of driving the same
KR20040079060A (en) exhaust gas system of semiconductor device manufacturing equipment
KR100437532B1 (en) Ventilation Structure For Semiconductor Manufacturing Equipment
US5867881A (en) Pre-installation of pumping line for efficient fab expansion
KR20070033114A (en) Semiconductor fabricating apparatus and method thereof
JP2715134B2 (en) Processing method
KR20100079218A (en) Device for connecting gas lines in semiconduct apparatus
KR100962547B1 (en) System for breaking reverse-current
JP2021072405A (en) Gas supply system, substrate processing device, and control method of gas supply system
JP2005180279A (en) Device for forming vacuum membrane and method for controlling vacuum pump for the same
KR102203930B1 (en) Gas exhausting equipment operating method for cleaning exhaust pipe of semiconductor production facility
KR100489638B1 (en) Dry etching equipment of semiconductor device manufacturing equipment
CN210071221U (en) Assembly for measuring pressure of vacuum chamber and substrate processing equipment
KR20080068964A (en) Apparatus for detecting leak of semiconductor vacuum equipment
JP2009158527A (en) Vacuum chamber device having load lock chamber
KR20210003074A (en) Gas exhausting equipment operating method for cleaning exhaust pipe of semiconductor production facility
KR20070055790A (en) Apparatus of exhausting for semiconductor fabrication equipment
KR20060024294A (en) Back-pressure blocking apparatus for the semi-conductor fabricating facility
KR20050111681A (en) Purse flow apparatus of semiconductor manufacturing equipment
KR20020036202A (en) Semiconductor device manufacture equipment
TWI519671B (en) Apparatus and Method for Delivering Gas

Legal Events

Date Code Title Description
WITN Withdrawal due to no request for examination