KR20040077310A - 웨이퍼를 가공하기 위한 장치 및 방법 - Google Patents

웨이퍼를 가공하기 위한 장치 및 방법 Download PDF

Info

Publication number
KR20040077310A
KR20040077310A KR1020030012822A KR20030012822A KR20040077310A KR 20040077310 A KR20040077310 A KR 20040077310A KR 1020030012822 A KR1020030012822 A KR 1020030012822A KR 20030012822 A KR20030012822 A KR 20030012822A KR 20040077310 A KR20040077310 A KR 20040077310A
Authority
KR
South Korea
Prior art keywords
load lock
lock chamber
process tube
wafer
boat
Prior art date
Application number
KR1020030012822A
Other languages
English (en)
Inventor
강성호
이석민
정승욱
김용석
Original Assignee
삼성전자주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 삼성전자주식회사 filed Critical 삼성전자주식회사
Priority to KR1020030012822A priority Critical patent/KR20040077310A/ko
Publication of KR20040077310A publication Critical patent/KR20040077310A/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67772Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading involving removal of lid, door, cover
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67778Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading involving loading and unloading of wafers

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)

Abstract

프로세스 튜브가 진공 상태를 유지한 상태로 공정을 진행할 수 있는 웨이퍼를 가공하기 위한 장치 및 방법이 개시되어 있다. 상기 장치는 웨이퍼가 적재되는 보트와 상기 보트를 이송하기 위한 이송 수단을 포함하는 로드락 챔버와, 상기 로드락 챔버와 연결되고 상기 웨이퍼에 기 설정된 공정을 수행하기 위한 공정 가스가 제공되는 프로세스 튜브를 포함한다. 또한 상기 로드락 챔버와 상기 프로세스 튜브 사이를 개폐하기 위한 셔터와, 상기 프로세스 튜브와 상기 로드락 챔버와 연결되는 펌브 및 상기 로드락 챔버와 상기 프로세스 챔버를 연결하며 압력 차이를 조절하기 위한 압력 조절 라인을 포함한다. 상기 프로세스 튜브를 진공 상태로 유지하여 공정을 진행하므로 공정 시간을 줄일 수 있다. 또한 웨이퍼와 산소와의 접촉시간을 줄여 자연 산화막 형성을 방지한다.

Description

웨이퍼를 가공하기 위한 장치 및 방법{Apparatus for fabricating a wafer and method for the same}
본 발명은 웨이퍼를 가공하기 위한 장치에 관한 것으로, 보다 상세하게는 프로세스 튜브와 로드락 챔버로 구성되어 열처리 공정을 통하여 웨이퍼를 가공하기 위한 장치에 관한 것이다.
일반적으로 열처리 공정은 산화, 확산, 화학 기상증착 및 어닐링 등으로 주로 확산로에서 공정이 진행된다. 상기 열처리 공정 중에서 확산 공정에 대해 한정하여 설명한다.
웨이퍼의 제조 공정에서 확산 공정은 반도체 웨이퍼를 원하는 도펀트(dopant)로 도핑(doping)시키는 공정을 말한다. 반도체 제조 공정에 있어 확산이란 크게 두 개의 단계로 수행되는데, 먼저 특정한 양의 도펀트 원자를 웨이퍼 표면에 특정한 농도로 분배하는 공정인 선 확산(pre-deposition) 단계와, 웨이퍼 표면에 있는 도펀트 원자가 웨이퍼 내부로 침투하는 후확산(drive-In) 단계가 있다. 이러한 확산 공정은 확산로(diffusion furnace)라는 장비에서 이루어지는데,일반적인 확산로는 웨이퍼를 로딩하는 영역인 로드락 챔버(load lock chamber)와 후확산이 직접 이루어지는 프로세스 튜브로 구성된다. 상기 로드락 챔버는 상기 프로세스 튜브와 함께 진공 상태로 유지될 수 있는데 이는 상기 로드락 챔버 내의 웨이퍼를 가능한 한 산소와의 접촉을 방지하기 위해서이다. 그러나 상기 로드락 챔버와 프로세스 튜브의 압력은 별개의 메스 플로우 컨트로 유닛(MFC unit: Mass flow control unit)으로 입력된 가스에 의해 변화하게 되는데, 이로 인하여 상기 로드락 챔버와 프로세스 튜브의 압력은 수시로 변화한다.
상기 로드락 챔버와 상기 프로세스 튜브의 압력 변화를 살펴보면, 우선 상기 로드락 챔버로 웨이퍼가 로딩되는 동안은 상기 로드락 챔버가 개방되어 있기 때문에 대기압 상태이다. 상기 웨이퍼의 로딩이 완료되면 상기 로드락 챔버는 상기 웨이퍼의 자연 산화막 형성을 방지하기 위해 진공 상태가 된다. 상기 진공 상태에 상기 로드락 챔버에 퍼지 가스가 공급되어 대기압 상태를 이룬다. 이후 상기 로드락 챔버의 내부에 구비되며 상기 웨이퍼를 적재한 보트가 상기 프로세스 튜브로 이송된다. 상기 프로세스 튜브로 상기 보트의 이송이 완료되면 상기 프로세스 튜브는 진공 상태를 형성하고 이후 상기 웨이퍼에 대한 확산 공정을 진행하게 된다.
대한민국 공개특허 제2001-0097167호에는 로드락 챔버를 가지는 종형 확산 장치의 배기 구조에 대한 예가 개시되어 있다. 또한 미합중국 특허 제5,433,785호(issued to Saito)에는 로드락 챔버를 갖는 열처리 장치를 이용한 반도체 장치를 제조하기 위한 장치에 대한 예가 개시되어 있다.
상기 로드락 챔버를 사용함으로 인해 웨이퍼와 공기와의 접촉 시간을 줄일수 있어 상기 웨이퍼에 자연 산화막이 형성되는 것을 방지할 수 있다. 하지만 상기 로드락 챔버를 사용함으로 인하여 상기와 같이 로드락 챔버가 대기압 상태, 진공 상태, 다시 대기압 상태로 변화되고, 상기 대기압 상태에서 상기 보트가 상기 프로세스 튜브로 로딩된 후 상기 프로세스 튜브를 진공 상태로 만드는데 많은 시간이 소요된다. 즉 종래의 발명에 따른 웨이퍼를 제조하기 위한 확산로는 웨이퍼의 자연 산화막 형성을 방지하는 효과는 있으나, 확산 공정을 수행하는데 걸리는 시간이 증가하는 문제점이 있다. 또한 상기 프로세스 챔버나 상기 로드락 챔버의 압력 변화가 심하여 상기 확산로를 구성하는 요소의 수명에 악영향을 미친다.
한편 웨이퍼의 자연 산화막 형성을 방지하는 효과가 매엽식 확산로에 비해 떨어져 향후 고집적 웨이퍼의 확산 공정시에는 종래의 확산로 사용이 어려워지는 문제점이 있다.
상기와 같은 문제점을 해결하기 위한 본 발명의 제1목적은 열처리 공정을 수행하는데 걸리는 시간을 줄이고, 자연 산화막 방지 효과를 높일 수 있는 웨이퍼를 가공하기 위한 장치를 제공하는데 있다.
본 발명의 제2목적은 상기 웨이퍼를 가공하기 위한 장치를 이용하여 웨이퍼를 가공하기 위한 방법을 제공하는 데 있다.
도 1은 본 발명의 바람직한 일 실시예에 따른 웨이퍼를 가공하기 위한 장치를 설명하기 위한 개략적인 단면도이다.
도 2는 본 발명의 바람직한 일 실시예에 따른 웨이퍼를 가공하기 위한 방법을 설명하기 위한 흐름도이다.
* 도면의 주요부분에 대한 부호의 설명 *
110 : 로드락 챔버 112 : 도어
114 : 보트 116 : 보트 캡
118 : 지지부재 120 : 구동축
122 : 주름관 124 : 구동부
126 : 제1가스 공급관 128 : 유량조절기
130 : 프로세스 튜브 132 : 히터
134 : 제2가스 공급관 136 : 셔터(shutter)
140 : 제1배기 라인 142 : 제1배기구
144 : 제1게이지 146 : 제1밸브
150 : 밴트라인 152 : 니들 밸브
160 : 제2배기 라인 162 : 제2배기구
164 : 바라트론 게이지 166 : 제2게이지
168 : 제2밸브 170 : 펌프
180 : 압력조절 라인 182 : 제3밸브
W : 웨이퍼
상기 본 발명의 제1목적을 달성하기 위하여 본 발명은 웨이퍼를 적재하기 위한 보트와 상기 보트를 이송하기 위한 이송 수단을 포함하는 로드락 챔버와, 상기로드락 챔버와 연결되고, 상기 웨이퍼에 기 설정된 공정을 수행하기 위한 공정 가스가 제공되는 프로세스 튜브와, 상기 로드락 챔버와 상기 프로세스 튜브 사이에 구비되어 상기 로드락 챔버와 상기 프로세스 튜브 사이를 개폐하기 위한 셔터(shutter)와, 상기 프로세스 튜브 및 상기 로드락 챔버와 연결되고, 상기 프로세스 튜브를 항상 일정한 진공 상태로 유지하며, 상기 보트가 상기 프로세스 튜브로 로딩되고 다시 상기 프로세스 튜브로부터 언로딩되는 동안 상기 로드락 챔버를 진공 상태로 만들기 위한 펌프 및 상기 로드락 챔버 및 상기 프로세스 챔버를 연결하며 압력 차이를 조절하기 위한 압력조절 라인을 포함하는 것을 특징으로 하는 웨이퍼를 가공하기 위한 장치를 제공한다.
또한 상기 이송 수단은 상기 보트를 지지하기 위한 지지 부재와, 상기 로드락 챔버의 내부에 수직으로 구비되고, 상기 지지 부재를 상하로 이동시키기 위한 구동축 및 상기 지지 부재를 이동시키기 위한 구동력을 제공하기 위한 구동부를 포함한다.
본 발명의 제2목적을 달성하기 위해 본 발명은 웨이퍼를 로드락 챔버 내부에 구비된 보트로 로딩하는 단계와, 대기압 상태의 상기 로드락 챔버를 진공 상태로 만드는 단계와, 상기 로드록 챔버의 압력과 진공 상태로 유지되는 프로세스 튜브의 압력을 동일하게 만드는 단계와, 상기 보트를 상기 프로세스 튜브로 이송하여 상기 웨이퍼를 가공하는 단계와, 상기 로드락 챔버의 압력과 상기 프로세스 튜브의 압력을 동일하게 만드는 단계와, 상기 보트를 상기 로드락 챔버로 이송하는 단계 및 상기 로드락 챔버에 퍼지 가스를 공급하여 상기 로드락 챔버를 대기압 상태로 만들고, 상기 보트로부터 상기 웨이퍼를 언로딩하는 단계를 포함하는 것을 특징으로 하는 웨이퍼를 가공하기 위한 방법을 제공한다.
상기 웨이퍼를 가공하기 위한 장치의 프로세스 튜브가 진공 상태를 유지하므로 상기 로드락 챔버를 진공 상태를 만들고 바로 상기 로드락 챔버 내부에 구비된 보트를 상기 프로세스 튜브로 이송하여 열처리 공정을 진행할 수 있다. 따라서 열처리 공정을 수행하는데 걸리는 시간을 줄일 수 있다. 또한 상기 로드락 챔버도 진공 상태를 유지하는 시간이 많으므로 웨이퍼에 산화 방지막이 형성되는 것을 억제한다.
이하, 첨부한 도면을 참조하여 본 발명의 바람직한 실시예에 따른 웨이퍼를 가공하기 위한 장치에 대해 상세히 설명한다.
도 1은 본 발명의 바람직한 일 실시예에 따른 웨이퍼를 가공하기 위한 장치를 설명하기 위한 개략적인 단면도이다.
도 1을 참조하면, 상기 웨이퍼를 가공하기 위한 장치는 크게 웨이퍼(W)가 적재되는 보트(114)를 구비하기 위한 공간을 제공하는 로드락 챔버(110)와, 로드락 챔버(110)와 연결되고 웨이퍼(W)에 열처리 공정을 수행하기 위한 공간을 제공하며 진공으로 유지되는 프로세스 튜브(130)와, 로드락 챔버(110)와 프로세스 튜브(130) 사이에 구비되어 로드락 챔버(110)와 프로세스 튜브(130) 사이를 연결 또는 차단시키기 위한 셔터(136) 및 로드락 챔버(110) 및 프로세스 튜브(130)와 연결되어 압력 차이를 조절하기 위한 압력조절 라인(180)으로 구성된다.
로드락 챔버(110)는 밀폐된 형태로 이루어져 있는데. 이는 로드락 챔버(110)의 내부로 로딩되는 웨이퍼(W)가 가능한 산소와 접촉하는 것을 방지하기 위한 것이다. 다시 말하면, 웨이퍼(W)의 로딩시 대기중에 산소가 존재하는데 상기 산소와 웨이퍼(W)가 접촉하면서 생성되는 자연 산화막의 방지하기 위해 산소의 존재를 제거하기 위한 것이다.
로드락 챔버(110)의 일측면에는 웨이퍼(W)를 로드락 챔버(110)의 내부로 로딩하거나, 로드락 챔버(110)의 내로부터 웨이퍼(W)를 언로딩하기 위한 도어(112)가 형성된다. 도어(112)는 상기와 같이 웨이퍼(W)의 로딩 및 언로딩하는 동안을 제외하고는 웨이퍼(W)와 산소와의 접촉을 차단하기 위해 항상 닫힌 상태로 유지된다.
로드락 챔버(110)의 내부에는 복수의 웨이퍼(W)를 적재함과 동시에 웨이퍼(W)를 지지할 수 있는 석영 재질의 보트(114)(boat)가 내장 설치된다. 또한 보트(114)의 하부에는 프로세스 튜브(130) 내부의 온도를 일정하게 유지시키면서 동시에 보트(114)를 올려놓고 지지할 수 있는 보트 캡(boat cap, 116)이 구비된다. 여기서 보트 캡(116)의 내부에는 온도 보상용으로 복수의 방열판이 적층되어 내장된다.
로드락 챔버(110)에는 보트(114) 및 보트 캡(116)을 승강시켜 프로세스 튜브(130) 내부로 이송하거나, 보트(114) 및 보트 캡(116)을 하강시켜 로드락 챔버(110)로 이송하기 위한 지지 부재(118)가 구비되어 있다. 지지 부재(118)는 로드락 챔버(110)의 내부 일측에 수직으로 형성된 구동축(120)을 따라 수직 상하로 구동한다. 구동축(120)은 스크류 모양으로 회전을 통하여 지지 부재(118)를 상하로 이동시킨다.
구동축(120)에는 스테인리스 재질의 주름관(122)이 구동축(120)을 둘러싸도록 구비된다. 주름관(120)은 상부 주름관(120a)과 하부 주름관(120b)으로 나뉜다. 상부 주름관(120a)의 일단은 로드락 챔버(110)의 내측 상부면과, 타단은 구동축(120)과 연결되는 부위의 지지 부재(118)의 상부면과 각각 연결된다. 하부 주름관(120b)의 일단은 구동축(120)과 연결되는 부위의 지지 부재(118)의 하부면과, 타단은 로드락 챔버(110)의 내측 하부면과 각각 연결된다. 그러므로 로드락 챔버(110)의 진공 상태를 유지하면서 보트(114)를 상하로 이송할 수 있다. 또한 지지 부재(118)가 구동축(120)을 따라 이동하면서 발생되는 파티클이 로드락 챔버(110)를 오염시키는 것을 방지한다.
구동부(124)는 구동축(120)을 회전시켜 지지 부재(118)를 상하 이송시키기 위한 구동력을 제공한다. 구동부(124)는 로드락 챔버(110)의 외측 하부면에 구동축(120)과 연결되도록 구비된다. 구동부(124)는 모터인 것이 바람직하다.
프로세스 튜브(130)는 석영 재질로 이루어지고, 내부 프로세스 튜브(130a)와 외부 프로세스 튜브(130b)로 구분되어 소정 간격을 두고 수직방향으로 구비된다. 내부 프로세스 튜브(130a)는 상부와 하부가 각각 개방된 형태의 원통형이다. 반면에 외부 프로세스 튜브(130b)는 내부 및 외부 공기의 유입을 차단할 수 있도록 밀폐된 형태로 이루어져 있다.
히터(heater, 132)는 외부 프로세스 튜브(130b)의 둘레에 외벽체를 이루도록 구비되어 프로세스 튜브(130) 내부를 가열한다. 히터(132)에는 전기적인 가열 제어를 하기 위하여, 가열 제어장치가 접속되어 있다. 프로세스 튜브(130)의 처리온도는, 화학 기상 증착 처리에는 500-1000℃로, 또 산화처리나 확산처리에서는 800-1200℃로 설정되어 있다.
셔터(136)는 로드락 챔버(110)와 프로세스 튜브(130) 사이에 구비된다. 셔터(136)가 개방되면 로드락 챔버(110)와 프로세스 튜브(130)를 연결하게 되고, 이때 웨이퍼(W)가 적재된 보트(114)가 수직 상승하여 로드락 챔버(110)에서 프로세스 튜브(130)로 이송되거나, 보트(114)가 수직 하강하여 프로세스 튜브(130)에서 로드락 챔버(110)로 이송된다. 그 외의 경우에는 셔터(136)가 폐쇄되어 로드락 챔버(110)와 프로세스 튜브(130)는 차단된 상태를 유지한다.
로드락 챔버(110)의 저면에는 로드락 챔버(110) 내부로 퍼지 가스를 공급하기 위한 제1가스 공급관(126)이 형성되어 있다. 상기 퍼지 가스는 웨이퍼(W)가 산소와 접촉하여 자연 산화막을 형성하는 것을 방지하기 위한 것으로, 질소 가스인 것이 바람직하다. 제1가스 공급관(126)에는 퍼지 가스의 유량을 조절하기 위한 유량 조절기(mass flow controller, 128)가 설치되어 있다.
로드락 챔버(110)의 측면 하단부에는 제1배기구(142)가 형성되어 있고, 제1배기구(142)는 제1배기 라인(140)과 연결되고, 다시 제1배기 라인(140)은 펌프(170)와 연결된다. 제1배기 라인(140)에는 로드락 챔버(110) 내부의 압력을 측정하기 위한 제1게이지(144)가 설치된다. 제1게이지(144) 후단 지점의 제1배기 라인(140)에는 제1밸브(166)가 설치된다.
프로세스 튜브(130)의 일측면 하단부에는 프로세스 튜브(130) 내부로 공정 가스를 공급하기 위한 제2가스 공급관(134)이 형성되어 있다. 또한 프로세스튜브(130)도 로드락 챔버(110)와 마찬가지로 타측면 하단부에 제2배기구(162)가 형성되어 있고, 제2배기구(162)는 제2배기 라인(160)과, 제2배기 라인(160)은 다시 펌프(170)와 연결된다. 펌프(170)는 잔류가스를 희석하기 위한 드라이 스크러버(미도시)와 연결된다. 프로세스 튜브(130)의 제2배기구(162) 근처의 제2배기라인에는 프로세스 튜브(130)의 내부 압력을 측정하기 위한 바라트론 게이지(baratron gage, 164)가 설치된다. 바라트론 게이지(164)의 후단 지점의 제2배기 라인(160)에는 프로세스 튜브(130)의 압력을 측정하기 위한 제2게이지(166)가 설치된다. 제2배기 라인(160)의 일정 부분에는 우회 설치되는 밴트 라인(150)이 형성된다. 밴트 라인(150)에는 니들 밸브(152)가 설치된다. 밴트 라인(150)은 제2배기 라인(160)에 형성된 제2밸브(168)가 형성된 지점을 포함하여 우회 설치되는 것이 바람직하다. 펌프(170) 전방 근처의 제2배기 라인(160)에는 자동압력 제어기(auto pressure controller : APC, 미도시)가 설치됨은 자명하다. 여기서 바라트론 게이지(164)와 제1, 제2게이지(166)는 압력 측정 방식이 서로 다른 게이지이다.
로드락 챔버(110)와 프로세스 튜브(130)의 압력을 동일하게 맞추기 위해 이들 사이에 압력조절 라인(180)이 설치되고, 압력조절 라인(180)의 중간지점에 제3밸브(182)가 설치된다. 제1, 제2 및 제3밸브(146, 168, 182)는 에어밸브이다.
이와 같이 구성된 본 발명의 웨이퍼를 가공하기 위한 장치에서는 보트(114)의 이송을 위해 게이지들(144, 164, 166)과 밸브들(146, 152, 168, 182)을 이용하여 로드락 챔버(110)의 내부 압력과 프로세스 튜브(130)의 내부 압력을 상압 이상의 값으로 동일하게 만들지만, 실제적으로 이들 사이에 압력변동이 생길 가능성이높기 때문에 이를 방지하기 위해 압력조절 라인(180)에 제3밸브(182)를 추가로 서서히 개방함으로써 로드락 챔버(110)와 프로세스 튜브(130) 각각의 내부 압력을 실제적으로 동일한 값으로 만들어 줄 수가 있다. 제3밸브(182)는 에어밸브로 기체의 유량을 조절할 수 있는 기능을 추가로 가지고 있는 것이 바람직한데 이는 갑작스런 기체의 흐름을 방지할 수 있도록 하여 주기 위함이다. 따라서 프로세스 튜브(130)와 로드락 챔버(110) 사이의 셔터(136)를 개방하더라도 갑작스런 대기의 와류 발생을 억제하여 웨이퍼(W)의 파티클 오염을 방지할 수 있다.
도 2는 본 발명의 바람직한 일 실시예에 따른 웨이퍼를 가공하기 위한 방법을 설명하기 위한 흐름도이다.
도 2에 도시된 바를 참조하여 웨이퍼(W) 가공 방법을 설명하면, 우선 대기압 상태에서 로드락 챔버(110)의 일측에 형성되어 있는 도어(112)가 개방된다. 도어(112)를 통하여 웨이퍼(W)를 로드락 챔버(110) 내부에 구비된 보트(114)로 로딩한다.(S100)
보트(114)로의 웨이퍼(W) 로딩이 완료되면 도어(112)가 폐쇄된다. 대기압 상태에 있는 로드락 챔버(110)의 내부를 펌프(170)를 이용하여 진공 상태로 만든다.(S200)
진공 상태를 유지하고 있는 프로세스 튜브(130)의 내부 압력과 진공 상태가 된 로드락 챔버(110)의 내부 압력이 서로 다르다. 그러므로 바라트론 게이지(164), 제1게이지(144) 및 제2게이지(166)를 이용하여 프로세스 튜브(130)의 내부 압력과 로드락 챔버(110)의 내부 압력을 측정하고 제1밸브(166), 제2밸브(168), 니들밸브및 상기 자동 압력 제어기를 이용하여 프로세스 튜브(130)와 로드락 챔버(110) 각각의 내부 압력을 동일하게 한다. 그러나 실제적으로 프로세스 튜브(130)와 로드락 챔버(110)의 압력이 정확하게 일치하지 않고, 또 프로세스 튜브(130)와 로드락 챔버(110) 사이에 압력 변동이 생길 가능성이 높기 때문에 이를 방지하기 위해 압력조절 라인(180)의 제3밸브(182)를 추가로 서서히 개방한다. 따라서 로드락 챔버(110)와 프로세스 튜브(130) 각각의 내부 압력을 실제적으로 동일한 값으로 만든다.(S300)
이후 프로세스 튜브(130)와 로드락 챔버(110) 사이의 셔터(136)가 개방된다. 웨이퍼(W)들이 적재된 보트(114)를 지지 부재(118)의 수직 상향 이동에 의해 로드락 챔버(110)로부터 프로세스 튜브(130)로 이송한다. 웨이퍼(W)들이 적재된 보트(114)의 이송이 완료되면, 프로세스 튜브(130)와 로드락 챔버(110) 사이의 셔터(136)가 닫혀진다. 셔터(136)가 닫혀지면 압력조절 라인(180)의 제3밸브(182)는 차단된다. 프로세스 튜브(130)의 내부온도는 히터(132)의 가열에 의해 공정 처리에 적합한 온도로 유지된다. 이러한 상태에서 공정 가스가 제2가스 공급관(134)을 거쳐 프로세스 튜브(130)의 내부로 공급되기 시작하면 각각의 웨이퍼(W) 상에 열산화, 열확산, 화학 기상증착 및 어닐링 등의 열처리 공정이 진행되고, 공정 후의 미반응한 반응 가스나 반응 부산물이 펌프(170)에 의해 이미 개방된 제2밸브(168)와 니들밸브를 거쳐 제2배기 라인(160)으로 배기된 후 드라이 스크러버를 거쳐 배기 덕트로 배기된다. 이때, 제1밸브(166)가 차단되어 있어야 하는데 이는 제2배기라인을 거쳐 배기되는 반응부산물이나 미반응가스가 로드록 챔버로 역류되는 것을 방지하기 위함이다.(S400)
프로세스 튜브(130)에서의 공정 처리가 완료되고 나면,프로세스 튜브(130)의 내부로 공정 가스를 공급하는 제2가스 공급관(134)의 밸브를 차단하고 제2배기 라인(160)의 제2밸브(168) 및 니들 밸브(152)를 차단한다. 그후, 바라트론 게이지(164), 제1게이지(144) 및 제2게이지(166)를 이용하여 프로세스 튜브(130)의 내부 압력과 로드락 챔버(110)의 내부 압력을 측정하고 제1밸브(166), 제2밸브(168), 니들 밸브(152) 및 상기 자동 압력 제어기를 이용하여 프로세스 튜브(130)와 로드락 챔버(110) 각각의 내부 압력을 동일하게 한다. 그리고 압력조절 라인(180)의 제3밸브(182)를 서서히 개방하여 로드락 챔버(110)와 프로세스 튜브(130) 각각의 내부 압력을 실제적으로 동일한 값으로 만든다.(S500)
이후 프로세스 튜브(130)와 로드락 챔버(110) 사이의 셔터(136)가 다시 개방된다. 공정이 끝난 웨이퍼(W)들이 적재된 보트(114)를 지지 부재(118)의 수직 하향 이동에 의해 프로세스 튜브(130)로부터 로드락 챔버(110)로 이송한다.(S600)
보트(114)의 이송이 완료되면, 프로세스 튜브(130)와 로드락 챔버(110) 사이의 셔터(136)가 닫혀진다. 셔터(136)가 닫혀지면 압력조절 라인(180)의 제3밸브(182)는 차단된다. 이후, 로드락 챔버(110)의 내부로 퍼지 가스를 공급하는 제1가스 공급부(126)로부터 로드락 챔버(110) 내부로 질소(N2) 가스를 계속 유입시킨다. 상기 질소 가스는 로드락 챔버(110)의 내부 압력이 대기압 상태를 이룰 때까지 공급된다. 이때 제1밸브(146)는 닫힌 상태이므로 로드락 챔버(110)의 내부는 대기압 상태가 된다. 이때 로드락 챔버(110)의 도어(112)가 개방되고 공정이 끝난 웨이퍼(W)들을 보트(114)로부터 언로딩한다.(S700)
상술한 바와 같이, 본 발명의 바람직한 실시예에 따른 웨이퍼를 가공하기 위한 장치는 로드락 챔버 내부의 보트로 웨이퍼를 로딩한 후 상기 로드락 챔버를 진공으로 만들고 진공을 계속 유지하고 있는 프로세스 챔버로 바로 보트를 이송하여 공정을 진행한다. 공정 시간이 현저하게 단축되므로 생산성이 향상된다. 또한 진공 상태에서 공정을 진행하고 공정 시간도 줄어들어 웨이퍼가 공기와 접촉하는 시간이 줄어들게 된다. 따라서 웨이퍼 표면의 자연 산화막 형성을 방지한다.
상기 프로세스 챔버는 압력 변화가 거의 없고 상기 로드락 챔버의 압력 변화도 최소화되므로, 바라트론 게이지 및 기타 진공용 장치에 작용되는 압력 변화에 따른 영향을 줄일 수 있어 수명을 연장할 수 있다.
상기에서는 본 발명의 바람직한 실시예를 참조하여 설명하였지만, 해당 기술 분야의 숙련된 당업자는 하기의 특허 청구 범위에 기재된 본 발명의 사상 및 영역으로부터 벗어나지 않는 범위 내에서 본 발명을 다양하게 수정 및 변경시킬 수 있음을 이해할 수 있을 것이다.

Claims (5)

  1. 웨이퍼를 적재하기 위한 보트와 상기 보트를 이송하기 위한 이송 수단을 포함하는 로드락 챔버;
    상기 로드락 챔버와 연결되고, 상기 웨이퍼에 기 설정된 공정을 수행하기 위한 공정 가스가 제공되는 프로세스 튜브;
    상기 로드락 챔버와 상기 프로세스 튜브 사이에 구비되어 상기 로드락 챔버와 상기 프로세스 튜브 사이를 개폐하기 위한 셔터(shutter);
    상기 프로세스 튜브 및 상기 로드락 챔버와 연결되고, 상기 프로세스 튜브를 항상 일정한 진공 상태로 유지하며, 상기 보트가 상기 프로세스 튜브로 로딩되고 다시 상기 프로세스 튜브로부터 언로딩되는 동안 상기 로드락 챔버를 진공 상태로 만들기 위한 펌프; 및
    상기 로드락 챔버 및 상기 프로세스 챔버를 연결하며 압력 차이를 조절하기 위한 압력조절 라인을 포함하는 것을 특징으로 하는 웨이퍼를 가공하기 위한 장치.
  2. 제1항에 있어서, 상기 이송 수단은,
    상기 보트를 지지하기 위한 지지 부재;
    상기 로드락 챔버의 내부 일측에 수직으로 구비되고, 상기 지지 부재를 상하로 이동시키기 위한 구동축; 및
    상기 지지 부재를 이동시키기 위한 구동력을 제공하기 위한 구동부를 포함하는 것을 특징으로 하는 웨이퍼를 가공하기 위한 장치.
  3. 제2항에 있어서, 상기 구동부는 상기 로드록 챔버의 외부에 배치되어, 상기 로드록 챔버의 바닥을 통해 상기 구동축과 연결되며,
    상기 로드록 챔버의 진공 누설을 방지하기 위해 상기 구동축을 둘러싸도록 구비되는 주름관을 더 포함하는 것을 특징으로 하는 웨이퍼를 가공하기 위한 장치.
  4. 웨이퍼를 로드락 챔버 내부에 구비된 보트로 로딩하는 단계;
    대기압 상태의 상기 로드락 챔버를 진공 상태로 만드는 단계;
    상기 로드록 챔버의 압력과 진공 상태로 유지되는 프로세스 튜브의 압력을 동일하게 만드는 단계;
    상기 보트를 상기 프로세스 튜브로 이송하여 상기 웨이퍼를 가공하는 단계;
    상기 로드락 챔버의 압력과 상기 프로세스 튜브의 압력을 동일하게 만드는 단계;
    상기 보트를 상기 로드락 챔버로 이송하는 단계; 및
    상기 로드락 챔버에 퍼지 가스를 공급하여 상기 로드락 챔버를 대기압 상태로 만들고, 상기 보트로부터 상기 웨이퍼를 언로딩하는 단계를 포함하는 것을 특징으로 하는 웨이퍼를 가공하기 위한 방법.
  5. 제4항에 있어서, 상기 로드락 챔버와 상기 프로세스 튜브를 연결하는 압력조절 라인을 이용하여 상기 로드락 챔버의 압력과 상기 프로세스 튜브의 압력을 동일하게 만드는 것을 특징으로 하는 웨이퍼를 가공하기 위한 방법.
KR1020030012822A 2003-02-28 2003-02-28 웨이퍼를 가공하기 위한 장치 및 방법 KR20040077310A (ko)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020030012822A KR20040077310A (ko) 2003-02-28 2003-02-28 웨이퍼를 가공하기 위한 장치 및 방법

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020030012822A KR20040077310A (ko) 2003-02-28 2003-02-28 웨이퍼를 가공하기 위한 장치 및 방법

Publications (1)

Publication Number Publication Date
KR20040077310A true KR20040077310A (ko) 2004-09-04

Family

ID=37363230

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020030012822A KR20040077310A (ko) 2003-02-28 2003-02-28 웨이퍼를 가공하기 위한 장치 및 방법

Country Status (1)

Country Link
KR (1) KR20040077310A (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100749632B1 (ko) * 2006-08-28 2007-08-14 동부일렉트로닉스 주식회사 반도체 제조용 웨이퍼박막 형성장치
CN104620353A (zh) * 2012-06-27 2015-05-13 新意技术股份有限公司 处理腔室及基板处理装置

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100749632B1 (ko) * 2006-08-28 2007-08-14 동부일렉트로닉스 주식회사 반도체 제조용 웨이퍼박막 형성장치
CN104620353A (zh) * 2012-06-27 2015-05-13 新意技术股份有限公司 处理腔室及基板处理装置

Similar Documents

Publication Publication Date Title
US20190035654A1 (en) Substrate Processing Apparatus
TWI645487B (zh) Substrate processing apparatus and substrate processing method
JP6339057B2 (ja) 基板処理装置、半導体装置の製造方法、プログラム
US20100154711A1 (en) Substrate processing apparatus
KR100918005B1 (ko) 반도체 장치의 제조방법 및 기판처리장치
US7452826B2 (en) Oxidation method and oxidation system
JPWO2007018139A1 (ja) 半導体装置の製造方法および基板処理装置
KR100996689B1 (ko) 반도체장치의 제조방법, 막생성방법 및 기판처리장치
US5500388A (en) Heat treatment process for wafers
US8303712B2 (en) Substrate processing apparatus, method for manufacturing semiconductor device, and process tube
JP7214834B2 (ja) 半導体装置の製造方法、基板処理装置およびプログラム
US20050164518A1 (en) Oxidation method and oxidation system
US20230064868A1 (en) Substrate Processing Apparatus, Substrate Processing Method, Non-transitory Computer-readable Recording Medium and Method of Manufacturing Semiconductor Device
KR20040077310A (ko) 웨이퍼를 가공하기 위한 장치 및 방법
US10763137B2 (en) Substrate processing apparatus and method of manufacturing semiconductor device
JP2013045884A (ja) 基板処理装置
KR100499211B1 (ko) 반도체 장치의 제조 방법 및 기판 처리 장치
US20070128878A1 (en) Substrate processing apparatus and method for producing a semiconductor device
JP7375069B2 (ja) 基板処理装置、半導体装置の製造方法及びプログラム
US20240047233A1 (en) Substrate processing apparatus, method of manufacturing semiconductor device and non-transitory computer-readable recording medium
JP2005136370A (ja) 基板処理装置
JP2011204945A (ja) 基板処理装置および半導体装置の製造方法
JP2008171958A (ja) 半導体装置の製造方法
KR20070073367A (ko) 웨이퍼 처리 장치
CN114388413A (zh) 舟搬入方法和热处理装置

Legal Events

Date Code Title Description
WITN Withdrawal due to no request for examination