KR20030051765A - Etching of high aspect ratio features in a substrate - Google Patents

Etching of high aspect ratio features in a substrate Download PDF

Info

Publication number
KR20030051765A
KR20030051765A KR10-2003-7006046A KR20037006046A KR20030051765A KR 20030051765 A KR20030051765 A KR 20030051765A KR 20037006046 A KR20037006046 A KR 20037006046A KR 20030051765 A KR20030051765 A KR 20030051765A
Authority
KR
South Korea
Prior art keywords
substrate
chamber
gas
magnetic field
temperature
Prior art date
Application number
KR10-2003-7006046A
Other languages
Korean (ko)
Inventor
쿠마르아제이
칸애니설
아우예앨런
와덴스웨일러랄프
쿠마르아난다
샤핀마이클쥐
콜로덴코아놀드
포들레스니크드라간브이
Original Assignee
어플라이드 머티어리얼즈 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼즈 인코포레이티드 filed Critical 어플라이드 머티어리얼즈 인코포레이티드
Publication of KR20030051765A publication Critical patent/KR20030051765A/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3266Magnetic control means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • H01J2237/3343Problems associated with etching
    • H01J2237/3347Problems associated with etching bottom of holes or trenches

Abstract

기판 처리 챔버 (110) 는, 챔버에 가스를 제공하는 가스 공급기 (56), 가스를 에너자이징하기 위해 전기적으로 바이어스되는 제 1 및 제 2 전극 (115, 105), 및 가스를 배출하는 배출기 (110) 를 포함하며, 제 2 전극 (115) 은 약 10 watts/㎠ 이상의 전력 밀도로 하전되도록 구성되고 기판 (10) 을 수용하는 수용 표면 (147) 을 구비한다.The substrate processing chamber 110 includes a gas supply 56 for providing gas to the chamber, first and second electrodes 115 and 105 electrically biased to energize the gas, and an exhauster 110 for discharging the gas. Wherein the second electrode 115 is configured to be charged at a power density of at least about 10 watts / cm 2 and has a receiving surface 147 for receiving the substrate 10.

Description

기판내의 고 애스펙트 비 형성체의 에칭{ETCHING OF HIGH ASPECT RATIO FEATURES IN A SUBSTRATE}Etching of high aspect ratio non-formers in a substrate {ECHING OF HIGH ASPECT RATIO FEATURES IN A SUBSTRATE}

공정 가스 또는 그 플라즈마는 종종 기판 제조 시 챔버 내의 기판을 처리하기 위해 (process) 이용된다. 통상, 재료는 CVD (chemical vapor deposition), PVD (physical vapor deposition), 이온 주입법 (ion implantation), 산화 또는 환원 공정 등에 의해 기판 상에 형성된다. 그 후, 통상 층의 형상으로 형성되지만 다른 형상을 가질 수도 있는 기판 재료의 일부는 에칭 등에 의해 처리되어, 캐비티 (cavity), 채널 (channel), 홀 (hole) 또는 트렌치 (trench) 등으로 형상화된 에칭 형성체로 형성될 수 있다.Process gases or their plasmas are often used to process substrates in chambers during substrate manufacture. Typically, the material is formed on a substrate by chemical vapor deposition (CVD), physical vapor deposition (PVD), ion implantation, oxidation or reduction processes, or the like. Subsequently, a portion of the substrate material, which is usually formed in the shape of a layer but may have another shape, is processed by etching or the like to be shaped into a cavity, a channel, a hole, a trench, or the like. It may be formed into an etching body.

특히, 형성체가 작은 개구 크기를 갖는 경우, 기판 재료에 고 애스펙트 비 (aspect ratio) 을 갖는 형성체를 에칭하는 것은 어렵다. 통상, 보다 빠르고 효율적인 회로를 제공하려는 기술의 진보는 간격의 미세화를 필요로 하므로, 트렌치, 홀 또는 비아 등의 형성체의 애스펙트 비를 증가시킨다. 애스펙트 비는 형성체의 개구 크기에 대한 깊이의 비다. 고 애스펙트 비 형성체는 최소 약 20의 애스펙트 비를 가지며, 이 형성체의 개구 크기는 약 0.18 ㎛ 이하가 될 수도 있다. 그러나, 형성체의 측벽을 제어 불가능한 등방성 에칭하지 않고, 고 애스펙트 비 형성체 또는 작은 개구 형성체를 에칭하는 것은 어렵다. 등방성 에칭이란, 에칭된 형성체의 측벽이 형성체의 수직 에칭 속도를 초과하는 에칭 속도로 수평 방향으로 에칭되는 것을 의미하며, 이는 바람직하지 않은 형상을 갖는 형성체를 유발할 수 있다.In particular, when the formation has a small opening size, it is difficult to etch the formation having a high aspect ratio in the substrate material. Typically, advances in technology to provide faster and more efficient circuits require miniaturization of the spacing, thus increasing the aspect ratio of formations such as trenches, holes or vias. The aspect ratio is the ratio of the depth to the opening size of the formation. The high aspect ratio former has a aspect ratio of at least about 20, and the aperture size of the former may be about 0.18 μm or less. However, it is difficult to etch the high aspect ratio formation or the small opening formation without controlling the sidewalls of the formation with uncontrollable isotropic etching. Isotropic etching means that the sidewalls of the etched formation are etched in the horizontal direction at an etching rate that exceeds the vertical etching rate of the formation, which can lead to formations having undesirable shapes.

즉, 고 애스펙트 비 또는 작은 개구 크기를 갖는 형성체를 이방성으로 에칭하는 하는 것이 바람직하다. 또한, 기판에 걸쳐 제어 가능하고 일관성 있는 치수를 갖는 형성체로 에칭하는 것이 바람직하다. 또한, 기판이 생산 가치를 갖도록 에칭되는 것이 바람직하다.In other words, it is preferable to anisotropically etch the formation having a high aspect ratio or a small opening size. It is also desirable to etch into a formation having controllable and consistent dimensions over the substrate. It is also desirable for the substrate to be etched to have production value.

본 발명은 기판을 에칭하는 챔버 및 방법에 관한 것이다.The present invention relates to a chamber and a method for etching a substrate.

본 발명의 이와 같은 그리고 다른 특징, 태양, 및 잇점은 본 발명의 실시예를 설명하는 다음의 설명, 첨부된 청구 범위, 및 첨부된 도면에 의해 더욱 이해될 수 있다.These and other features, aspects, and advantages of the invention can be further understood by the following description, appended claims, and accompanying drawings that illustrate embodiments of the invention.

도 1a 및 도 1b는 기판 내의 고 애스펙트 비 형성체의 에칭 전후의 기판의 개략적인 측단면도이다.1A and 1B are schematic side cross-sectional views of a substrate before and after etching of a high aspect ratio formation in the substrate.

도 2는 전극, 자기장 발생기, 및 제어기를 갖는 처리 챔버를 나타내는 본 발명에 따른 장치의 개략 단면도이다.2 is a schematic cross-sectional view of an apparatus according to the present invention showing a processing chamber having an electrode, a magnetic field generator, and a controller.

도 3은 도 2의 자기장 발생기의 개략도이다.3 is a schematic diagram of the magnetic field generator of FIG. 2.

도 4는 챔버 리드 및 유체 순환 라이너를 나타내는 본 발명에 따른 처리 챔버의 다른 실시형태의 개략도이다.4 is a schematic representation of another embodiment of a processing chamber in accordance with the present invention showing the chamber lid and the fluid circulation liner.

도 5는 본 발명에 따른 챔버 리드의 부분 단면 평면도이다.5 is a partial cross-sectional plan view of a chamber lid in accordance with the present invention.

도 6은 도 5의 챔버 리드의 단면선 6-6에 따른 분해 측면도이다.6 is an exploded side view taken along the line 6-6 of the chamber lid of FIG.

도 7은 본 발명에 따른 챔버 리드의 다른 버전의 부분 단면 평면도이다.7 is a partial cross-sectional plan view of another version of a chamber lid according to the present invention.

도 8은 본 발명에 따른 챔버 라이너의 부분 단면 평면도이다.8 is a partial cross-sectional plan view of a chamber liner according to the present invention.

도 9는 도 8의 챔버 라이너의 단면선 9-9에 따른 부분 측단면도이다.9 is a partial side cross-sectional view along section line 9-9 of the chamber liner of FIG. 8.

도 10은 본 발명에 따른 처리 챔버의 다른 실시형태의 개략적인 부분 단면도이다.10 is a schematic partial cross-sectional view of another embodiment of a processing chamber according to the present invention.

도 11은 본 발명에 따른 컴퓨터 소프트웨어 프로그램의 블록도이다.11 is a block diagram of a computer software program according to the present invention.

도 12는 에칭 처리 시 전력을 증가시킴에 따른 제 2 전극의 온도의 상승을 나타내는 그래프이다.12 is a graph illustrating an increase in temperature of a second electrode as power is increased during an etching process.

도 13a는 전극에 인가되는 전력을 증가시킴에 따른 에칭된 형성체의 폭 및 임계 치수의 변화를 나타내는 그래프이다.FIG. 13A is a graph showing changes in width and critical dimensions of etched formation with increasing power applied to the electrode. FIG.

도 13b는 전극에 인가되는 전력을 증가시킴에 따른 에칭된 형성체의 폭 및 임계 치수의 변화를 나타내는 그래프이다.FIG. 13B is a graph showing changes in width and critical dimensions of etched formation with increasing power applied to an electrode. FIG.

도 13c는 전극에 인가되는 전력을 증가시킴에 따른 에칭 처리의 에칭 속도 및 에칭 선택성의 변화를 나타내는 그래프이다.FIG. 13C is a graph showing changes in etching rate and etching selectivity of an etching process with increasing power applied to the electrode. FIG.

도 14a는 자기장 세기를 증가시킴에 따른 에칭된 형성체의 폭 및 임계 치수의 변화를 나타내는 그래프이다.14A is a graph showing changes in width and critical dimensions of etched formation as increasing magnetic field strength.

도 14b는 자기장 세기를 증가시킴에 따른 에칭된 형성체의 에칭 속도 및 애스펙트 비의 변화를 나타내는 그래프이다.FIG. 14B is a graph showing changes in etch rate and aspect ratio of etched formations with increasing magnetic field strength. FIG.

도 14c는 자기장 세기를 증가시킴에 따른 에칭 처리의 에칭 속도 및 에칭 선택성의 변화를 나타내는 그래프이다.14C is a graph showing changes in etch rate and etch selectivity of an etch process with increasing magnetic field strength.

본 발명은 이러한 필요성을 만족시킨다. 일 태양에서, 본 발명은, 챔버에 가스를 제공하는 가스 공급기, 가스를 에너자이징 (energizing) 하기 위해 전기적으로 바이어스되는 제 1 및 제 2 전극, 및 가스를 배출하는 배출기를 포함하고, 제 2 전극은 약 10 watts/㎠ 이상의 전력 밀도로 하전되도록 구성 (adapt) 되고 기판이 수용되는 수용 표면을 포함한다.The present invention satisfies this need. In one aspect, the invention includes a gas supply for providing a gas to a chamber, first and second electrodes electrically biased to energize the gas, and an ejector for exhausting the gas, wherein the second electrode comprises: And a receiving surface adapted to be charged to a power density of at least about 10 watts / cm 2 and in which the substrate is received.

본 발명의 다른 태양은, 처리 영역에 기판을 제공하는 단계, 처리 영역으로 가스를 주입하는 단계, 기판 하부의 전극으로 약 10 watts/㎠ 이상의 전력 밀도로 전기 에너지를 인가하여 가스를 에너자이징하는 단계, 및 가스를 배출하는 단계를 포함하는 기판 처리 방법이다.Another aspect of the invention includes providing a substrate in a processing region, injecting gas into the processing region, energizing the gas by applying electrical energy at a power density of at least about 10 watts / cm 2 to an electrode below the substrate, And discharging the gas.

본 발명의 또 다른 태양에서, 기판 에칭 챔버는, 기판 지지체, 챔버에 가스를 제공하는 가스 제공기 및 챔버에 가스를 배출하는 배출기, 가스를 에너자이징하기 위해 전기적으로 바이어스될 수 있는 제 1 및 제 2 전극, 챔버에 약 100 Gauss 이상의 자기장을 제공하도록 구성되는 자기장 발생기, 및 기판 및 챔버 표면의 온도를 제어하도록 구성되는 온도 제어 시스템을 포함하고, 제 2 전극은 약 200 mm의 직경을 갖는 기판에 대해 약 3200 watts이상의 전력으로 하전될 수 있도록 구성된다.In another aspect of the invention, a substrate etch chamber includes a substrate support, a gas provider for providing gas to the chamber and an ejector for discharging the gas to the chamber, the first and second being electrically biased to energize the gas. An electrode, a magnetic field generator configured to provide a magnetic field of at least about 100 Gauss to the chamber, and a temperature control system configured to control the temperature of the substrate and the chamber surface, the second electrode being configured for a substrate having a diameter of about 200 mm. It is configured to be able to charge with more than about 3200 watts of power.

본 발명의 다른 태양은, 챔버의 처리 영역에 기판을 제공하는 단계, 처리 영역으로 가스를 주입하는 단계, 약 200 mm 직경을 갖는 기판에 대해 약 3200 watts 이상의 전력의 전기 에너지에 가스를 결합시킴으로써 가스를 에너자이징하는 단계, 챔버에 약 100 Gauss 이상의 자기장을 인가하는 단계, 기판 및 챔버의 표면 온도를 제어하는 단계, 및 가스를 배출하는 단계를 포함하는 기판 에칭 방법을 포함한다.Another aspect of the present invention provides a method of providing a substrate in a processing region of a chamber, injecting gas into the processing region, coupling the gas to electrical energy of at least about 3200 watts for a substrate having a diameter of about 200 mm. Energizing the substrate, applying a magnetic field of at least about 100 Gauss to the chamber, controlling the substrate and surface temperature of the chamber, and evacuating the gas.

본 발명의 다른 태양은, 약 30 이상의 애스펙트 비 및 약 0.14 Φm 미만의 개구 크기를 갖는 에칭된 형성체를 포함하는 기판을 포함한다.Another aspect of the invention includes a substrate comprising an etched formation having an aspect ratio of at least about 30 and an aperture size of less than about 0.14 Φm.

본 발명은, 도 1a에 예로서 도시한 바와 같이, 실리콘, 화합물 반도체, 또는 유전체를 구비할 수 있는 하부에 위치되는 기재 (12); 및 기재 (12) 상에 폴리실리콘, 유전체 또는 전도성 재료 등의 하나 이상의 재료 (22, 24, 26) 를 포함하는 기판 (10) 을 처리하기 위해 이용된다. 도 1b에 실시예로서 나타낸 바와 같이, 기판 (10) 은 에칭되어, 재료 (22, 24) 에 트렌치, 홀 또는 비어 등의 고 애스펙트 비 형성체 (29) 가 형성된다. 예를 들면, 홀 및 비어는 실리콘, 폴리실리콘, 또는 유전체 재료에서 에칭될 수 있고, 다른 실시예로서, 트렌치는 알루미늄, 구리 및 금속 실리사이드 등의 금속 또는 금속간 화합물 등의 전도성 재료, 또는, 실리콘 디옥사이드, 실리콘 질화물 또는 저 유전 재료 (low k dielectric material) 등의 유전체 재료에서 에칭될 수 있다. 에칭을 원하지 않는 기판 (10) 의 일 영역을 보호하기 위해 포토레지스트 재료 (28) 등의 재료가 이용될 수 있다.The present invention includes a substrate 12 positioned below which may be provided with silicon, a compound semiconductor, or a dielectric, as shown by way of example in FIG. 1A; And one or more materials 22, 24, 26, such as polysilicon, dielectric or conductive material, on the substrate 12. As shown in the example in FIG. 1B, the substrate 10 is etched to form high aspect ratio forming bodies 29 such as trenches, holes, or vias in the materials 22, 24. For example, the holes and vias may be etched in silicon, polysilicon, or dielectric material, and in another embodiment, the trench may be a conductive material, such as a metal or an intermetallic compound, such as aluminum, copper, and metal silicide, or silicon. It may be etched in a dielectric material such as dioxide, silicon nitride or a low k dielectric material. Materials such as photoresist material 28 can be used to protect one area of the substrate 10 that is not etched away.

본 발명은, 특히, 형성체 (29) 가 고 애스펙트 비를 갖는 경우, 기판 (10) 내에 형성체 (29) 를 에칭하기에 유용하다. 예를 들면, 기재 (12) 상에 하나이상의 재료를 포함하는 기판 (10) 은 에칭되어 약 30 이상, 및 심지어 약 45 이상의 애스펙트 비를 갖는 에칭된 형성체 (29) 가 형성된다. 또한, 이 형성체 (29) 는 약 0.17 ㎛ 미만의 개구 크기로 에칭될 수도 있으며, 심지어 약 0.14 ㎛ 또는 0.10 ㎛ 미만일 수도 있다. 작은 개구 크기를 갖는 형성체 (29) 의 에칭은 개구 크기가 에칭된 형성체의 임계 치수인 경우 특히 유용하다. 또한, 형성체 (29) 는 약 8 ㎛ 이상의 깊이로 에칭될 수 있다. 에칭 처리 동안 형성될 수 있는 보호 측벽 증착물 (30) 은 형성체 (29) 의 측벽이 등방성 에칭되는 것으로부터 보호한다. 형성체가 에칭되는 속도는 약 0.8 Φm/mim 이상이 될 수 있다.The present invention is particularly useful for etching the formed body 29 in the substrate 10 when the formed body 29 has a high aspect ratio. For example, the substrate 10 comprising one or more materials on the substrate 12 is etched to form an etched formation 29 having an aspect ratio of about 30 or more, and even about 45 or more. In addition, the formation 29 may be etched with an opening size of less than about 0.17 μm, and may even be less than about 0.14 μm or less than 0.10 μm. Etching of formation 29 having a small opening size is particularly useful when the opening size is the critical dimension of the etched formation. In addition, the formed body 29 may be etched to a depth of about 8 μm or more. Protective sidewall deposits 30 that may be formed during the etching process protect the sidewalls of the formed body 29 from isotropic etching. The rate at which the formations are etched may be at least about 0.8 [phi] m / mim.

기판 (10) 을 처리하기에 적합한 본 발명에 따른 장치 (50) 예가 도 2에 도시된다. 통상, 장치 (50) 는, 챔버 볼륨 (110) 을 한정하고, 원형의 측벽 (106), 하부벽 (108), 리드 어셈블리 (102) 등의 하나 이상의 벽 (52) 을 갖고, 하나 이상의 라이너 (liner)(104) 를 포함하는 처리 챔버 (100) 를 포함한다. 통상, 챔버 볼륨 (110) 은 처리 영역 (112) 및 펌핑 영역 (114) 으로 분리된다. 챔버 (100) 의 연속적인 원형 표면을 제공하고 공압식 모터 (72) 를 통해 수직으로 작동되는 슬릿 밸브 도어 (slit valve door)(70) 를 갖는 슬릿 개구부 (139) 를 통해, 챔버 (100) 안팎으로 기판 (10) 을 이송하는 로봇 (53) (도 2의 점선) 이 이용될 수 있다. 본 발명을 예시적인 장치 (50) 를 참조하여 설명하였지만, 이러한 설명은 다른 기판을 에칭하거나 PVD 또는 CVD에 의해 기판 (10) 상에 재료를 증착하거나 또는 기판 (10) 상에 재료를 주입하는 다른 장치 구성에도 적용될 수 있는것으로 이해해되어야 한다.An example of a device 50 according to the invention suitable for processing a substrate 10 is shown in FIG. 2. Typically, the device 50 defines a chamber volume 110 and has one or more walls 52, such as a circular sidewall 106, a bottom wall 108, a lid assembly 102, and one or more liners ( a process chamber 100 comprising a liner 104. Typically, chamber volume 110 is separated into treatment region 112 and pumping region 114. In and out of the chamber 100 through a slit opening 139 having a slit valve door 70 that provides a continuous circular surface of the chamber 100 and is operated vertically through a pneumatic motor 72. A robot 53 (dashed line in FIG. 2) for transporting the substrate 10 may be used. Although the present invention has been described with reference to an exemplary apparatus 50, this description is directed to etching other substrates, depositing material on the substrate 10 by PVD or CVD, or injecting material onto the substrate 10. It should be understood that the device configuration can be applied.

가스 소오스 (97), 밸브 (101) 를 갖는 하나 이상의 가스 라인 (103), 및 가스 분배기 (111) 를 포함하는 가스 공급기 (56) 를 통해 처리 가스 등의 가스를 챔버 (100) 에 주입한다. 가스 분배기 (111) 는, 가스가 가스 분배기 (111) 를 출입할 수 있도록 가스 출구 (98) 를 갖는 가스 분배 플레이트 (113) 를 포함할 수 있으며, 가스 분배 플레이트 (113) 는 또한 전극으로 작용한다. 제어기 (160) 는 처리 가스의 유량, 가스 압력, 및 다른 처리 챔버 기능을 제어하기 위해 이용될 수 있다. 소모된 처리 가스 및 부산물은, 챔버 (100) 내의 가스 압력을 적절한 레벨, 통상, 약 5 mTorr 내지 약 10 mTorr로 제어하기 위해 이용되는 쓰로틀 밸브 (throttle valve)(60) 가 개재되어 하나 이상의 배출 펌프 (109) 와 연결되는 배출기 (114) 를 통해 배출될 수 있다.Gas, such as process gas, is injected into the chamber 100 through a gas source 97, one or more gas lines 103 having a valve 101, and a gas supplier 56 including a gas distributor 111. The gas distributor 111 may include a gas distribution plate 113 having a gas outlet 98 to allow gas to enter and exit the gas distributor 111, which also serves as an electrode. . Controller 160 may be used to control the flow rate, gas pressure, and other process chamber functions of the process gas. The spent process gas and by-products may include one or more discharge pumps via a throttle valve 60 used to control the gas pressure in the chamber 100 to an appropriate level, typically from about 5 mTorr to about 10 mTorr. It may be discharged through an ejector 114 connected with 109.

본 발명의 일 태양에서, 펌핑 속도는 에칭된 형성체의 측벽과 챔버 벽들 상에 형성되는 처리 잔류물의 형성에 영향을 주며, 이는 기판 (10) 의 고 애스펙트 비의 에칭 품질에 영향을 주는 것으로 발견되었다. 펌핑 속도의 증가는 챔버 볼륨 내의 초과 잔류물 형성 스페시즈를 효과적으로 제거함으로써, 에칭된 형성체의 측벽 상의 초과 처리 잔류물의 형성을 감소시키는 것으로 생각된다. 깨끗하게 에칭된 형성체의 측벽 상에 처리 잔류물을 최적의 두께로 증착하는 것은, 이 형성체 측벽의 초과적인 이방성 에칭없이 기판으로 수직한 에칭이 진행되도록 한다. 그러나, 특히, 작은 개구 크기를 갖고 매우 깊은 고 애스펙트 비 형성체의 경우, 초과 잔류물 형성은 에칭을 방해하거나 정지시킬 수 있다. 즉, 일 버전에서는,배출기 (114) 에 충분하게 빠른 펌핑 속도를 갖는 하나 이상의 펌프가 포함됨으로써, 기판 (10) 및 챔버 (100) 의 다른 표면으로의 처리 잔류물의 추가 증착이 감소된다. 예를 들면, 배출기 (114) 는 챔버 (100) 로부터 약 25L의 챔버 볼륨에 대해 약 1600L/s 이상의 속도로 배출 가스를 배출할 수 있는 펌프 (109) 를 포함할 수 있다. 예를 들면, 일 버전에서, 펌프 (109) 는 약 25L의 챔버 볼륨에 대해 약 1600 내지 1800L/s의 총 펌핑 용량 및 펌핑 속도를 갖는다.In one aspect of the invention, the pumping rate affects the formation of processing residues formed on the sidewalls and chamber walls of the etched formation, which are found to affect the etch quality of the high aspect ratio of the substrate 10. It became. Increasing the pumping rate is believed to reduce the formation of excess treatment residue on the sidewalls of the etched formation by effectively removing excess residue forming species in the chamber volume. Deposition of the processing residue to an optimal thickness on the sidewalls of the cleanly etched formation allows the etching to proceed vertically to the substrate without excess anisotropic etching of the formation sidewalls. However, especially for high aspect ratio formations having a small opening size and very deep, excess residue formation can interfere or stop etching. That is, in one version, the ejector 114 includes one or more pumps with sufficiently fast pumping speeds, thereby reducing further deposition of treatment residues on the substrate 10 and other surfaces of the chamber 100. For example, the discharger 114 may include a pump 109 capable of withdrawing exhaust gas at a rate of about 1600 L / s or more for a chamber volume of about 25 L from the chamber 100. For example, in one version, the pump 109 has a total pumping capacity and pumping speed of about 1600-1800 L / s for a chamber volume of about 25L.

RF 또는 마이크로웨이브 에너지와 같은 전자기적 에너지가 가스에 결합된, 플라즈마 등의 에너자이징 가스는, 가스 에너자이저 (141) 에 의해 발생될 수 있다. 예를 들면, 가스 에너자이저 (141) 는 챔버 (100) 에서 가스를 에너자이징하기 위해 서로 전기적으로 바이어스되는 제 1 및 제 2 전극 (115, 105) 을 포함할 수 있다. 제 1 전극 (115) 은 챔버 (100) 의 천정 또는 측벽일 수도 있다. 제 2 전극 (105) 은 기판 지지체 (124) 의 기판 (10) 하부에 있다. 통상, 제 2 전극 (105) 은 알루미늄, 구리, 금, 몰리브데늄 (molibdenium), 탄탈륨 (tantalum), 티타늄, 텅스텐, 및 그 합금과 같은 금속 등의 도전성 재료로 이루어진다. 몰리브데늄은 우수한 열 전도성 및 비산화 (non-oxidizing) 분위기에서의 부식에 대한 우수한 저항을 갖는다. 통상, 제 2 전극 (105) 은 기판 (10) 내의 형상 및 크기에 따라 평탄화, 형상화되고, 그 크기가 조절된다. 예를 들면, 제 2 전극 (105) 은 전체 기판 (10) 하부로 연장되는 도전성 와이어 (미도시) 메쉬일 수도 있다. 제 2 전극 (105) 은, RF 에너지 등의 전자기적 에너지가 침투될 수 있는 유전체 (55) 로 피복되어, 전극 (105) 에 인가되는 에너지와 챔버(100) 내의 가스가 결합됨으로써, 가스의 플라즈마를 유지하고 에너자이징한다. 유전체 (55) 의 적어도 일부분은 전극 (105) 을 피복하고, 다른 부분은 전극 (105) 을 부분적으로 둘러싸거나 전체적으로 봉합한다.An energizing gas, such as a plasma, in which electromagnetic energy such as RF or microwave energy is coupled to the gas, may be generated by the gas energizer 141. For example, the gas energizer 141 may include first and second electrodes 115, 105 that are electrically biased with each other to energize the gas in the chamber 100. The first electrode 115 may be a ceiling or sidewall of the chamber 100. The second electrode 105 is below the substrate 10 of the substrate support 124. Usually, the second electrode 105 is made of a conductive material such as aluminum, copper, gold, molybdenum, tantalum, titanium, tungsten, and metals such as alloys thereof. Molybdenum has good thermal conductivity and good resistance to corrosion in non-oxidizing atmospheres. Usually, the second electrode 105 is flattened and shaped according to the shape and size in the substrate 10, and its size is adjusted. For example, the second electrode 105 may be a conductive wire (not shown) mesh extending below the entire substrate 10. The second electrode 105 is covered with a dielectric 55 through which electromagnetic energy such as RF energy can penetrate, so that the energy applied to the electrode 105 and the gas in the chamber 100 are combined to form a plasma of the gas. Maintain and energize At least a portion of the dielectric 55 covers the electrode 105, and the other portion partially surrounds or totally encloses the electrode 105.

제 1 및 제 2 전극 (115, 105) 은, 선택된 주파수 범위의 제 2 전극 (105) 의 로드 임피던스와 전압 공급기 (150) 의 임피던스를 거의 동일하게 매칭하는 임피던스 매칭 회로 (151) 를 통해 전극 전압 공급기 (150) 에 의해 제공되는 RF 전압에 의해 전기적으로 바이어스된다. 전극 (115, 105) 으로 인가되는 RF 전압의 주파수는 약 50 KHz 내지 약 60 MHz일 수 있다. 또한, 전압 공급기 (150) 는 DC 척킹 (chucking) 전압을 전극 (105) 에 제공하도록 이용되어, 기판 (10) 을 고정하는 전극에 정전기적 전하를 형성할 수도 있다. 통상, DC 전압은 약 10 내지 약 2000V일 수 있다. 또한, 전압 공급기 (150) 는 전극 (105) 의 동작을 제어할 수 있는 제어기 (160) 에 의해 제어될 수 있다. 실시예의 가스 에너자이저는 당업자에게 명백한 다른 챔버 버전으로 니티낼 수도 있으며, 가스 에너자이저 (141) 는 RF 에너지가 챔버에 또는 마이크로파 가이드 (미도시) 를 통해 마이크로파 발생기가 챔버에 유도 결합된 하나 이상의 코일을 갖는 유도 안테나 (미도시) 등의 다른 버전를 포함할 수도 있다. 또한, 제 2 전극 (105) 은 통상의 DC 전압에 의해 기판을 정전기적으로 고정하기 위해 전기적으로 하전되도록 구성될 수 있다.The first and second electrodes 115, 105 are provided with an electrode voltage via an impedance matching circuit 151 that matches the load impedance of the second electrode 105 in the selected frequency range with the impedance of the voltage supply 150 approximately equally. Electrically biased by the RF voltage provided by the feeder 150. The frequency of the RF voltage applied to the electrodes 115 and 105 may be from about 50 KHz to about 60 MHz. The voltage supply 150 may also be used to provide a DC chucking voltage to the electrode 105 to form an electrostatic charge on the electrode that secures the substrate 10. Typically, the DC voltage may be about 10 to about 2000 volts. In addition, the voltage supply 150 can be controlled by a controller 160 that can control the operation of the electrode 105. The gas energizer of an embodiment may be housed in other chamber versions apparent to those skilled in the art, and the gas energizer 141 has one or more coils in which RF energy is inductively coupled to the chamber by a microwave generator or through a microwave guide (not shown). Other versions, such as inductive antennas (not shown), may be included. In addition, the second electrode 105 may be configured to be electrically charged to electrostatically fix the substrate by a conventional DC voltage.

본 발명의 또 다른 태양에서, 제 2 전극 (105) 및 피복 유전체 (55) 는 제 2 전극 (105) 이 기판 (14) 의 단위 면적당 전극 (105) 으로 인가되는 전력인 전력밀도가 높게 하전되도록 구성되어, 기판 (14) 의 애스펙트 비 형성체의 양호한 에칭을 제공한다. 전기적으로 바이어스되는 제 2 전극 (105) 은 기판 (10) 의 평면에 대해 실질적으로 수직인 적어도 일부의 전기장 벡터 성분을 발생시킨다. 에너자이징 가스의 하전된 플라즈마 이온은 이러한 수직-배향된 필드 성분에 의해 가속되어 기판 (10) 상에 강력하게 침투된다. 제 2 전극 (105) 의 전력 밀도의 크기가 증가됨에 따라, 식별되는 운동 에너지는 상승하고, 하전되는 플라즈마 이온으로 직접 전달된다. 운동 에너지가 증가함에 따라 플라즈마 이온은 고 애스펙트 비 형성체 (29) 를 보다 효과적으로 에칭할 수 있고, 형성체 (29) 의 치수를 보다 잘 제어할 수 있다. 일 버전에서, 제 2 전극 (105) 및 피복 유전체 (55) 는 약 10 watts/㎠ 이상의 전력 밀도를 지속하도록 구성된다. 약 20 cm (200 mm) 의 직경을 갖는 기판 (10) 에 대해, 제 2 전극 (105) 에 인가되는 적절한 전력 레벨은 약 3200 watts 이상의 전력 레벨이며; 약 30 cm (300 mm) 의 직경을 갖는 기판에 대해, 적절한 전력 레벨은 약 7000 watts 이상이다.In another aspect of the present invention, the second electrode 105 and the covering dielectric 55 are charged such that the power density is high, which is the power applied by the second electrode 105 to the electrode 105 per unit area of the substrate 14. It is configured to provide good etching of the aspect ratio former of the substrate 14. The electrically biased second electrode 105 generates at least some electric field vector component that is substantially perpendicular to the plane of the substrate 10. Charged plasma ions of the energizing gas are accelerated by this vertically-oriented field component and strongly penetrate the substrate 10. As the magnitude of the power density of the second electrode 105 increases, the kinetic energy identified rises and is transferred directly to the charged plasma ions. As the kinetic energy increases, the plasma ions can more effectively etch the high aspect ratio former 29 and can better control the dimensions of the former 29. In one version, the second electrode 105 and the covering dielectric 55 are configured to sustain a power density of at least about 10 watts / cm 2. For a substrate 10 having a diameter of about 20 cm (200 mm), the appropriate power level applied to the second electrode 105 is at least about 3200 watts; For substrates having a diameter of about 30 cm (300 mm), suitable power levels are at least about 7000 watts.

제 2 전극 (105) 을 피복하는 유전체 (55) 는 조성 및 두께가 조절되어 주변의 플라즈마 또는 다른 챔버 구성 요소으로의 초과 전류 리크없이 이와 같은 고 전력 레벨을 견디도록 구성된다. 예를 들면, 일 버전에서, 유전체 (55) 는 약 1×109ohms-cm 내지 약 1×1013ohms-cm 또는 약 1×1010ohms-cm 내지 약 1×1012ohms-cm의 실온 저항을 제공하도록 제조된다. 특히, 고 애스펙트 비 에칭 처리에서 필요한 약 50 에서 90 EC으로 상승되는 처리 온도에서, 이러한 저항값은 유전체 (55) 의 성능을 향상시킴으로써 제 2 전극 (105) 으로 인가되는 전력 레벨이 더욱 높게 유지하도록 한다. 적절한 유전체 (55) 두께는 약 0.02 mm 내지 약 2.00 mm로 결정되고, 0.05 mm 내지 1.00 mm일 수도 있다.The dielectric 55 covering the second electrode 105 is configured so that its composition and thickness are adjusted to withstand such high power levels without excess current leakage to the surrounding plasma or other chamber components. For example, in one version, dielectric 55 has a room temperature of about 1 × 10 9 ohms-cm to about 1 × 10 13 ohms-cm or about 1 × 10 10 ohms-cm to about 1 × 10 12 ohms-cm It is made to provide resistance. In particular, at processing temperatures that rise from about 50 to 90 EC, which is required for high aspect ratio etching processes, this resistance value improves the performance of the dielectric 55 so that the power level applied to the second electrode 105 is maintained higher. do. Suitable dielectric 55 thickness is determined from about 0.02 mm to about 2.00 mm, and may be 0.05 mm to 1.00 mm.

유전체 (55) 는, 알루미늄 산화물, 알루미늄 질화물, 보론 질화물, 보론 탄화물 (carbide), 탄소, 코디어라이트 (cordierite), 세륨 (cerium) 산화물, 다이아몬드, 뮬라이트 (mulite), 실리콘, 실리콘 탄화물, 실리콘 질화물, 실리콘 산화물, 티타늄 산화물, 티타늄 붕산화물 (boride), 티타늄 탄화물, 이리듐 산화물, 지르코늄 산화물, 및 이들의 혼합물과 화합물 등의 세라믹 재료로 이루어질 수 있다. 예를 들면, 알루미늄 질화물은 약 80 내지 약 240 watts/mK의 높은 열 전도성, 양호한 열 전달 속도, 및 양호한 내부식성을 제공한다. 알루미늄 질화물의 저항값은 약 0.5 내지 5.0wt%의 도펀트 재료를 미량 첨가함으로써 소정의 레벨로 제어될 수 있다. 또한, 유전체 (55) 는, 동결성형 (freeze casting), 사출성형 (injection molding), 압력-형성 (pressure-forming), 열 스프레이 (thermal spraying), 또는 소결 (sintering) 에 의해, 제 2 전극 (105) 을 둘러싸는 모노리스 (monolith) 로 제조될 수 있다. 예를 들면, 세라믹 재료를 상승된 온도에서 가압하여, 10% 미만의 기공율을 갖는 코히어런트 매스 (coherent mass) 로 형성할 수 있다. 예를 들면, 미국 특허 출원 제 08/965,690 호에 개시된 오토클레이브 (autoclave), 플래튼 프레스 (platen press), 및 등압 압축 성형을 포함하는 적절한 압력 형성 장치가 1997년 11월 6일에 출원되었으며, 이는 참조로서 전체에 포함된다.Dielectric 55 includes aluminum oxide, aluminum nitride, boron nitride, boron carbide, carbon, cordierite, cerium oxide, diamond, mullite, silicon, silicon carbide, silicon nitride , Ceramic materials such as silicon oxide, titanium oxide, titanium boride, titanium carbide, iridium oxide, zirconium oxide, and mixtures and compounds thereof. For example, aluminum nitride provides high thermal conductivity, good heat transfer rate, and good corrosion resistance of about 80 to about 240 watts / mK. The resistance value of aluminum nitride can be controlled to a predetermined level by adding a trace amount of dopant material of about 0.5 to 5.0 wt%. In addition, the dielectric 55 may be formed by the second electrode (by freeze casting, injection molding, pressure-forming, thermal spraying, or sintering). 105 may be made of a monolith that surrounds it. For example, the ceramic material may be pressurized at elevated temperature to form a coherent mass having a porosity of less than 10%. For example, a suitable pressure forming device, including autoclave, platen press, and isostatic compression molding disclosed in US patent application Ser. No. 08 / 965,690, was filed on November 6, 1997, It is incorporated by reference in its entirety.

처리 영역 (112) 의 플라즈마 이온 밀도 및 이온 에너지는 근접하게 이격된 제 1 및 제 2 전극 (115, 105) 에 의해 더욱 향상될 수 있다. 제 2 전극 (105) 이 제 1 전극 (115) 와 비교적 짧은 거리로 이격된 경우, 이들 사이의 가스 경로의 저항이 감소되므로, 2개의 전극 사이의 전기장 벡터 크기는 비교적 증가된다. 그 결과, 근접하게 이격된 전극 (115, 105) 은 보다 효과적으로 챔버 (100) 내의 가스에 에너지를 결합시킨다. 또한, 전극 (115, 105) 들 사이의 짧은 이격 거리로 인해 플라즈마 이온의 식별되는 운동 에너지가 증가될 수 있다. 또한, 전극 간격의 감소는 기판 (10) 에 걸친 처리 가스의 흐름을 보다 평탄하게 하고 (laminar), 불안함 (turbulent) 이 감소되도록 하여, 기판 표면에 보다 균일한 처리를 제공할 수 있다. 따라서, 일 버전에서, 제 1 및 제 2 전극 (115, 105) 은 실질적으로 박판 형상인 플로우를 유지하기에 충분하게 적은 소정의 거리로 이격되며, 이격된 거리는 약 5 cm 미만 및 약 1 cm 내지 3 cm이 될 수 있다. 소망의 간격을 달성하기 위해, 제 2 전극 (105) 을 상승시키거나 또는 제 1 전극 (115) 을 하강시킬 수 있다.The plasma ion density and ion energy of the treatment region 112 may be further improved by the closely spaced first and second electrodes 115, 105. When the second electrode 105 is spaced at a relatively short distance from the first electrode 115, the resistance of the gas path therebetween is reduced, so that the electric field vector size between the two electrodes is relatively increased. As a result, the closely spaced electrodes 115, 105 more effectively couple energy to the gas in the chamber 100. In addition, the identified kinetic energy of the plasma ions can be increased due to the short separation distance between the electrodes 115, 105. In addition, the reduction in electrode spacing can make the flow of the processing gas across the substrate 10 more smooth and turbulent, thereby providing a more uniform treatment on the substrate surface. Thus, in one version, the first and second electrodes 115, 105 are spaced at a predetermined distance small enough to maintain a substantially laminar flow, with the spaced distance being less than about 5 cm and from about 1 cm to It can be 3 cm. To achieve the desired spacing, the second electrode 105 can be raised or the first electrode 115 can be lowered.

챔버 (100) 내의 자기장의 세기를 증가시키는 것은 기판 (10) 내의 고 애스펙트 비 형성체 (29) 의 에칭을 더욱 향상시킬 수 있다. 자기장 세기는 기판 (10) 내의 깨끗하게 (freshly) 에칭된 형성체 (29) 상에 에칭된 보호 측벽 증착물 (30) 에 영향을 미치는 것으로 생각된다. 예를 들면, 특정 처리에서, 자기장 세기가 증가함에 따라, 에칭 처리에서 형성된 보호 측벽 증착물 (30) 이 두꺼워지므로, 에칭된 형성체 (29) 의 프로파일에 오목부가 적게 발생된다. 따라서, 특히, 형성체 (29) 의 깊이가 증가하거나 이들의 개구 크기가 작아질수록, 에칭된 형성체 (29) 의 프로파일이 최적화되도록 자기장 세기가 제어될 수 있다. 예들 들면, 트렌치 등의 형성체 (29) 의 에칭 시, 약 100 Gauss 이상 또는 약 120 Gauss 이상의 자기장 세기를 갖는 높은 자기장이 제공되는 것이 바람직하다는 것이 발견되었다.Increasing the intensity of the magnetic field in the chamber 100 can further enhance the etching of the high aspect ratio formation 29 in the substrate 10. Magnetic field strength is believed to affect the protective sidewall deposit 30 etched on the freshly etched formation 29 in the substrate 10. For example, in certain processes, as the magnetic field strength increases, the protective sidewall deposits 30 formed in the etching process become thicker, resulting in fewer recesses in the profile of the etched formation 29. Therefore, in particular, as the depth of the forming bodies 29 increases or their opening sizes become smaller, the magnetic field strength can be controlled so that the profile of the etched forming bodies 29 is optimized. For example, it has been found that upon etching of a formation 29 such as a trench, it is desirable to provide a high magnetic field having a magnetic field strength of at least about 100 Gauss or more or about 120 Gauss or more.

자기장 발생기 (292) 는 전자기 코일 또는 영구 자석을 포함할 수 있다. 예를 들면, 도 2 및 도 3은 챔버 (100) 에 근접하는 전자석 (295, 300, 305, 310) 을 포함하는 자기장 발생기 (292) 의 일 버전을 개략적으로 도시한다. 챔버 (100) 내에 형성된 자기장은, 처리 영역 (112) 에 대한 위치에 의존하는 전자석 (295, 300, 305, 310) 에 의해 발생된 자기장과 각 전자석에 제공된 전기 에너지의 벡터합이다. 자기장 발생기 (292) 는, 플라즈마를 기판 (10) 상의 처리 볼륨 (112) 으로 한정하는 기판 (10) 평면과 거의 수직한 자기장, 기판 표면과 평행한 자기장, 또는, 처리 영역 (112) 에서 플라즈마 이온을 "교반 (stir)" 하도록 회전되는 자기장을 제공하도록 구성될 수 있다.The magnetic field generator 292 may include an electromagnetic coil or a permanent magnet. For example, FIGS. 2 and 3 schematically illustrate one version of a magnetic field generator 292 that includes electromagnets 295, 300, 305, 310 proximate chamber 100. The magnetic field formed in the chamber 100 is a vector sum of the magnetic field generated by the electromagnets 295, 300, 305, 310 depending on the position relative to the treatment region 112 and the electrical energy provided to each electromagnet. The magnetic field generator 292 is a magnetic field substantially perpendicular to the plane of the substrate 10 that defines the plasma as the processing volume 112 on the substrate 10, a magnetic field parallel to the substrate surface, or plasma ions in the processing region 112. Can be configured to provide a magnetic field that is rotated to " stir. &Quot;

또한, 도 10에 도시된 바와 같이, 자기장 발생기는 그 내부의 유체를 순환시키는 재킷 (307, 309) 을 포함할 수 있다. 예를 들면, 열 전달 유체는 라이너 유체 소오스 (121), 전도체 유체 소오스 (61) 또는 다른 유체 소오스로부터 제공될 수 있다. 이 유체는 전자석 (305, 310, 295, 300) 에 대한 유체 재킷 (307, 309) 으로 공급되어 자석 온도를 제어한다. 열 전달 유체는 전자석 (305, 310, 295, 300) 을 일정한 온도에서 유지할 수 있으며, 이러한 유체의 순환은 전자석을통해 많은 전류가 인가되는 경우의 전자석의 과열을 감소시킬 수 있다. 이는 큰 전류에 견딜 수 있는 전자석의 성능을 향상시킴으로써, 챔버 (100) 에 높은 자기장 세기를 제공한다. 이 증가된 자기장 세기는 에칭된 형성체 (29) 의 향상된 에칭을 기판 (10) 에 제공한다.Also, as shown in FIG. 10, the magnetic field generator may include jackets 307 and 309 for circulating fluid therein. For example, the heat transfer fluid can be provided from the liner fluid source 121, the conductor fluid source 61, or other fluid source. This fluid is supplied to the fluid jackets 307, 309 for the electromagnets 305, 310, 295, 300 to control the magnet temperature. The heat transfer fluid can maintain the electromagnets 305, 310, 295, 300 at a constant temperature, and the circulation of such fluid can reduce the overheating of the electromagnet when a large current is applied through the electromagnet. This improves the electromagnet's ability to withstand large currents, thereby providing high magnetic field strength to the chamber 100. This increased magnetic field strength provides the substrate 10 with improved etching of the etched formation 29.

일 버전에서, 자기장 발생기 (292) 는 시간에 따라 변화하는 각도 방위와 크기를 갖는 다-방향 (multi-directional) 자기장을 발생시킨다. 이러한 자기장은 챔버 (100) 와 근접하게 위치되는 복수개의 전자석 (295, 300, 305, 310) (또는, 영구 회전하는 자석 (permanent rotating magnet)) 에 의해 발생될 수 있다. 전자석 전력 소오스는 전자석 (295, 300, 305, 310) 에 인가되는 전류를 변화시키고, 플라즈마 영역에 다-방향 자기장을 발생시킨다. 이 자기장은 서로 쌍을 이루고 위치되어, 기판 (10) 의 평면과 거의 평평한 자기장을 발생시킨다. 전력 소오스는 독립적으로 변화하는 각도 방위와 크기를 갖는 자기장을 발생시키는 쌍을 이룬 전자석을 소정의 시퀀스로 에저자이즈한다. 참조로서 포함되는 미국 특허 제 5,255,024 호에 개시된 바와 같이, 자기장 발생기 (292) 는 챔버 (100) 의 측벽 (106) 에 인접하게 위치되는 대신, 챔버의 천정, 및/또는, 그 내부에 제 2 전극을 갖는 유전체 (55) 하부에 배치될 수 있다.In one version, magnetic field generator 292 generates a multi-directional magnetic field with an angular orientation and magnitude that varies with time. This magnetic field may be generated by a plurality of electromagnets 295, 300, 305, 310 (or permanent rotating magnets) positioned in close proximity to the chamber 100. The electromagnet power source changes the current applied to the electromagnets 295, 300, 305, 310 and generates a multi-directional magnetic field in the plasma region. These magnetic fields are located in pairs with each other, generating a magnetic field that is substantially flat with the plane of the substrate 10. The power source ages a pair of electromagnets in a predetermined sequence to generate a magnetic field having an independently varying angular orientation and magnitude. As disclosed in US Pat. No. 5,255,024, which is incorporated by reference, the magnetic field generator 292 is not positioned adjacent to the sidewall 106 of the chamber 100, but instead includes a ceiling of the chamber, and / or a second electrode therein. It may be disposed under the dielectric 55 having a.

다른 방법으로, 자기장 발생기 (292) 는 챔버 (100) 측벽 (106) 에 근접하게 위치되는 복수개의 이동 가능한 영구 자석을 포함한다. 자석은 원형 또는 타원형 궤도로 및/또는 선형으로 회전되는 아마츄어 (armature)(미도시) 상에 탑재되어, 처리 볼륨 (112) 내에 다-방향 자기장을 발생시킬 수 있다. 적절한 영구자석은 니켈 페라이트 (ferrite), 코발트 페라이트, 또는 바륨 페라이트 등의 강자성 재료를 포함한다.Alternatively, magnetic field generator 292 includes a plurality of movable permanent magnets located proximate to sidewall 106 of chamber 100. The magnet may be mounted on an armature (not shown) that rotates in a circular or elliptical orbit and / or linearly to generate a multi-directional magnetic field in the processing volume 112. Suitable permanent magnets include ferromagnetic materials such as nickel ferrite, cobalt ferrite, or barium ferrite.

자기장 발생기 (292) 는, 각각의 전자석 또는 영구 자석에 의해 발생되는 자기장들의 벡터합이고 챔버 (100) 에 대한 위치와 이들의 동작 모드에 의존하는, 자기장을 발생시킨다. 도 2에 나타낸 버전에서, 자기장 발생기 (292) 는 기판 (10) 의 표면에 거의 평행하고 기판 표면과 수직한 축에 대해 대칭되는 성분들을 갖는 자기장을 발생시키도록 구성된다. 이 버전에서, 플라즈마 내의 전자에게 부여된 E×B 드리프트 속도는 플라즈마 시스 내의 전자를 방위각으로 이동시키고 촉진시켜, 기판 (10) 의 처리 표면과 평행한 면 및 바로 위에서 원형 경로로 이동시킨다. 자기장 발생기 (292) 는, 참조로서 포함되는 미국 특허 제 5,215,619 호에 개시되는, 지지체와 기판 (10) 에 일반적으로 평행하고 상호 수직한 자기 벡터 (By, Bx) 를 제공한다.The magnetic field generator 292 generates a magnetic field, which is a vector sum of the magnetic fields generated by each electromagnet or permanent magnet and depends on its position with respect to the chamber 100 and their mode of operation. In the version shown in FIG. 2, the magnetic field generator 292 is configured to generate a magnetic field having components that are substantially parallel to the surface of the substrate 10 and symmetric about an axis perpendicular to the substrate surface. In this version, the E × B drift velocity imparted to the electrons in the plasma moves and promotes the electrons in the plasma sheath at azimuth, in a circular path just above and on a plane parallel to the processing surface of the substrate 10. Magnetic field generator 292 provides magnetic vectors (By, Bx) that are generally parallel and mutually perpendicular to support and substrate 10, disclosed in US Pat. No. 5,215,619, which is incorporated by reference.

자기장 발생기 (292) 는, 전도체 (355, 360, 365, 370) 상에 제공되는 전자석 (295, 300, 305, 310) 으로의 전류의 크기와 방향을 제어하기 위해, 제어 신호를 라인 (315, 320, 325, 330) 을 통해 통상의 전력 시스템 (335, 340, 345, 350) 으로 인가하는 제어기 (160) 에 의해 동작될 수 있다. 결합된 전류는 각각의 전자석에 의해 발생되는 장의 방향과 크기를 결정한다. 다른 방법으로, 제어기 (160) 는 원형/타원형 형상으로 회전 또는 선형으로 진동될 수 있는 아마츄어 내에 위치된 강자성 재료의 일 세트의 영구 자석의 진동을 제어하기 위해 이용될 수 있다. 자기장 발생기 (292) 에 의해 발생된 수직 장 벡터 (By, Bx) 는 함수Bx=Bcos2 및 By=Bsin2로 한정된다. 소망하는 값의 장, B 및 각도 방위 (2) 가 주어지면, 제어기 (160) 는, 소망의 장 세기와 방위를 제공하여 전자석으로 필요한 전류의 애플리케이션을 제어하거나 영구 자석의 동작을 제어하는 조합된 자기장 벡터 (By, Bx) 를 획득하는 식을 자체적으로 풀어서, 소망의 자기장 벡터 (By, Bx) 를 제공한다.The magnetic field generator 292 transmits a control signal to the line 315, in order to control the magnitude and direction of the current to the electromagnets 295, 300, 305, 310 provided on the conductors 355, 360, 365, 370. It can be operated by a controller 160 that applies to the conventional power system 335, 340, 345, 350 via 320, 325, 330. The combined current determines the direction and magnitude of the field generated by each electromagnet. Alternatively, the controller 160 can be used to control the vibration of a set of permanent magnets of ferromagnetic material located in an amateur that can be rotated or linearly vibrated in a circular / elliptical shape. The vertical field vectors By and Bx generated by the magnetic field generator 292 are defined by the functions Bx = Bcos2 and By = Bsin2. Given the desired value of field, B, and angular orientation (2), controller 160 provides the desired field strength and orientation to combine to control the application of the required current with the electromagnet or to control the operation of the permanent magnet. The equation for obtaining the magnetic field vectors (By, Bx) is solved by itself to provide the desired magnetic field vectors (By, Bx).

즉, 자기장의 각도 방위 및 크기는, 전자석 (295, 300 305, 310) 내의 전류 또는 자기장의 회전 동작을 변화시킴으로써, 빠르게 또는 느리게 자체적으로 변경될 수 있다. 제어기 (160) 는 자기장이 각각의 각도 위치에 있는 시간, 앵귤러 스테핑 펑션 (angular stepping function) 의 방향, 또는 자기장 세기를 변경할 수 있다. 따라서, 자기장은 선택된 방위와 시간 증가량을 이용하여 기판 (10) 주변에서 스텝될 수 있다. 원한다면, 처리 조건 또는 챔버 구조가 일정한 장 세기를 필요로 하는 경우, 장 (B2) 의 크기 결과를 변화시킬 수 있다. 예를 들면, 자기장은 2 내지 5 sec/revolution의 저속으로 회전되어 기판 (10) 둘레의 360°에칭 균일도를 증가시킬 수 있다.That is, the angular orientation and magnitude of the magnetic field can change itself quickly or slowly by varying the rotational motion of the current or magnetic field in the electromagnets 295, 300 305, 310. The controller 160 can change the time the magnetic field is at each angular position, the direction of the angular stepping function, or the magnetic field strength. Thus, the magnetic field can be stepped around the substrate 10 using the selected orientation and time increment. If desired, the size result of the field B2 can be varied if the processing conditions or chamber structure require constant field strength. For example, the magnetic field can be rotated at a low speed of 2 to 5 sec / revolution to increase the 360 ° etching uniformity around the substrate 10.

다른 실시형태에서, 자기장 발생기 (292) 는 기판 (10) 의 평면과 거의 직교하는 주성분들을 갖는 자기장을 제공하도록 구성된다 (미도시). 또 다른 실시형태에서, 자기장 발생기 (292) 는, 처리 영역 (112) 의 공간 또는 볼륨에 걸쳐 그리고 기판 (10) 의 평면 상에서, 각도를 이루거나 또는 곡선을 이루는 성분을 갖는 자기장을 제공하도록 구성된다 (미도시).In another embodiment, the magnetic field generator 292 is configured to provide a magnetic field having principal components approximately orthogonal to the plane of the substrate 10 (not shown). In another embodiment, the magnetic field generator 292 is configured to provide a magnetic field having an angled or curved component over the space or volume of the processing region 112 and on the plane of the substrate 10. (Not shown).

본 발명의 다른 태양에서는, 기판 (10), 또는 내부 벽 (52) 과 기판 지지체(124) 에 대한 표면 등의 챔버 (100) 의 표면에 걸쳐 균일한 온도를 유지하기 위해, 온도 제어 시스템 (400) 을 이용하여, 고 애스펙트 비 형성체의 양호한 에칭을 달성한다. 기판 (10), 챔버 (100) 내의 구성 요소, 또는 일 기판으로부터 다른 기판에 걸친 온도가 비균일하고 일관성을 갖지 않은 경우, 고 애스펙트 비 형성체 (29) 를 에칭하기 위한 에칭 프로파일과 에칭 속도는 온도 의존성이 높고 현저하게 변화될 수도 있는 것으로 발견되었다. 이러한 온도 변화에 의해 에칭된 형성체 (29) 는 일관성을 갖지 않는 깊이 또는 다른 형상을 가질 수도 있다. 실시예의 에칭 처리에서, 적절한 기판 온도는 약 240 EC 미만이고, 기판 홀드에 걸친 온도의 변화는 약 5 EC 미만이며, 예를 들면, 기판 (10) 은 약 -40 내지 약 240 EC의 온도 범위로, 바람직하게는 약 200 내지 240 EC의 동작 온도 범위로 유지될 수 있다.In another aspect of the present invention, the temperature control system 400 is provided to maintain a uniform temperature across the surface of the chamber 100, such as the substrate 10, or the surface to the inner wall 52 and the substrate support 124. ), A good etching of the high aspect ratio forming body is achieved. If the temperature from the substrate 10, components in the chamber 100, or from one substrate to another is non-uniform and inconsistent, the etch profile and etch rate for etching the high aspect ratio formation 29 It has been found that the temperature dependence is high and may vary significantly. The formed body 29 etched by this temperature change may have an inconsistent depth or other shape. In the etching process of the embodiment, a suitable substrate temperature is less than about 240 EC, and the change in temperature over the substrate hold is less than about 5 EC, for example, the substrate 10 is in a temperature range of about -40 to about 240 EC. Preferably, it can be maintained in the operating temperature range of about 200 to 240 EC.

일 버전에서, 온도 제어 시스템 (400) 은 기판 (10) 하부의 수 압력으로 헬륨 등의 열 전달 가스를 제공함으로써 기판 (10) 에 걸쳐 균일한 열 전달 속도를 유지한다. 예를 들면, 도 10에 나타낸 바와 같이, 열 전달 가스는 열 전달 가스 소오스 (107) 로부터 유전체 (55) 의 수용 표면 상의 상이한 영역 (99i, 99o) 내의 복수개의 열 전달 가스 출구 (117i, 117o) 로 제공될 수 있다. 열 전달 가스는 기판 (10) 과 유전체 (55) 사이의 열 전달을 촉진한다. 일 버전에서, 기판 (10) 배면과 유전체 (55) 의 수용 표면 (147) 사이의 간격은 2개의 영역-1개의 내부 영역 (99i) 과 1개의 외부 영역 (99o) 으로 분할된다. 외부 및 내부 영역 각각으로의 가스 유량의 독립적인 제어를 제공하기 위해 개별 플로우 제어기(107o, 107i) 가 이용된다. 또한, 개별 가스 플로우 제어기 (107i, 107o) 는 각 영역내의 가스가 동일한 압력 또는 다른 압력으로 유지되도록 한다. 예를 들면, 내부 영역은 10-16Torr로 유지되는 반면, 외부 영역은 20Torr로 유지될 수 있다. 처리 동안, 기판 (10) 은 챔버 (100) 내에서 플라즈마에 의해 비균일하게 가열될 수 있지만, 2개의 영역 열 전달 가스 제어를 이용함으로써 기판 (10) 에 걸친 온도를 균일하게 할 수 있다. 예를 들면, 내부 및 외부 영역의 열 전달 가스 압력은 기판 (10) 의 중심에서 외부 에지로의 온도 차이가 약 5 EC 미만으로 조절되거나 거의 일정하게 유지될 수 있다.In one version, the temperature control system 400 maintains a uniform heat transfer rate across the substrate 10 by providing a heat transfer gas such as helium at a water pressure below the substrate 10. For example, as shown in FIG. 10, the heat transfer gas is a plurality of heat transfer gas outlets 117i, 117o in different regions 99i, 99o on the receiving surface of the dielectric 55 from the heat transfer gas source 107. It may be provided as. The heat transfer gas promotes heat transfer between the substrate 10 and the dielectric 55. In one version, the spacing between the backside of the substrate 10 and the receiving surface 147 of the dielectric 55 is divided into two regions-one inner region 99i and one outer region 99o. Separate flow controllers 107o and 107i are used to provide independent control of the gas flow rate to each of the outer and inner regions. In addition, the individual gas flow controllers 107i and 107o allow the gas in each zone to be maintained at the same or different pressure. For example, the inner region may be maintained at 10-16 Torr, while the outer region may be maintained at 20 Torr. During processing, the substrate 10 can be non-uniformly heated by the plasma in the chamber 100, but by using two zone heat transfer gas control, the temperature across the substrate 10 can be made uniform. For example, the heat transfer gas pressures in the inner and outer regions can be controlled or kept nearly constant at a temperature difference from the center of the substrate 10 to the outer edge of less than about 5 EC.

또한, 처리 동안, 내부 및 외부 열 전달 가스 영역 (99i 99o) 은 기판 (10) 에 걸쳐 형성되는 열 경사를 유도하도록 동작될 수 있다. 예를 들면, 내부 및 외부 영역 (99i, 99o) 의 열 전달 가스 압력을 조절하여, 기판 (10) 의 중심의 온도를 기판 (10) 의 외부 에지에서의 온도보다 낮거나 높게 조절할 수 있다. 예컨대, 기판 (10) 에서 중심이 외부 에지보다 빠르게 에칭되는 경우, 또는 외부 에지보다 중심이 온도가 더 높은 경우, 이 버전은 바람직하다.In addition, during processing, the inner and outer heat transfer gas regions 99i 99o can be operated to induce thermal gradients formed over the substrate 10. For example, by adjusting the heat transfer gas pressures of the inner and outer regions 99i and 99o, the temperature at the center of the substrate 10 can be adjusted to be lower or higher than the temperature at the outer edge of the substrate 10. For example, if the center is etched faster than the outer edge in the substrate 10, or if the center is hotter than the outer edge, this version is preferred.

온도 제어 시스템 (400) 은, 기판 (10) 및 지지체 (124) 사이의 열 전달 속도를 더 제어하기 위해, 유전체 (55) 하부 및 지지 기반 (200) 상부에 배치되는 전도체 (62) 를 더 포함할 수도 있다. 전도체 (62) 는, 전극 (105) 을 피복하고 기판 (10) 과 전도체 (62) 모두에 열 접촉되는 유전체 (55) 를 통해, 기판 (10) 안팎으로 열 에너지를 전달할 수 있는 도전성 소자이다. 예를 들면, 도 10에 나타낸 바와 같이, 전도체 (62) 는 하나 이상의 유체 입구 (63) 를 통해 전도성 유체소오스 (61) 로부터 온도 제어된 열 전달 유체가 제공되는 하나 이상의 채널 (71) 을 포함할 수 있다. 에틸렌 글리콜 (ethylene glycol) 과 탈이온수 (de-ionized water) 혼합물 등의 열 전달 유체를 전도체 (62) 내의 채널을 통해 순환시켜, 전도체 (62) 의 온도를 일정 레벨로 유지한다. 예를 들면, 전도체 (62) 가 기판 처리 동안 바람직하지 않은 고온으로 가열되는 경우, 채널 (71) 에 제공되는 열 전달 유체는 전도체 (62) 의 온도가 낮아지도록 냉각시킴으로써, 기판 (10) 으로부터 보다 균일한 열 전달 속도를 제공한다. 또한, 열 전달 유체는 전도체 (62) 에 제공되기 전 제어기 (160) 에 의해 제어되어 기판 (10) 을 소망의 온도, 예를 들면, 폴리실리콘의 트렌치 에칭 동안에는 약 80 내지 100 EC의 온도로 유지한다.The temperature control system 400 further includes a conductor 62 disposed below the dielectric 55 and above the support base 200 to further control the rate of heat transfer between the substrate 10 and the support 124. You may. Conductor 62 is a conductive element capable of transferring thermal energy into and out of substrate 10 through dielectric 55 covering electrode 105 and in thermal contact with both substrate 10 and conductor 62. For example, as shown in FIG. 10, conductor 62 may include one or more channels 71 provided with a temperature controlled heat transfer fluid from conductive fluid source 61 via one or more fluid inlets 63. Can be. Heat transfer fluids, such as ethylene glycol and de-ionized water mixtures, are circulated through the channels in the conductors 62 to maintain the temperature of the conductors 62 at a constant level. For example, when the conductor 62 is heated to an undesirable high temperature during substrate processing, the heat transfer fluid provided to the channel 71 cools to lower the temperature of the conductor 62, thereby reducing the temperature from the substrate 10. Provides a uniform heat transfer rate. In addition, the heat transfer fluid is controlled by the controller 160 before being provided to the conductor 62 to maintain the substrate 10 at a desired temperature, for example about 80 to 100 EC during the trench etching of polysilicon. do.

또한, 도 2에 나타낸 바와 같이, 전도체 (62) 는, 열 전도도가 높고 균일한 재료로 이루어진 접착층 (73) 에 의해 유전체 (55) 으로 접착 또는 접합될 수 있다. 전도체 (62) 와 유전체 (55) 의 접착은 유전체 (55) 로부터 전도체 (62) 의 채널 (71) 내의 유체로의 열 전달 속도를 최대화시킨다. 접착층 (73) 은 알루미늄, 구리, 이리듐, 또는 주석-납 합금 등의 금속을 포함한다. 또한, 접착층 (73) 은 기판 (10) 에 걸쳐 보다 균일한 열 전달 속도를 제공하고 전도체 (62) 와 유전체 (55) 사이의 계면의 열 임피던스 변동을 감소시키는 균질한 성분이다. 또한, 접착층 (73) 은, 유전체 (55) 와 전도체 (62) 사이의 열 팽창 부정합에 의해 발생되는 열 스트레스를, 유전체 (55) 를 손상시키지 않고 흡수할 수 있는, 연성을 갖는 계면을 제공하도록 한다. 금속-접착된 접합부는 보다 균일한 열 전달 속도를 제공하는 반면, 이러한 접합부는 상이한 재료의 열 팽창 계수의 차이로부터 발생되는 열 스트레스에 대해 견디는 것이 어렵다. 따라서, 접착층 (73) 은 열 스트레스를 흡수할 수 있는 적절한 재료로 이루어질 수 있다. 적절한 접착 재료에는 Chomerics, Inc의 제품 Thermattach T412 (TM) 이 포함된다. Thermattach T412는 티티늄 디보라이드 (titanium diboride) 와 혼합되고 팽창되는 알루미늄 캐리어에 도포되는, 고 접착 강도의 감압 (pressure sensitivity) 아크릴 수지 접착제이다. 접착층 (73) 의 열 성능은 필터, 팽창되는 금속 및 엠보스드 표면의 조합에 의해 향상될 수 있다.Also, as shown in Fig. 2, the conductor 62 can be bonded or bonded to the dielectric 55 by an adhesive layer 73 made of a material having high thermal conductivity and uniformity. The adhesion of the conductor 62 and the dielectric 55 maximizes the rate of heat transfer from the dielectric 55 to the fluid in the channel 71 of the conductor 62. The adhesive layer 73 contains metals, such as aluminum, copper, iridium, or a tin-lead alloy. In addition, the adhesive layer 73 is a homogeneous component that provides a more uniform heat transfer rate across the substrate 10 and reduces the thermal impedance variation of the interface between the conductor 62 and the dielectric 55. In addition, the adhesive layer 73 provides a ductile interface that can absorb thermal stress caused by thermal expansion mismatch between the dielectric 55 and the conductor 62 without damaging the dielectric 55. do. Metal-bonded joints provide more uniform heat transfer rates, while these joints are difficult to withstand thermal stresses resulting from differences in the coefficients of thermal expansion of different materials. Therefore, the adhesive layer 73 can be made of a suitable material capable of absorbing thermal stress. Suitable adhesive materials include Thermattach T412 (TM) from Chomerics, Inc. Thermattach T412 is a high adhesive strength pressure sensitive acrylic resin adhesive applied to an aluminum carrier that is mixed with and expanded with titanium diboride. The thermal performance of the adhesive layer 73 can be improved by the combination of filter, expanded metal and embossed surface.

도 4에 나타낸 바와 같이, 온도 제어 시스템 (400) 은 챔버벽 (52) 의 적어도 일부분을 피복하는 하나 이상의 라이너 (104) 를 더 포함할 수 있다. 일 버전에서, 챔버 라이너 (104) 는 제 1 (상부) 라이너 (134), 제 2 (하부) 라이너 (118), 또는 제 1 라이너 (134) 와 제 2 라이너 (118) 모두를 포함할 수 있다. 각 챔버 라이너 (104) 내에 위치된 통로 (119) 에는, 온도 제어된 유체 공급 시스템에 의해 제공된 라이너 유체 소오스 (121) 등의 열 전달 유체가 보유된다. 또한, 라이너 (104) 는, 그의 세정 또는 제거가 가능하도록, 단일 또는 제거 가능한 구조일 수 있다. 하부 챔버 벽 (108) 은 챔버 (100) 의 외부로부터 제 2 라이너 (118) 로의 접근을 제공하는 구멍 (116)(도 4에서는 1개만 나타냄) 을 갖는다. 그루브 (120) 에 배치된 O-링 (122) 은 각 구멍 (116) 을 둘러싼다.As shown in FIG. 4, the temperature control system 400 may further include one or more liners 104 covering at least a portion of the chamber wall 52. In one version, the chamber liner 104 may include a first (top) liner 134, a second (bottom) liner 118, or both the first liner 134 and the second liner 118. . The passage 119 located within each chamber liner 104 holds a heat transfer fluid, such as a liner fluid source 121 provided by a temperature controlled fluid supply system. In addition, the liner 104 may be of a single or removable structure such that cleaning or removal thereof is possible. Lower chamber wall 108 has holes 116 (only one in FIG. 4) to provide access to second liner 118 from outside of chamber 100. An O-ring 122 disposed in the groove 120 surrounds each hole 116.

또한, 라이너 (104) 상의 처리 잔류물의 증착을 감소시키기 위해 라이너 (104) 를 가열하여, 기판 (10) 내의 고 애스펙트 비 형성체의 에칭 품질에 영향을주는 챔버 (100) 내에 존재하는 처리 잔류물의 양을 조절할 수 있다. 일 버전에서, 라이너 유체 소오스 (121) 로부터의 열 전달 유체는 라이너 (104) 를 통과하여 라이너를 가열함으로써 라이너 (104) 상의 처리 잔류물의 형성을 감소시킨다. 챔버 라이너 (104) 상의 잔류물의 증착물 감소는, 라이너 (104) 를 플레이크 오프 (flake off) 하고 기판 (10) 으로 역증착되는 처리 잔류물의 양을 감소시킬 수 있다.In addition, the liner 104 is heated to reduce the deposition of the process residue on the liner 104 such that the process residue present in the chamber 100 affects the etch quality of the high aspect ratio formation in the substrate 10. You can adjust the amount. In one version, the heat transfer fluid from the liner fluid source 121 reduces the formation of treatment residues on the liner 104 by heating the liner through the liner 104. Reducing deposits of residue on chamber liner 104 may reduce the amount of treatment residue that flakes off liner 104 and back deposits onto substrate 10.

또한, 도 1 및 4에 나타낸 실시예에서, 온도 제어 시스템 (400) 은 라이너 (118, 134) 에 근접하는, 예를 들면, 챔버 천정 (68) 에 근접하는, 하나 이상의 히터 (heater) 를 포함할 수 있다. 히터 (67) 는 천정 (68) 온도를 조절하는 라이너 (118, 134) 를 통과하는 열 전달 유체와 함께 또는 택일되어 이용될 수 있다. 일 버전에서, 히터 (67) 는, 예를 들면, 리드 어셈블리 (102) 상에 탑재된 가열 코일 (67) 과 같은, 코일 또는 가열 소자를 포함할 수 있다. 히터 (67) 는 기판 (10) 처리 동안 또는 그 이전에 천정 (68) 또는 라이너 (118, 134) 를 가열하도록 동작되어, 챔버 (100) 내의 온도 변동이 감소되도록 한다. 특히, 히터 (67) 로 천정 (52) 을 가열하고, 또한, 천정 (52) 내의 채널 (59) 을 통해 유체를 순환시킴으로써, 천정 온도를 제어하는 것이 바람직한 것으로 발견되었다. 이 버전에서는, 2중 히터 및 유체 제어 방법을 이용함으로써, 챔버 (100) 에서 기판 (10) 을 처리하고 에너자이징 가스로 천정 (52) 을 가열하는 경우 또는 처리 단계 중간에 챔버 (100) 에서 기판 (10) 을 제거하는 경우에 변동되는, 천정 (52) 의 온도가 보다 정확하게 조절될 것이다.In addition, in the embodiment shown in FIGS. 1 and 4, the temperature control system 400 includes one or more heaters in proximity to the liners 118, 134, for example in proximity to the chamber ceiling 68. can do. Heater 67 may be used in conjunction with or alternatively to a heat transfer fluid passing through liners 118 and 134 that control ceiling 68 temperature. In one version, the heater 67 may include a coil or heating element, such as, for example, a heating coil 67 mounted on the lid assembly 102. The heater 67 is operated to heat the ceiling 68 or the liners 118, 134 during or before substrate 10 processing, such that temperature fluctuations in the chamber 100 are reduced. In particular, it has been found desirable to control the ceiling temperature by heating the ceiling 52 with a heater 67 and also circulating the fluid through the channel 59 in the ceiling 52. In this version, by using a dual heater and a fluid control method, the substrate 10 in the chamber 100 or in the middle of a processing step or in the case of treating the substrate 10 in the chamber 100 and heating the ceiling 52 with an energizing gas The temperature of the ceiling 52, which fluctuates when removing 10), will be adjusted more accurately.

천정 (52) 및 라이너 (104) 는, 다른 버전, 예를 들면, 도 5 및 6에 나타낸 일 버전과 같이, 챔버 천정 (52) 에 제 1 라이너 (134) 및 리드 (202) 를 포함하는 개구 가능한 리드 어셈블리 (102) 가 포함된다. 제 1 라이너 (134) 는 측벽 (106) 상에 위치되어 외부로 연장되는 플랜지 (flange)(342) 를 갖는다. 리드 어셈블리 (102) 는 한 쌍의 클램프 (206) 에 의해 측벽 (106) 으로 클램프된다. 측벽 (106) 과 제 1 라이너 (134) 사이에 위치된 제 1 밀봉부 (예를 들면, 측벽 (106) 내의 그루브 (304) 에 위치된 O-링 봉합부 (302)) 는 제 1 라이너 (134) 와 측벽 (106) 사이에 진공 밀봉을 제공한다. 또한, 리드 (202) 와 제 1 라이너 (134) 사이의 제 2 밀봉부 (예를 들면, 리드 (202) 내의 그루브 (304) 에 위치된 O-링 (306)) 는 이러한 구성 요소들 사이에 단단한 가스 밀봉을 제공한다. 리드 어셈블리 (102) 는, 리드 (202) 가 적절하게 클램프되는 경우 통상 하부로 바이어스되므로, 처리 챔버 (100) 에 인스톨되는 경우 제 2 리드 (118) 상의 압력을 하부로 발생시킨다.The ceiling 52 and liner 104 have an opening including a first liner 134 and a lid 202 in the chamber ceiling 52, as in another version, for example one version shown in FIGS. 5 and 6. Possible lead assemblies 102 are included. The first liner 134 has a flange 342 located on the sidewall 106 and extending outward. The lid assembly 102 is clamped to the sidewall 106 by a pair of clamps 206. A first seal located between the sidewall 106 and the first liner 134 (eg, an O-ring seal 302 located in the groove 304 in the sidewall 106) may be formed of a first liner ( Provide a vacuum seal between 134 and sidewall 106. In addition, a second seal between the lid 202 and the first liner 134 (eg, an O-ring 306 located in the groove 304 within the lid 202) is between these components. Provide a tight gas seal. The lid assembly 102 is biased downwards normally when the leads 202 are properly clamped, and thus generates pressure on the second lid 118 downwards when installed in the processing chamber 100.

제 1 라이너 (134) 는 양극 처리된 (anodized) 알루미늄, 스테인레스 스틸, 세라믹 또는 다른 적절한 재료 등의 열 전도성 재료로 형성된다. 제 1 라이너 (134) 는 접시-형상의 상부 표면 (312) 과 하부 표면 (316) 을 갖는 중심부 (341) 를 포함한다. 접시 형상의 상부 표면 (312) 은 외부로 연장되는 플랜지 (342) 와 연결되는 돌출부 (314) 를 갖는다. 실린더형 벽 (318) 은 하부 표면 (316) 으로부터 연장된다. 하부 표면 (316) 및 벽 (318) 은 처리 볼륨 (112) 에 노출되는 노출된 표면 (343) 을 갖는다.The first liner 134 is formed of a thermally conductive material such as anodized aluminum, stainless steel, ceramic or other suitable material. The first liner 134 includes a central portion 341 having a dish-shaped upper surface 312 and a lower surface 316. The dish-shaped upper surface 312 has a protrusion 314 connected with the flange 342 extending outwardly. Cylindrical wall 318 extends from lower surface 316. Lower surface 316 and wall 318 have exposed surface 343 exposed to treatment volume 112.

본 발명의 다른 태양에서, 제 1 라이너 (134) 상의 처리 잔류물의 증착은, 피크 간의 (peak to peak) RMS 표면 거칠기가 약 32 미만인 상대적으로 매끄러운 표면을 갖는 노출된 표면 (343) 을 제공함으로써 감소된다. 라이너 (134) 상에 증착되는 처리 재료의 양을 감소시켜, 라이너 (134) 상의 초과 처리 잔류물 형성을 감소시킴으로써 기판 (10) 의 고 애스펙트 비 형성체의 에칭을 향상시키기 때문에, 상대적으로 매끄러운 표면이 바람직하다는 것이 발견되었다. 기판 (10) 주변의 처리 잔류물의 초과 축적은, 기판 (10) 둘레에 처리 잔류물 형성 스페시즈의 농도를 높게 발생시키고, 기판 (10) 의 에칭된 형성체 상에 초과 처리 잔류물의 증착을 유발하는 것으로 알려져왔다. 초과 잔류물은 고 애스펙트 비 특성의 불량한 에칭을 초래한다.In another aspect of the present invention, deposition of the treatment residue on the first liner 134 is reduced by providing an exposed surface 343 having a relatively smooth surface having a peak to peak RMS surface roughness of less than about 32. do. A relatively smooth surface because it reduces the amount of processing material deposited on the liner 134, thereby improving the etching of the high aspect ratio formation of the substrate 10 by reducing the formation of excess processing residue on the liner 134. It was found that this is desirable. Excess accumulation of process residues around the substrate 10 results in high concentrations of process residue forming species around the substrate 10 and causes deposition of excess process residues on the etched formations of the substrate 10. It has been known to. Excess residue results in poor etching of high aspect ratio properties.

도 5 및 6은 제 1 라이너 (134) 내의 유체 통로의 일 버전을 나타낸다. 이 버전에서, 중심부 (341) 의 오목부 (314) 는, 주조 (casting), 또는 각각 플러그 (210) 에 의해 봉합되는 복수개의 관통홀 (208) (intersecting hole) 을 드릴링함으로써, 형성될 수 있는 유체 통로 (322) 를 포함한다. 유체 통로 (322) 의 각 단부는 보어 (bore, 324) 에 의해 상부 표면 (312) 으로 연결된다. 2개의 보스 (326, 도 6에서는 1개만 나타냄) 는 중심부 (341) 의 상부 표면 (312) 으로부터 돌출된다. 각 보스 (326) 는 각각의 보어 (324) 를 통해 유체 통로 (322) 로 유체가 통과하도록 연결되는 중심 홀 (328) 을 갖는다. 유체 통로 (322) 는 라이너 유체 소오스 (121) 로부터 유체를 수용하고, 이 유체가 제 1 라이너 (134) 로 열을 전달함으로써 제 1 라이너 (134) 의 온도가 조절된다. 유체가 라이너유체 소오스 (121) 로부터 제 1 라이너 (134) 를 통해 순환함으로써, 제 1 라이너 (134) 에 제공되는 열의 양이 제어되어, 제 1 라이너가 소정의 온도로 유지되도록 한다. 액체 및/또는 가스 유체 등의 유체는 유체 통로 (322) 를 통해 흐름으로써, 제 1 라이너 (134) 의 온도를 제어한다. 유체는, 탈이온수 및/또는 에틸렌 클리콜과 같은 액체, 또는 액상 또는 고상 질소 또는 프레온 (Freon, TM Dupont de Nemours, Ailmington, Delaware) 등의 유체일 수 있다.5 and 6 show one version of the fluid passageway in the first liner 134. In this version, the recess 314 of the central portion 341 can be formed by casting or drilling a plurality of intersecting holes, each of which is closed by a plug 210. Fluid passageway 322. Each end of the fluid passage 322 is connected to the upper surface 312 by a bore 324. Two bosses 326 (only one is shown in FIG. 6) protrude from the upper surface 312 of the central portion 341. Each boss 326 has a center hole 328 that is connected to allow fluid to pass through the respective bore 324 into the fluid passage 322. The fluid passage 322 receives fluid from the liner fluid source 121, and the temperature of the first liner 134 is adjusted by transferring the heat to the first liner 134. By circulating fluid from the liner fluid source 121 through the first liner 134, the amount of heat provided to the first liner 134 is controlled to maintain the first liner at a predetermined temperature. Fluid, such as liquid and / or gas fluid, flows through the fluid passage 322 to control the temperature of the first liner 134. The fluid may be a liquid such as deionized water and / or ethylene glycol or a fluid such as liquid or solid nitrogen or Freon (Freon, TM Dupont de Nemours, Ailmington, Delaware).

당업자는 여기에서 제공된 실시예와 다른 구성을 창출할 수 있다. 예를 들면, 도 7에 도시된 바와 같이, 리드 어셈블리 (202) 는 제 1 유체 통로 (322a) 및 제 2 유체 통로 (322b) 를 포함할 수 있다. 도 7에 도시된 바와 같이, 제 1 및 제 2 리드 통로 (322a, 322b) 는 공통 입구 (330i) 및 공통 출구 (330o) 를 공유할 수 있다. 또는, 추가의 입구 및 출구를 이용할 수 있다. 제 1 및 제 2 유체 통로 (322A, 322B) 는 2개의 튜브 통로 구성으로 또한 더블 백 (double back) 될 수 있다. 추가의 튜브 통로 또한 다른 방법으로 포함될 수 있다.Those skilled in the art can create other configurations than the embodiments provided herein. For example, as shown in FIG. 7, the lid assembly 202 can include a first fluid passage 322a and a second fluid passage 322b. As shown in FIG. 7, the first and second lead passages 322a, 322b may share a common inlet 330i and a common outlet 330o. Alternatively, additional inlets and outlets may be used. The first and second fluid passages 322A, 322B can also be double backed in a two tube passage configuration. Additional tube passages may also be included in other ways.

도 5 및 도 6으로 되돌아가면, 챔버 (100) 로부터의 제 1 라이너 (134) 의 빠른 제거 및 교체를 촉진하기 위해, 퀵 커넥트 유체 커플링 (quick connect fluid coupling) 을 이용하여 라이너 유체 공급기 (121) 와 제 1 라이너 (134) 를 유체가 통과하도록 연결시킬 수 있다. 통상, 수 파이프 쓰레드 형상 (male pipe thread-form) 의 퀵 커넥트 (336) 는 보스 (326) 의 중심홀 (328) 의 암 쓰레드 형상 (female thread-form) 에 삽입된다. 쌍을 이룬 커플링 (332) 은 유체 공급 라인 (334) 의 터미널 단부에 고정된다. 유체 공급 라인 (334) 은 통로 (322)를 라이너 유체 공급기 (121) 에 연결한다. 이 구성의 일 장점은 제 1 라이너 (134) 를 교체하는 경우 유체 공급 라인 (334) 이 용이하게 분리될 수 있는 것이다. 그러나, 제 1 라이너 (134) 를 유체 공급 라인 (334) 으로 결합하는 다른 방법으로, 예를 들면, 파이프 쓰레드, 바브드 니플 (barbed nipple), 콜렉트 코넥터 (collect connector) 등이 이용될 수 있다. 퀵 커넥트들은 시중에서 구할 수 있으며, 통상 포트 (port) 크기 (쓰레드-형상 및 유량 용량) 에 따라 선택된다.5 and 6, the liner fluid supply 121 is used with a quick connect fluid coupling to facilitate quick removal and replacement of the first liner 134 from the chamber 100. ) And the first liner 134 may be connected to allow fluid to pass through. Typically, the quick connect 336 of the male pipe thread-form is inserted into the female thread-form of the center hole 328 of the boss 326. The paired coupling 332 is secured to the terminal end of the fluid supply line 334. The fluid supply line 334 connects the passage 322 to the liner fluid supply 121. One advantage of this configuration is that the fluid supply line 334 can be easily separated when the first liner 134 is replaced. However, as another method of coupling the first liner 134 to the fluid supply line 334, for example, pipe threads, barbed nipples, collect connectors, and the like can be used. Quick connects are commercially available and are usually chosen based on port size (thread-shape and flow capacity).

라이너 벽 (318) 은 최소의 간격을 갖고 측벽 (106) 내측으로 미끄러지도록 크기가 설정된다. 라이너 벽 (318) 은 높이가 변화될 수 있고, 제 2 라이너없이 이용되는 경우에는 챔버 하부 (108) 으로 연장된다. 통상, 제 1 라이너 (134) 및 제 2 라이너 (118) 모두가 도 4에 나타낸 바와 같이 이용되는 경우, 라이너는, 리드 어셈블리 (102) 가 클램프되는 경우 구멍 (116) 주변의 챔버 하부 (108) 으로 제 2 라이너 (118) 를 밀봉하는 O-링 (122) 에 필요한 압축력을 제공하기 위해, 챔버 (100) 내부에 적합한 형상 및 크기를 갖는다. 라이너 벽 (318) 은 다양한 목적을 위한 복수개의 다른 포트를 추가로 포함할 수도 있다. 이러한 다른 포트의 일 예에는 챔버 (100) 의 슬릿 개구부를 따라 정렬되는 기판 접근 포트가 있다.The liner wall 318 is sized to slide inside the sidewall 106 with minimal spacing. The liner wall 318 may vary in height and extend to the chamber bottom 108 when used without the second liner. Typically, when both the first liner 134 and the second liner 118 are used as shown in FIG. 4, the liner is lower in the chamber 108 around the hole 116 when the lid assembly 102 is clamped. In order to provide the necessary compressive force for the O-ring 122 sealing the second liner 118, it has a shape and size suitable for the interior of the chamber 100. The liner wall 318 may further include a plurality of other ports for various purposes. One example of such another port is a substrate access port that is aligned along the slit opening of the chamber 100.

도 4를 다시 참조하면, 제 2 라이너 (118) 는 적어도 일부분이 챔버 볼륨 (110) 의 하부를 둘러싼다. 또한, 라이너 (118) 상의 처리 재료의 증착물을 감소시키기 위해, 제 2 라이너가 챔버 볼륨 (110) 내에서 32의 피크간 RMS 거칠기를 갖는 비교적 뜨겁고 매끄러운 표면을 제공하도록 구성될 수 있다. 제 2 라이너 (118) 는 도관 (123) 에 의해 라이너 유체 소오스 (121) 로부터 유체가 제공되는 유체 통로 (119) 를 갖는다. 유체는 유체로부터 제 2 라이너 (118) 로 열을 전달함으로써 제 2 라이너 (118) 의 온도를 조절한다. 유체가 라이너 유체 소오스 (121) 로부터 제 2 라이너 (118) 를 통해 순환됨에 따라, 제 2 라이너 (118) 로 제공되는 열 양이 제어되므로, 제 2 라이너 (118) 가 소정의 온도로 유지되도록 한다.Referring again to FIG. 4, at least a portion of the second liner 118 surrounds the bottom of the chamber volume 110. In addition, the second liner may be configured to provide a relatively hot and smooth surface with 32 peak-to-peak RMS roughness within the chamber volume 110 to reduce deposits of processing material on the liner 118. Second liner 118 has a fluid passageway 119 through which fluid is provided from liner fluid source 121 by conduit 123. The fluid regulates the temperature of the second liner 118 by transferring heat from the fluid to the second liner 118. As the fluid is circulated from the liner fluid source 121 through the second liner 118, the amount of heat provided to the second liner 118 is controlled, thereby allowing the second liner 118 to be maintained at a predetermined temperature. .

도 8 및 도 9는 기저부 (502) 및 외부벽 (506) 을 포함하는 제 2 라이너 (118) 의 일 버전을 나타낸다. 기저부 (502) 의 내부 표면 (508) 및 외부벽 (506) 은 펌핑 볼륨 (114) 에 노출된다. 제 2 라이너 (118) 는 양극처리된 알루미늄, 스테인레스 스틸, 또는 다른 호환가능한 재료 등의 열전도재로 이루어질 수 있다. 기저부 (502) 는, 예를 들면, 주조, 또는 개구부를 플러그한 후 그루브 (groove) 를 밀링 (milling) 함으로써 형성될 수 있는 유체 통로 (119) 를 포함한다. 다른 방법으로, 유체 통로 (119) 는, 도 8에 나타낸 바와 같이, 관통하는 블라인드 홀을 드릴링하고 홀의 개구 단부를 플러깅함으로써 형성될 수 있다. 일 실시형태에서, 유체 통로 (119) 는 제 2 라이너 (118) 를 통과하여 배치되는 배출 포트 (520) 에 근접하여 시작되고 종료되는 원형에 가깝다. 유체 통로 (119) 의 각각의 단부는 기저 (502) 의 외부 표면으로부터 돌출된 보스 (510) 에서 종결된다. 보스 (510) 는 하부벽 (108) 내의 구멍 (116) 과 접촉하고 챔버 (100) 내의 제 2 라이너 (118) 의 적절한 방향을 보장한다 (예를 들면, 포트 정렬). 제 2 라이너 (118) 의 빠른 교환을 촉진하기 위해, 통로 (119) 를 라이너 유체 소오스 (121) 에 유체가 통과하도록 결합되는 도관 (123) 과 제 2 라이너 (118) 사이에 퀵 커넥트 유체 커플링이 이용된다. 통상, 보스 (510) 내의 암 쓰레드-형상으로 삽입되는 수 파이프 쓰레드 형상을 갖는 퀵 커넥트 (512) 또는 O-링과 결합되는 SAE 포트가 이용된다. 쌍을 이룬 커플링 (514) 이 유체 공급기 (121) 에 결합되는 도관 (123) 의 터미널 단부에 부착된다. 즉, 제 2 라이너 (118) 가 변경 또는 교체되는 경우, 도관 (123) 은 용이하게 분리될 수 있다. 그러나, 제 2 라이너 (118) 를 라이너 유체 공급기 (121) 에 결합하는 다른 수단이 다르게 이용될 수 있다.8 and 9 show one version of a second liner 118 that includes a base 502 and an outer wall 506. The inner surface 508 and outer wall 506 of the base 502 are exposed to the pumping volume 114. The second liner 118 may be made of a thermally conductive material, such as anodized aluminum, stainless steel, or other compatible material. The base 502 includes a fluid passage 119 that can be formed, for example, by casting, or by plugging an opening and then milling a groove. Alternatively, the fluid passage 119 can be formed by drilling through blind holes and plugging the opening ends of the holes, as shown in FIG. 8. In one embodiment, the fluid passage 119 is close to a circle that starts and ends close to the outlet port 520 disposed through the second liner 118. Each end of the fluid passage 119 terminates in a boss 510 protruding from the outer surface of the base 502. The boss 510 contacts the hole 116 in the bottom wall 108 and ensures proper orientation of the second liner 118 in the chamber 100 (eg, port alignment). To facilitate rapid exchange of the second liner 118, a quick connect fluid coupling between the conduit 123 and the second liner 118 that couples the passage 119 to the liner fluid source 121 for fluid to pass through. This is used. Typically, a SAE port is used that is coupled with an O-ring or a quick connect 512 having a male pipe thread shape inserted into the female thread-shape within the boss 510. A paired coupling 514 is attached to the terminal end of the conduit 123 that is coupled to the fluid supply 121. That is, when the second liner 118 is changed or replaced, the conduit 123 can be easily separated. However, other means of coupling the second liner 118 to the liner fluid supply 121 may be used differently.

통상, 외부벽 (506) 은 실린더형이고, 챔버 벽과 최소의 간격을 갖는 크기를 갖는다. 전술한 바와 같이, 외부벽 (506) 은 제 1 라이너 (134) 가 이용되는 경우, 높이가 변화될 수 있다. 외부벽 (506) 은 펌핑 포트 (138) 와 정렬되는 배출 포트 (520) 를 추가로 포함한다. 배출 포트 (520) 는 기저벽 (108) 의 일부분을 부분적으로 포위할 수도 있다. 배출 포트 (520) 는 펌핑 볼륨 (114) 내의 가스의 쓰로틀 밸브 (60) 및 펌프 (109) 로의 유체 접근을 제공한다. 외부벽 (506) 은 다양한 목적을 위한 복수개의 다른 포트를 추가로 포함할 수 있다. 이러한 다른 포트의 예에는, 측벽 (106) 의 슬릿 개구부 (139) 와 정렬되어, 챔버 (100) 내외부로 기판 (10) 을 이송하도록 하는 기판 접근 포트 (526) 가 있다.Typically, the outer wall 506 is cylindrical and sized with a minimum distance from the chamber wall. As mentioned above, the outer wall 506 may vary in height when the first liner 134 is used. The outer wall 506 further includes an outlet port 520 that is aligned with the pumping port 138. The discharge port 520 may partially surround a portion of the base wall 108. Discharge port 520 provides fluid access to throttle valve 60 and pump 109 of the gas in pumping volume 114. The outer wall 506 may further include a plurality of different ports for various purposes. An example of such another port is a substrate access port 526 that is aligned with the slit opening 139 of the sidewall 106 to transfer the substrate 10 into and out of the chamber 100.

전술한 라이너 구성의 잇점은 한쌍의 라이너 (134, 118) 를 이용함으로써 라이너 세정을 위한 챔버 다운 시간을 최소화할 수 있는 것이다. 라이너 교체가 필요한 경우, 클램프 (206) 는 개구되고 리드 어셈블리 (102) 가 풀어진다. 각각의 라이너는 퀵 커넥트들을 분리시킴으로써 유체 소오스 (121) 로부터 분리된다. 리드 (202) 및 가스 피드쓰루 (212) 는 제 1 라이너 (134) 로부터 분리되고, 제 1 라이너 (134) 는 챔버 (100) 외부로 들어올려진다. 제 1 라이너 (134) 가 제거되면, 제 2 라이너 (118) 도 유사하게 제거된다. 챔버 다운 시간은 라이너 (134, 118) 를 교체함으로써 최소화된다. 리드 (202) 및 가스 피드쓰루 (212) 는 교체 제 1 라이너 (134) 상에 위치된다. 클램프 (206)가 폐쇄, 즉, 밀봉이 압축되고, 챔버 볼륨 (110) 이 밀봉된다. 각각의 교체 라이너들은 유체 소오스 (121) 을 재접속시킴으로써, 라이너 교환 절차가 완료된다. 제거된 라이너는 축적된 부산물을 제거하기 위해 세정된 후, 이후 라이너 교체를 원하는 챔버 (100) 로의 재-설치를 위해 준비될 수 있다.An advantage of the liner configuration described above is that the use of a pair of liners 134, 118 can minimize chamber down time for liner cleaning. If liner replacement is needed, the clamp 206 is opened and the lid assembly 102 is released. Each liner is separated from the fluid source 121 by separating the quick connects. The lid 202 and gas feedthrough 212 are separated from the first liner 134, and the first liner 134 is lifted out of the chamber 100. Once the first liner 134 is removed, the second liner 118 is similarly removed. Chamber down time is minimized by replacing liners 134 and 118. The lid 202 and gas feedthrough 212 are located on the replacement first liner 134. The clamp 206 is closed, ie the seal is compressed, and the chamber volume 110 is sealed. Each replacement liner reconnects the fluid source 121 to complete the liner change procedure. The removed liner may be cleaned to remove accumulated byproducts and then ready for re-installation into chamber 100 where liner replacement is desired.

또한, 또 다른 태양의 온도 제어 시스템 (400) 에서, 지지체 (124) 의 기저 (200) 는 챔버의 온도를 제어하기 위해 하나 이상의 열 전달 유체 도관 (201) 을 포함할 수 있다. 예를 들면, 도관 (201) 은 기저 (200) 의 주변 지지체 (124) 의 표면들 사이로 열을 전달하고 도관 (201) 내에 열 전달 유체를 전달하기 위해 배치될 수 있다. 처리 챔버의 온도를 제어하는 것 이외에도, 온도 제어된 기저 (201) 는 지지체 (124) 에 대한 표면의 온도가 표면으로의 처리 잔류물의 증착을 방지하기에 충분한 고온으로 유지되도록 보장할 수 있다.Also, in another aspect of the temperature control system 400, the base 200 of the support 124 can include one or more heat transfer fluid conduits 201 to control the temperature of the chamber. For example, conduit 201 may be disposed to transfer heat between the surfaces of the peripheral support 124 of the base 200 and to transfer heat transfer fluid within the conduit 201. In addition to controlling the temperature of the processing chamber, the temperature controlled base 201 can ensure that the temperature of the surface relative to the support 124 is maintained at a high temperature sufficient to prevent the deposition of processing residues onto the surface.

본 발명에 따른 온도 제어된 라이너 (104) 및 지지체 기저 (200) 의 동작을 도 10에 나타낸다. 동작 시, 제 1 라이너 (134) 및 제 2 라이너 (118) 의 온도는 라이너 유체 소오스 (121) 로부터 각각의 라이너 (118, 134) 내의 통로 (119,322) 를 통해 유체를 흘림으로써 제어된다. 통로 (119, 322) 로부터 배출되면, 열 전달 유체는, 지지체 기저 (200) 내의 열 전달 유체 도관 (201) 으로 흐르고 라이너 유체 소오스 (121) 로 되돌아오기 전에, 단일 도관으로 결합될 수 있다. 열 전달 유체는 라이너 (118, 134) 들 사이 및 기저 (200) 와 유체 사이에 열을 전달함으로써 라이너 (118, 134) 와 기저 (200) 의 온도를 조절하도록 제공된다. 온도 및 라이너 유체 소오스 (121) 로부터의 유체의 유량은, 열 전달 유체에 의해 라이너 (118, 134) 및 기저 (200) 로 전달되는 열을 조절하도록 제어될 수 있다. 일 버전에서, 유저는 라이너 벽 (118, 134) 및 기저 (200) 의 온도에 대한 일 설정 포인트, 예를 들면, 유저 입력된 설정-포인트를 유지하기 위한 라이너 유체 소오스 (121) 로부터 방출되는 유체의 양 및 온도를 조절하였던, 제어기 (160) 에 제공할 수 있다. 라이너 (104) 상의 처리 잔류물의 증착을 감소시킬 수 있는 적절한 라이너 온도는 약 50 내지 약 70 EC가 될 수 있다.The operation of the temperature controlled liner 104 and the support base 200 according to the present invention is shown in FIG. 10. In operation, the temperature of the first liner 134 and the second liner 118 is controlled by flowing fluid from the liner fluid source 121 through the passages 119, 322 in the respective liners 118, 134. Upon exiting the passages 119, 322, the heat transfer fluid may be combined into a single conduit before flowing to the heat transfer fluid conduit 201 in the support base 200 and back to the liner fluid source 121. The heat transfer fluid is provided to regulate the temperature of the liner 118, 134 and the base 200 by transferring heat between the liners 118, 134 and between the base 200 and the fluid. The temperature and flow rate of the fluid from the liner fluid source 121 can be controlled to regulate the heat transferred to the liner 118, 134 and the base 200 by the heat transfer fluid. In one version, the user releases the fluid discharged from the liner fluid source 121 to maintain one set point, for example, a user input set-point for the temperature of the liner walls 118, 134 and the base 200. May be provided to the controller 160, which has adjusted the amount and temperature. Suitable liner temperatures that can reduce the deposition of treatment residues on liner 104 can be from about 50 to about 70 EC.

복수개의 영역 배면 열 전달 가스를 갖는 유전체 (55), 열 전달 유체 도관 (201) 을 포함하는 지지체 기저 (200), 전도체 (62) 및 접착층 (73) 을 포함하는 온도 제어 시스템의 구성 요소들, 유체 순환 라이너 (104), 및 히터 (67) 는 기판 (10) 의 온도를, 예를 들면, 기판 (10) 의 플라즈마 공정 시 발생되는 열을 제거함으로써 제어할 수 있다. 온도 제어 시스템 (400) 에 의해 제공된 열 전달 효율은, 챔버에 가해진 고 RF 전력 레벨 및 자기장, 심지어, 3200 watts 이상의 RF 전력 레벨 및 100 Gauss 보다 큰 자기장이, 장 기간 시간동안 유지되도록 보장하기 위해, 이용될 수 있다.Components of a temperature control system comprising a dielectric 55 having a plurality of region backside heat transfer gases, a support base 200 comprising a heat transfer fluid conduit 201, a conductor 62 and an adhesive layer 73, The fluid circulation liner 104 and the heater 67 can control the temperature of the substrate 10 by, for example, removing heat generated during the plasma process of the substrate 10. The heat transfer efficiency provided by the temperature control system 400 ensures that high RF power levels and magnetic fields applied to the chamber, even RF power levels above 3200 watts and magnetic fields greater than 100 Gauss, are maintained for long periods of time, Can be used.

즉, 챔버 (100) 의 태양은 기판 (10) 상의 형성체의 고 애스펙트 비 에칭을 양호한 에칭 속도를 제공하도록 작용한다. 예를 들면, 가스 에너자이저 (141) 는 형성체 (29) 가 높게 에너지적으로 에칭되도록 하는 충분하게 고 전력 밀도를 제공한다. 자기장 발생기 (292) 는 챔버 (100) 에 충분하게 높은 자기장 세기를 제공함으로써 양호한 형성체 프로파일을 유지한다. 온도 제어 시스템 (400) 은 기판 (10) 상에 고 애스펙트 비 형성체를 양호한 에칭 속도로 에칭하기 위해, 예를 들면, 기판 (10) 의 온도를 제어함으로써 챔버에 적절한 온도를 제공한다. 또한, 온도 제어 시스템 (400) 은 챔버 (100) 표면 상에 증착된 처리 잔류물의 양을 감소시킴으로써, 고 애스펙트 비 형성체의 양호한 에칭 속도로의 에칭을 향상시킨다. 예를 들면, 온도 제어 시스템 (400) 은 라이너 (104) 표면으로의 처리 잔류물의 증착을 감소시키기 위해 라이너 (104) 를 가열할 수 있다. 또한, 라이너 (104) 는 라이너 (104) 표면으로의 처리 잔류물의 점착을 촉진하지 않도록 비교적 매끄러운 표면을 포함할 수도 있다. 또한, 배출기 (114) 는, 챔버 (100) 의 표면의 처리 잔류물의 증착을 감소시키기에 충분히 높은 속도로 처리 잔류물을 챔버 (100) 로부터 제거함으로써, 고 애스펙트 비 형성체 (29) 의 양호한 에칭 속도로의 에칭을 돕는다. 처리 잔류물의 챔버 표면으로의 증착 감소는, 표면에서 벗겨져 떨어지고 기판 (10) 에 역으로 증착된 처리 잔류물양을 감소시킴으로써, 고 애스펙트 비 에칭을 양호한 에칭 속도로 제공한다. 챔버 (100) 의 구성 요소들은 양호한 에칭 속도의 고 애스펙트 비 형성체의 에칭이 기판 내에서 이루어질 수 있는 적절한 챔버 분위기를 제공하도록 작용한다.That is, aspects of the chamber 100 serve to provide high etch rate etching of the formation on the substrate 10 to provide a good etch rate. For example, gas energizer 141 provides a sufficiently high power density that allows formation 29 to be highly energetically etched. The magnetic field generator 292 maintains a good formation profile by providing the chamber 100 with a sufficiently high magnetic field strength. The temperature control system 400 provides an appropriate temperature to the chamber by, for example, controlling the temperature of the substrate 10 to etch the high aspect ratio formation on the substrate 10 at a good etching rate. In addition, the temperature control system 400 reduces the amount of treatment residue deposited on the chamber 100 surface, thereby improving the etching of the high aspect ratio formation at a good etch rate. For example, temperature control system 400 can heat liner 104 to reduce the deposition of treatment residues on liner 104 surface. In addition, the liner 104 may comprise a relatively smooth surface so as not to promote adhesion of the treatment residue to the liner 104 surface. In addition, the ejector 114 removes the treatment residue from the chamber 100 at a rate high enough to reduce the deposition of the treatment residue on the surface of the chamber 100, thereby providing a good etching of the high aspect ratio forming body 29. Help etch at speed Deposition of process residues to the chamber surface reduces the amount of process residues that are peeled off the surface and deposited back to the substrate 10, thereby providing a high aspect ratio etch at a good etch rate. The components of the chamber 100 serve to provide a suitable chamber atmosphere in which etching of high aspect ratio formations of good etch rate can be made in the substrate.

챔버 (100) 는, 도 2에 나타낸 바와 같이, 메모리 (193) 및 주변 컴퓨터 구성 요소에 연결된 California 소재의 Synergy Microsystems의 제품인 68040 마이크로프로세서 또는 California, Santa Clara 소재의 Intel Corporation의 제품인 펜티엄 프로세서 등의 CPU (central processor unit) (174) 를 포함하는 제어기 (160) 에 의해 동작될 수 있다. 메모리 (193) 는그 내부에서 구현되는 컴퓨터 판독가능 프로그램 (189) 을 갖는 컴퓨터 판독가능 매체를 포함한다. 바람직하게는, 메모리 (193) 는 하드 드라이브 (187), CD 또는 플로피 드라이브 (188) 및 램 (172) 을 포함할 수도 있다. 제어기 (100) 는 아날로그 및 디지털 입출력 보드, 인터페이스 보드, 및 모터 제어기 보드 등을 포함하는 복수개의 인터페이스 카드를 더 포함할 수도 있다. 예를 들면, 사용자와 제어기 (160) 사이의 인터페이스는 디스플레이 (190) 및 라이트 펜 (194) 을 통해 이루어질 수 있다. 라이트 펜 (194) 은 그 선단 (tip) 내의 광 센서로 모니터 디스플레이 (190) 에서 방출되는 광을 검출한다. 특정 스크린 및 기능을 선택하기 위해, 사용자는 모니터 (190) 상의 스크린의 설계된 영역을 터치 (touch) 하고 라이트 펜 (194) 상의 버튼을 푸쉬 (push) 한다. 통상, 터치된 영역은 색상이 변경되거나, 새로운 메뉴가 표시되어, 유저와 제어기 (160) 사이의 통신이 확인된다.The chamber 100 is a CPU such as a 68040 microprocessor manufactured by Synergy Microsystems of California or a Pentium processor manufactured by Intel Corporation of Santa Clara, California, connected to the memory 193 and peripheral computer components, as shown in FIG. may be operated by a controller 160 that includes a central processor unit 174. The memory 193 includes a computer readable medium having the computer readable program 189 implemented therein. Preferably, memory 193 may include hard drive 187, CD or floppy drive 188, and RAM 172. The controller 100 may further include a plurality of interface cards including analog and digital input / output boards, interface boards, motor controller boards, and the like. For example, the interface between the user and the controller 160 can be through the display 190 and the light pen 194. The light pen 194 detects light emitted from the monitor display 190 with an optical sensor in its tip. To select a particular screen and function, the user touches the designed area of the screen on the monitor 190 and pushes a button on the light pen 194. In general, the touched area is changed in color, or a new menu is displayed to confirm communication between the user and the controller 160.

컴퓨터-판독가능 프로그램 (189) 은 메모리 (193) 에 저장되거나, CD 또는 플로피 디스크 드라이브 (188) 또는 다른 적절한 드라이브 상에 저장된 컴퓨터 프로그램 제품일 수도 있다. 통상, 컴퓨터 판독 가능 프로그램 (189) 은, 예를 들면, 도 11에 나타낸 바와 같이, 챔버 (100) 및 구성 요소들을 동작시키기 위한프로그램 코드, 챔버 (100) 에서 수행되는 처리를 모니터하기 위한 처리 모니터링 소프트웨어, 안전 시스템 소프트웨어, 및 다른 제어 소프트웨어를 포함하는 처리 제어 소프트웨어 (533) 를 포함한다. 컴퓨터-판독 가능 프로그램 (189) 은, 어셈블리 언어 (Assembly language), C++, 파스칼 (Pascal), 또는 포트란 (Portran) 등의 통상의 컴퓨터-판독 가능 프로그래밍 언어로 기록 (written) 될 수 있다. 적절한 프로그램 코드는 통상의 텍스트 편집기를 이용하고 메모리 (193) 의 컴퓨터-이용 가능한 파일로 저장되거나 구현되는, 단일 파일 또는 복수개의 파일로 입력된다. 입력된 코드 텍스트가 고 수준의 언어인 경우, 코드는 컴파일 (compile) 되고, 컴파일러 코드 결과는 프리컴파일 (precompile) 된 라이브러리 루틴 (library routine) 의 목적 코드에 링크 (link) 된다. 컴파일되고 링크된 목적 코드를 실행하기 위해, 유저는 목적 코드 (objective code) 를 불러내어, CPU (174) 가 그 코드를 판독 및 실행하도록 하여, 프로그램 내에서 식별되는 작업을 수행하도록 한다.Computer-readable program 189 may be stored in memory 193 or may be a computer program product stored on CD or floppy disk drive 188 or other suitable drive. Typically, the computer readable program 189 is, for example, program code for operating the chamber 100 and its components, as shown in FIG. 11, and process monitoring to monitor the processing performed in the chamber 100. Processing control software 533 including software, safety system software, and other control software. Computer-readable program 189 may be written in a conventional computer-readable programming language, such as Assembly language, C ++, Pascal, or Forran. Appropriate program code is entered into a single file or a plurality of files, using conventional text editors and stored or implemented as computer-available files in memory 193. If the input code text is a high level language, the code is compiled and the compiler code result is linked to the object code of the precompiled library routine. To execute the compiled and linked object code, the user invokes the object code, causing the CPU 174 to read and execute the code, to perform the task identified in the program.

도 11은 본 발명에 따른 컴퓨터 가독성 프로그램 (189) 의 소정의 실시 형태에 따른 계층적 제어 구조의 예시적인 블록도이다. 라이트 펜 인터페이스를 이용하여, 유저는 CRT 터미널 상에 표시되는 메뉴 또는 프로그램에 응답하여 처리 설정 및 챔버 번호를 처리 선택 프로그램 (530) 에 입력한다. 처리 챔버 프로그램 (533) 은 소정의 처리에 대한 시간, 가스 조성, 가스 유량, 챔버 압력, 챔버 온도, RF 전력 레벨, 지지체 위치, 히터 온도, 자기장 발생 및 다른 파라미터 등을 설정하는 프로그램 코드를 포함한다. 처리 설정은 소정의 처리를 수행하는데필요한 처리 파라미터의 소정의 그룹이다. 처리 파라미터는 가스 조성, 가스 유량, 온도, 압력, RF 또는 마이크로웨이브 전력 레벨 등의 가스 에너자이저 설정, 자기장 발생, 열 전달 가스 압력, 및 벽 온도를 포함하는 제한없는 처리 조건이다.11 is an exemplary block diagram of a hierarchical control structure in accordance with certain embodiments of computer readable program 189 in accordance with the present invention. Using the light pen interface, the user enters the process settings and chamber number into the process selection program 530 in response to a menu or program displayed on the CRT terminal. Process chamber program 533 includes program code for setting the time, gas composition, gas flow rate, chamber pressure, chamber temperature, RF power level, support position, heater temperature, magnetic field generation, other parameters, and the like for a given process. . The process setting is a predetermined group of process parameters required to perform a predetermined process. Processing parameters are unrestricted processing conditions including gas composition, gas flow rate, temperature, pressure, gas energizer settings such as RF or microwave power level, magnetic field generation, heat transfer gas pressure, and wall temperature.

처리 시퀀스 프로그램 (531) 은 처리 선택 프로그램 (530) 으로부터 챔버 타입 및 처리 파라미터의 설정을 수용하고 동작을 제어하는 프로그램 코드를 포함한다. 시퀀스 프로그램 (531) 은, 처리 챔버 (100) 내에서 복수개의 처리 작업을 제어하는 챔버 관리 프로그램 (532) 으로, 소정의 처리 파라미터를 통과시킴으로써 설정된 처리의 실행을 시작한다. 통상, 처리 챔버 프로그램 (533) 은 기판 정위 (positioning) 프로그램 (534), 가스 플로우 제어 프로그램 (535), 가스 압력 제어 프로그램 (536), 가스 에너자이저 제어 프로그램 (535), 온도 제어 시스템 제어 프로그램 (453), 및 자기장 발생 프로그램 (544) 를 포함한다. 통상, 기판 정위 프로그램 (534) 은 기판을 지지체 (124) 상에 로드하고, 추가적으로, 챔버 (100) 에서 기판 (10) 을 소정의 높이로 들어올리기 위해 이용되는 챔버 구성 요소를 제어하기 위한 프로그램 코드를 포함한다. 처리 가스 제어 프로그램 (535) 은 처리 가스의 상이한 구성물의 유량을 제어하는 프로그램 코드를 포함한다. 처리 가스 제어 프로그램 (535) 은 소망의 가스 유량을 획득하기 위해 안전 셧-오프 (shut-off) 밸브의 개폐 위치를 제어하고, 가스 플로우 제어기 (107o, 107i) 을 램프 온/다운 (ramp on/down) 한다. 압력 제어 프로그램 (536) 은 챔버 (100) 의 배출 시스템 (110) 의 쓰로틀 밸브 (60) 의 개구 크기를 조절함으로써 챔버 (100) 내의 압력을 제어하는 프로그램 코드를 포함한다. 가스 에너자이저 제어프로그램 (537) 은 챔버 (100) 내의 처리 전극 (115, 105) 으로 가해지는 RF 전력 레벨을 설정하는 프로그램 코드를 포함한다. 온도 제어 시스템 제어부는 챔버 (106) 내의 온도를 제어하는 프로그램 코드를 포함한다. 예를 들면, 온도 제어 시스템 제어부는 챔버 라이너 (104) 또는 지지체 (124) 등의 챔버 구성 요소들의 소망하는 소정의 온도를 획득하기 위해 열 전달 유체 및 열 전달 가스의 온도 또는 유량을 설정할 수 있다. 자기장 발생 프로그램 (544) 은 챔버 (100) 로 가해지는 자기장의 세기를 설정하는 것 등의 자기장 발생기 (292) 를 제어하는 프로그램 코드를 포함한다.The process sequence program 531 includes program code for receiving the setting of the chamber type and the process parameters from the process selection program 530 and controlling the operation. The sequence program 531 is a chamber management program 532 that controls a plurality of processing jobs in the processing chamber 100, and starts execution of a set process by passing predetermined process parameters. Generally, the processing chamber program 533 includes a substrate positioning program 534, a gas flow control program 535, a gas pressure control program 536, a gas energizer control program 535, a temperature control system control program 453. ), And magnetic field generation program 544. Typically, the substrate positioning program 534 loads the substrate onto the support 124 and additionally program code for controlling the chamber components used to lift the substrate 10 to a predetermined height in the chamber 100. It includes. The process gas control program 535 includes program code for controlling the flow rates of different components of the process gas. The process gas control program 535 controls the opening and closing positions of the safety shut-off valves to obtain the desired gas flow rate, and ramps the gas flow controllers 107o and 107i on and down. down) The pressure control program 536 includes program code for controlling the pressure in the chamber 100 by adjusting the opening size of the throttle valve 60 of the discharge system 110 of the chamber 100. The gas energizer control program 537 includes program code for setting the RF power level applied to the process electrodes 115 and 105 in the chamber 100. The temperature control system controller includes program code for controlling the temperature in the chamber 106. For example, the temperature control system controller can set the temperature or flow rate of the heat transfer fluid and heat transfer gas to obtain a desired predetermined temperature of chamber components, such as chamber liner 104 or support 124. The magnetic field generation program 544 includes program code for controlling the magnetic field generator 292, such as setting the strength of the magnetic field applied to the chamber 100.

제어기 (160) 에 의해 수용 및/또는 평가된 (evaluated) 데이터 신호가 공장 자동 호스트 컴퓨터 (factory automation host computer)(191) 로 전달될 수 있다. 공장 자동 호스트 컴퓨터 (191) 는 복수개의 시스템, 플랫폼 (platform) 또는 챔버 (100) 로부터의 데이터를 평가하고, 기판 (10) 의 배치에 대해 또는 연장된 기간에 걸쳐, (i) 기판 (10) 상에서 실행되는 처리, (ii) 단일 기판 (10) 에 걸쳐 통계적 관계로 변화될 수 있는 특성, 또는 (iii) 기판 (100) 의 배치를 통계적 관계로 변화시킬 수 있는 특성의 통계적 처리 제어 파라미터를 확인하는 호스트 소프트웨어 프로그램 (192) 을 포함할 수 있다. 또한, 호스트 소프트웨어 프로그램 (192) 은 제위치 처리 평가에서 진행되거나 다른 처리 파라미터를 제어하기 위한 데이터를 이용할 수 있다. 적절한 호스트 소프트웨어 프로그램은 전술한 Applied Materials의 제품인 WORKSTREAMTM소프트웨어 프로그램을 포함한다. 공장 자동호스트 컴퓨터 (191) 는, (i) 기판 특성이 부적합하거나 소정의 범위값내에서 벗어난 경우, 또는, 처리 파라미터가 수용가능한 범위로부터 벗어난 경우, 처리 시퀀스로부터 특정 기판 (10) 의 제거, (ii) 특정 챔버 (100) 에서의 최종 처리, 또는 (iii) 기판 (10) 의 부적절한 특성 또는 처리 파라미터의 결정 시 처리 조건 제어를 위한, 명령 신호를 제공하도록 더욱 구성될 수도 있다. 또한, 공장 자동 호스트 컴퓨터 (191) 는, 호스트 소프트웨어 프로그램 (192) 에 의해 데이터의 평가에 응답하여, 기판 (10) 처리의 시작 또는 마지막 에 명령 신호를 제공할 수도 있다.Data signals received and / or evaluated by the controller 160 may be delivered to a factory automation host computer 191. The factory automated host computer 191 evaluates data from a plurality of systems, platforms, or chambers 100, and (i) the substrate 10 for placement of the substrate 10 or over an extended period of time. Identification of statistical processing control parameters of processing performed on a substrate, (ii) properties that can change in a statistical relationship across a single substrate 10, or (iii) properties that can change the placement of a substrate 100 in a statistical relationship Host software program 192. In addition, the host software program 192 may use data to proceed in the in-situ process evaluation or to control other process parameters. Suitable host software programs include the WORKSTREAM software program, a product of Applied Materials described above. The factory autohost computer 191 may (i) remove a particular substrate 10 from a processing sequence if substrate characteristics are unsuitable or deviate within a predetermined range, or if processing parameters deviate from an acceptable range, (ii) ) May be further configured to provide a command signal for final processing in a particular chamber 100, or (iii) controlling processing conditions in the determination of inappropriate characteristics or processing parameters of the substrate 10. In addition, the factory automated host computer 191 may provide a command signal at the beginning or the end of processing the substrate 10 in response to the evaluation of the data by the host software program 192.

Yes

다음의 예는 본 발명의 효과를 명백하게 하지만; 본 발명은 당업자에게 명백한 다른 처리 및 다른 이용을 위해 이용될 수 있고, 여기에 제공되는 예로 한정되는 것은 아니다. 이러한 예에서, 본 발명에 따른, 단일-웨이퍼 처리 챔버는 약 200 mm의 직경을 갖는 실리콘 웨이퍼 상에 실리콘 디옥사이드를 포함하는 기판 (10) 을 에칭하기 위해 이용되었다.The following example clarifies the effect of the present invention; The present invention can be used for other processing and other uses that are apparent to those skilled in the art, and are not limited to the examples provided herein. In this example, a single-wafer processing chamber, according to the present invention, was used to etch a substrate 10 comprising silicon dioxide on a silicon wafer having a diameter of about 200 mm.

기판 (10) 이 기판 지지체 (124) 상에 위치되었고, 이 지지체 (124) 는 그 내부의 전도체 (62) 의 채널을 통해 열 전달 유체가 통과됨으로써 가열 또는 냉각되었다. 기판 (10) 은 챔버 (100) 의 온도로 평형이 이루어졌으며, 챔버 (100) 내의 압력은 배출기 (110) 의 쓰로틀 밸브 (60) 의 개구 크기를 조절함으로써 설정되었다. 에칭 처리에서, 약 25L의 챔버 볼륨에 대해, 100 sccm의 HBr, 18 sccm의 NF3및 36 sccm의 HeO2를 포함하는 처리 가스가 챔버 (100) 에 주입되었다. 그 후, 전극 (105) 에 RF 전압이 RF 전력 레벨로 인가되었다. 자기장 발생기 (292) 는 챔버 (100) 에 자기장을 가하였다. 기판 (10) 은, 열 전달 가스 제어기 (107i, 107o) 에 의해, 기판 수용 표면 (147) 으로 인가되는 상이한 압력으로의 헬륨 열 전달 가스 (107) 에 의해 냉각되었다.Substrate 10 was positioned on substrate support 124, which was heated or cooled by passing a heat transfer fluid through a channel of conductor 62 therein. The substrate 10 was equilibrated to the temperature of the chamber 100, and the pressure in the chamber 100 was set by adjusting the opening size of the throttle valve 60 of the ejector 110. In the etching process, for about 25 L of chamber volume, a processing gas was injected into the chamber 100 comprising 100 sccm of HBr, 18 sccm of NF 3 and 36 sccm of HeO 2 . Thereafter, an RF voltage was applied to the electrode 105 at an RF power level. The magnetic field generator 292 applied a magnetic field to the chamber 100. The substrate 10 was cooled by the heat transfer gas controllers 107i and 107o by the helium heat transfer gas 107 at different pressures applied to the substrate receiving surface 147.

예 1 내지 3Examples 1 to 3

기판 (10) 의 에칭 동안 전극 (105) 의 온도에 따른 RF 전력 레벨의 효과를 결정하기 위해 이러한 예들이 실시되었다. 지지체 (124) 는 90 EC의 온도로 가열되었고, 챔버 (100) 의 압력은 230 mTorr로 유지되었다. 그 후, 에칭 가스 조성이 챔버 (100) 에 제공되었고, RF 전력 레벨이 1800, 2600, 또는 3490 watts로 설정되었으며, 100 Gauss의 자기장이 인가되었다. 열 전달 가스 제어기 (107i, 107o) 는 16 및 20 mTorr 압력의 헬륨 가스를 기판 (10) 의 배면에 공급하였다. 기판 (10) 은 240분 동안 에칭되었다.These examples have been carried out to determine the effect of the RF power level on the temperature of the electrode 105 during the etching of the substrate 10. The support 124 was heated to a temperature of 90 EC and the pressure in the chamber 100 was maintained at 230 mTorr. Thereafter, the etching gas composition was provided to the chamber 100, the RF power level was set to 1800, 2600, or 3490 watts, and a 100 Gauss magnetic field was applied. The heat transfer gas controllers 107i and 107o supplied helium gas at 16 and 20 mTorr pressures to the back side of the substrate 10. Substrate 10 was etched for 240 minutes.

도 12는 전극 (105) 으로 인가되는 RF 전력 증가에 따른 전극 (105) 의 온도 변화를 나타낸다. 전극 온도의 증가는 1800 watts의 RF 전력 레벨에서 6.8 EC, 2600 watts에서 8.5 EC, 및 3490 watts에서 13.6 EC 인 것으로 측정되었다. 전극 온도, 즉, 기판 온도는 RF 전력 레벨 함수에 따라 상승하는 것으로 결정되었다. 이 함수를 설명하는 식은 전극 (105, 118) 으로 인가되는 RF 전력 레벨의 다항식함수인 것으로 결정되었다. 이러한 예들은 기판 온도가 전극 (105, 118) 으로 인가되는 RF 전력에 크게 의존한다는 것과 기판 (10) 에서 에칭되었던 형성체 (29) 의 에칭 속도와 프로파일에 영향을 주는 것을 나타낸다.12 shows the temperature change of the electrode 105 with increasing RF power applied to the electrode 105. The increase in electrode temperature was measured to be 6.8 EC at an RF power level of 1800 watts, 8.5 EC at 2600 watts, and 13.6 EC at 3490 watts. The electrode temperature, ie the substrate temperature, was determined to rise as a function of RF power level. The equation describing this function was determined to be a polynomial function of the RF power level applied to the electrodes 105, 118. These examples show that the substrate temperature is highly dependent on the RF power applied to the electrodes 105, 118 and affects the etch rate and profile of the formed body 29 that was etched from the substrate 10.

예 4 내지 6Examples 4-6

도 13a 내지 도 13c는 에칭된 형성체 (29) 의 프로파일 상의 RF 전력 레벨 및 형성체 (29) 가 에칭되는 속도의 효과를 나타낸다. 에칭 처리는 상술한 바와 같이 170 mTorr의 챔버 압력, 100 Gauss의 자기장 세기, 90 EC의 전극 온도, 및 1250, 1450, 1600 watts의 RF 전력 레벨로 기판 (10) 에서 수행되었다. 도 13a는 RF 전력 레벨을 증가시키는 경우 획득되는 트렌치 폭과 임계 면적을 나타낸다. 통상, RF 전력 레벨의 증가는 평균 프로파일 폭과 에칭된 형성체의 상부의 평균 임계 면적을 증가시켰다. 0.16 Φm의 평균 폭 및 0.18 Φm의 개구 크기 임계 면적이 1250 watts의 RF 전력에서 획득되는 반면, 평균 폭 및 0.23의 개구 크기 임계 면적이 1600 watts의 RF 전력에서 획득되었다. 도 13b는 RF 전력 레벨이 증가함에 따라 획득되는 에칭 속도 및 애스펙트 비를 나타낸다. RF 전력 레벨이 증가됨에 따라 에칭 속도가 증가되었고 애스펙트 비가 감소되었다. 평균 에칭 속도는 1250 watts에서의 0.72 Φm/min으로부터 1600 watts에서의 0.82 Φm/min로 증가되었다. 1250 watts의 RF 전력 레벨에 대한 평균 애스팩트 비는 36.4이였던 반면, 1600 watts의 RF 전력 레벨에 대한 평균 애스팩트 비는 33.2가 되었다. 도 13c는 RF 전력 레벨을 증가시킴에 따라 획득되는 에칭 속도 및 선택성을 나타낸다. 평균 선택성은 1250 watts에서의 9.8로부터 1600 watts에서의 11.2로 증가되었다. 통상, 이러한 결과는 RF 전력의 증가가 평균 에칭 속도를 증가시키고 양호한 에칭 선택성을 유지하는 것을 나타낸다. 그러나, 또한, RF 전력 레벨의 증가는, 웨이퍼 온도의 RF 전력 레벨의 의존성으로 인한 것으로 믿어지는, 원하지 않게 증가되는 트렌치폭 및 저하된 애스펙트 비를 발생시킨다. 따라서, 에칭 속도를 증가시키기 위해 에칭 처리에 RF 전력 레벨 증가를 이용하는 것이 바람직하다. 그러나, 고 애스펙트 비 형성체 (29) 를 획득하기 위해서는, 선택된 RF 전력 레벨에서 다른 처리 파라미터가 최적화되어야 한다.13A-13C show the effect of the RF power level on the profile of the etched formation 29 and the rate at which the formation 29 is etched. The etching process was performed on the substrate 10 at a chamber pressure of 170 mTorr, magnetic field strength of 100 Gauss, electrode temperature of 90 EC, and RF power levels of 1250, 1450, 1600 watts as described above. 13A shows the trench width and critical area obtained when increasing the RF power level. Typically, increasing the RF power level has increased the average profile width and the average critical area of the top of the etched formation. An average width of 0.16 Φ m and an aperture size critical area of 0.18 Φ m were obtained at RF power of 1250 watts, while an average width and an aperture size critical area of 0.23 were obtained at 1600 watts RF power. 13B shows the etch rate and aspect ratio obtained as the RF power level increases. As the RF power level increased, the etch rate increased and the aspect ratio decreased. The average etch rate increased from 0.72 Φ m / min at 1250 watts to 0.82 Φ m / min at 1600 watts. The average aspect ratio for an RF power level of 1250 watts was 36.4, while the average aspect ratio for an RF power level of 1600 watts was 33.2. 13C shows the etch rate and selectivity obtained with increasing RF power level. Average selectivity increased from 9.8 at 1250 watts to 11.2 at 1600 watts. Typically, these results indicate that increasing RF power increases the average etch rate and maintains good etch selectivity. However, increasing the RF power level also results in an undesirably increased trench width and reduced aspect ratio, believed to be due to the dependence of the RF power level on the wafer temperature. Therefore, it is desirable to use an RF power level increase in the etching process to increase the etching rate. However, to obtain the high aspect ratio former 29, other processing parameters must be optimized at the selected RF power level.

예 7 내지 8Examples 7 to 8

이러한 예들에서, 자기장 세기 또한 RF 전력 레벨 증가 시 좁은 에칭된 형성체 폭을 유지하기 위해 최적화될 수 있는 파라미터인 것으로 발견되었다. 도 14a 내지 도 14c는 에칭 처리 시 자기장 세기 증가 효과를 도시한다. 에칭 처리는 130, 135, 또는 140 mTorr의 챔버 압력, 1250 watts의 RF 전력 레벨, 90 EC의 캐소드 온도, 및 80 또는 100 Gauss의 자기장 세기로 실시되었다. 도 14a는 자기장 세기를 증가시키는 경우 획득된 트렌치 폭 및 임계 치수를 나타낸다. 에칭된 형성체 (29) 의 평균 폭은 80 Gauss에서 0.18 Φm로부터 100 Gauss에서 0.16 Φm의 평균 폭으로 감소되는 것으로 발견되었다. 에칭된 형성체 (29) 의 임계 치수는 80 Gauss에서 0.18 Φm인 평균 임계 치수로부터 100 Gauss에서 0.16 Φm의 평균 임계 치수로 감소되는 것으로 발견되었다. 도 14b는 자기장 세기 증가에따라 획득되는 에칭 속도 및 애스펙트 비를 나타낸다. 평균 형성체 에칭 속도는 80 Gauss에서 0.67 Φm/min로부터 100 Gauss에서 0.73 Φm/min으로 증가되는 것으로 발견되었다. 평균 에칭 속도의 증가는 에칭된 형성체의 애스펙트 비의 감소에 의해 수반되었다. 80 Gauss의 평균 애스펙트 비가 33.0에서 측정된 반면, 100 Gauss의 평균 애스펙트 비는 32.7로 측정되었다. 도 14c는 자기장 세기의 증가에 따라 획득되는 에칭 속도 및 선택성을 나타낸다. 평균 선택성은 80 Gauss에서 10.4로부터 100 Gauss에서 11.2로 증가되는 것으로 나타난다. 즉, 고 자기장 세기를 이용하는 것은 에칭 속도를 증가시킬 수 있고, 동시에 양호한 에칭 프로파일, 고 애스펙트 비 및 좁은 트렌치 폭을 유지한다. 따라서, 고 자기장 세기에서 고 애스펙트 비 형성체 에칭 처리를 실행하는 것이 바람직하다.In these examples, magnetic field strength has also been found to be a parameter that can be optimized to maintain a narrow etched formation width upon increasing RF power level. 14A-14C show the effect of increasing the magnetic field strength during the etching process. Etching treatments were performed at chamber pressures of 130, 135, or 140 mTorr, RF power levels of 1250 watts, cathode temperatures of 90 EC, and magnetic field strengths of 80 or 100 Gauss. 14A shows the trench widths and critical dimensions obtained when increasing the magnetic field strength. The average width of the etched formations 29 was found to decrease from 0.18 phi m at 80 Gauss to 0.16 phi m at 100 Gauss. The critical dimension of the etched formation 29 was found to decrease from an average critical dimension of 0.18 Φ m at 80 Gauss to an average critical dimension of 0.16 Φ m at 100 Gauss. 14B shows the etch rate and aspect ratio obtained with increasing magnetic field strength. The average former etch rate was found to increase from 0.67 Φ m / min at 80 Gauss to 0.73 Φ m / min at 100 Gauss. The increase in average etch rate was accompanied by a reduction in the aspect ratio of the etched formation. The average aspect ratio of 80 Gauss was measured at 33.0, while the average aspect ratio of 100 Gauss was measured at 32.7. 14C shows the etch rate and selectivity obtained with increasing magnetic field strength. Average selectivity appears to increase from 10.4 at 80 Gauss to 11.2 at 100 Gauss. That is, using high magnetic field strength can increase the etch rate while at the same time maintaining a good etch profile, high aspect ratio and narrow trench width. Therefore, it is desirable to carry out the high aspect ratio forming body etching process at high magnetic field strength.

예 9 내지 10Examples 9-10

100 및 120 Gauss의 자기장 세기 및 1450 watts의 RF 전력 레벨에서 실행된 에칭 처리와 비교함으로써, 에칭 처리의 자기장 세기의 증가 효과를 더 테스트하였다. 챔버 압력이 170 mTorr에서 유지되었고, 전극 온도가 90 EC로 설정되었다. 120 Gauss의 자기장 세기에서 실행된 처리용 평균 에칭 속도는 34.0의 애스펙트 비를 갖는 0.78 Φm/min인 것으로 발견되었다. 이러한 값은 100 Gauss의 자기장 세기에서 획득되는 0.756의 평균 에칭 비 및 33.5의 평균 애스펙트 비보다 실질적으로 더욱 바람직하다. 더욱 높은 자기장 세기는 에칭 처리 동안 보호 측벽 스페시스 (30) 의 증착을 조절함으로써 고 애스펙트 비를 유지하고 트렌치 폭을 더욱좁게 하는 것으로 생각된다. 또한, 이 예는 고 자기장 세기가 고 애스펙트 비 형성체의 에칭을 향상시키는 것을 나타낸다.The effect of increasing the magnetic field strength of the etch process was further tested by comparing it with the etch process performed at 100 and 120 Gauss magnetic field strengths and RF power levels of 1450 watts. Chamber pressure was maintained at 170 mTorr and electrode temperature was set to 90 EC. The average etching rate for processing performed at a magnetic field strength of 120 Gauss was found to be 0.78 Φ m / min with an aspect ratio of 34.0. This value is substantially more desirable than the average etch ratio of 0.756 and the average aspect ratio of 33.5 obtained at a magnetic field strength of 100 Gauss. Higher magnetic field intensities are believed to maintain the high aspect ratio and further narrow the trench width by controlling the deposition of the protective sidewall splice 30 during the etching process. This example also shows that the high magnetic field strength improves the etching of high aspect ratio formers.

예 11 내지 18Examples 11-18

이러한 비교 예에서는, 더욱 높은 RF 전력을 이용하는 에칭 처리와 더욱 낮은 RF 전력을 이용하는 에칭 처리를 비교하였다. 에칭된 형성체의 형상과 함께 에칭 처리에서 획득되는 에칭 속도를 아래의 표 1에 나타낸다.In this comparative example, the etching process using higher RF power and the etching process using lower RF power were compared. The etch rates obtained in the etching process together with the shape of the etched formations are shown in Table 1 below.

예 11 내지 13에서, 에칭 처리는 900 watts의 RF 전력 레벨에서 실행되었으며, 동시에, 전극은 90 EC의 온도에서 유지되었고, 챔버 압력은 200 mTorr로 유지되었으며, 헬륨 압력은 단일 열 전달 가스 영역 (99) 에 대해 지지체 (124) 상에서 14Torr로 유지되었으며, 자기장은 100 Gauss의 고 레벨로 유지되었다.In Examples 11-13, the etching process was performed at an RF power level of 900 watts, at the same time, the electrode was maintained at a temperature of 90 EC, the chamber pressure was maintained at 200 mTorr, and the helium pressure was measured in a single heat transfer gas region (99 ) Was maintained at 14 Torr on the support 124 and the magnetic field was maintained at a high level of 100 Gauss.

예 14 내지 16에서, 에칭 처리는 1800 watts의 RF 전력 레벨에서 실행되었으며, 동시에, 전극은 90 EC의 온도에서 유지되었고, 챔버 압력은 230 mTorr에서 유지되었으며, 헬륨 내부 및 외부 출구 압력은 각각 14 및 20Torr에서 유지되었으며, 자기장은 100 Gauss의 고 레벨로 유지되었다.In Examples 14-16, the etching process was performed at an RF power level of 1800 watts, while the electrode was maintained at a temperature of 90 EC, the chamber pressure was maintained at 230 mTorr, and the helium internal and external outlet pressures were 14 and It was maintained at 20 Torr and the magnetic field was maintained at a high level of 100 Gauss.

예 17에서, 에칭 처리는 1800 watts의 RF 전력 레벨에서 실행되었으며, 동시에, 전극은 90 EC의 온도로 유지되었고, 챔버 압력은 200-230 mTorr로 유지되었으며, 헬륨 내부 및 외부 출구 압력은 각각 6-14Torr 및 15-20Torr로 유지되었으며, 자기장은 100 Gauss의 고 레벨로 유지되었다.In Example 17, the etching process was performed at an RF power level of 1800 watts, while the electrode was maintained at a temperature of 90 EC, the chamber pressure was maintained at 200-230 mTorr, and the helium internal and external outlet pressures were 6- It was maintained at 14 Torr and 15-20 Torr, and the magnetic field was maintained at a high level of 100 Gauss.

예 18에서, 에칭 처리는 2600 watts의 RF 전력 레벨에서 실행되었으며, 동시에, 전극은 70 EC의 온도로 유지되었고, 챔버 압력은 230 mTorr로 유지되었으며, 헬륨 내부 및 외부 출구 압력은 각각 10-16Torr 및 20Torr로 유지되었으며, 자기장은 100 Gauss의 고 레벨로 유지되었다.In Example 18, the etching process was performed at an RF power level of 2600 watts, while the electrode was maintained at a temperature of 70 EC, the chamber pressure was maintained at 230 mTorr, and the helium internal and external outlet pressures were 10-16 Torr and It was maintained at 20 Torr and the magnetic field was maintained at a high level of 100 Gauss.

이러한 예들은, 고 RF 전력 레벨을 이용하는 에칭 처리가, 더욱 낮은 RF 전력 레벨을 이용하는 에칭 처리보다 더욱 빠른 평균 에칭 속도로 고 애스펙트 비를 갖는 에칭된 형성체를 획득하는 것을 나타낸다.These examples show that an etch process using a high RF power level obtains an etched formation having a high aspect ratio at a faster average etch rate than an etch process using a lower RF power level.

가능한 예Possible example

다음은 양호한 에칭 속도 프로파일을 갖고 고 에칭 속도를 제공하는 본 발명의 예시적 처리를 모의 실험하기에 가능한 예이다. 이 예에서, 에칭 처리는 50 내지 60 EC의 전극 온도, 각각 16Torr 및 20Torr의 내부 및 외부 가스 영역 헬륨 압력, 3500 watts의 RF 전력 레벨, 및 100 Gauss의 자기장 세기에서 실행된다. 처리 가스 조성 및 가스 압력은 여기서 제공되는 것과 동일하다. 이러한 최적화된 파라미터를 이용하여, 약 1.3 Φm/min 이상의 에칭 속도에서 양호한 에칭 프로파일을 이룰 수 있는 것으로 예상된다. 이는 1800 watts의 RF 전력 레벨 및 100 Gauss의 자기장 세기에서 실행되는 기저라인 에칭 처리 이상의 실질적인 증가를 나타낸다. 즉, RF 전력 레벨을 증가시킴으로써 고 에칭 속도 및 양호한 에칭 프로파일이 획득될 것으로 예상된다.The following is a possible example to simulate the exemplary process of the present invention having a good etch rate profile and providing a high etch rate. In this example, the etching process is performed at an electrode temperature of 50 to 60 EC, internal and external gas region helium pressures of 16 Torr and 20 Torr, RF power level of 3500 watts, and magnetic field strength of 100 Gauss, respectively. Process gas composition and gas pressure are the same as provided herein. Using these optimized parameters, it is expected that a good etch profile can be achieved at an etch rate of about 1.3 Φ m / min or more. This represents a substantial increase over the baseline etch process performed at RF power levels of 1800 watts and magnetic field strengths of 100 Gauss. That is, it is expected that high etch rates and good etch profiles will be obtained by increasing the RF power level.

본 발명의 장치 (50) 및 처리는, 양호한 에칭 프로파일 및 일관성있는 에칭 속도를 갖는, 고 애스펙트 비 형성체 (29) 의 양호한 에칭을 기판 (10) 상에 제공한다. 장치 (50) 는 고 RF 전력 레벨을 제공할 수 있으며, 동시에, 높은 세기의 자기장 및 양호한 온도 제어를 제공한다. 소정의 온도에서 기판을 유지하거, 동시에, 고 RF 전력 레벨 및 강한 자기장 세기를 제공하는 기판 (10) 처리는, 양호한 에칭 속도에서의 고 애스펙트 비 형성체의 에칭을 허용한다. 예상치 못하게, 고 RF 전력 레벨은 고 애스펙트 비 형성체에 대해 양호한 에칭 속도를 제공하고 고 자기장 세기는 작은 임계 치수 및 고 애스펙트 비 형성체의 개구 크기를 유지하는 것이 발견되었다. 또한, 소정의 범위의 온도에서 기판을 유지하는 것은 에칭된 형성체 프로파일의 형상을 향상시킨다. 또한, 챔버 (100) 기판으로의 처리 잔류물의 증착은 양호한 에칭 속도로 고 애스펙트 비 형성체의 에칭을 허용하는 것으로 발견되었다. 그 결과, 본 발명에 따른 에칭 장치 및 처리는 고 애스펙트 비 형성체를 고 에칭 속도로 에칭할 수 있고, 에칭된 형성체의 작은 개구 크기 및 양호한 프로파일을 유지할 수 있다.The apparatus 50 and the process of the present invention provide a good etching of the high aspect ratio forming body 29 on the substrate 10, which has a good etching profile and a consistent etching rate. The apparatus 50 can provide high RF power levels while at the same time providing a high intensity magnetic field and good temperature control. Substrate 10 processing, which maintains the substrate at a predetermined temperature and at the same time provides high RF power levels and strong magnetic field strengths, allows for etching of high aspect ratio formation at good etch rates. Unexpectedly, it has been found that high RF power levels provide good etch rates for high aspect ratio formations and high magnetic field strengths maintain small critical dimensions and aperture size of high aspect ratio formations. In addition, maintaining the substrate at a predetermined range of temperatures improves the shape of the etched formed profile. In addition, the deposition of process residues into chamber 100 substrates has been found to allow etching of high aspect ratio formation at good etch rates. As a result, the etching apparatus and the process according to the present invention can etch the high aspect ratio formation at a high etching rate and maintain a small opening size and a good profile of the etched formation.

비록, 본 발명의 예시적인 실시형태를 도시하여 설명하였지만, 본 발명에 포함되고 본 발명의 범위 이내에서 다른 실시형태를 안출할 수 있다. 예를 들면, 본 발명의 범위로부터 벗어나지 않는다면, 다른 전력 레벨에서 동작되는 추가의 전극이 이용될 수 있다. 또한, 당업자에게 명백한 바와 같이, 자기장 발생기는 다른 자기장 소오스를 포함할 수도 있다. 또한, 이하, 이상, 하부, 상부, 상, 하, 제 1 및 제 2, 및 다른 상대적인 용어 또는 위치 용어는 도면의 예시적인 실시형태와 대응되도록 나타낸 것이며, 상호 호환가능하다. 따라서, 첨부된 청구범위는 본 발명에서 설명되었던 바람직한 버전, 재료, 공간적인 배치의 설명으로 한정되는 것은 아니다.Although illustrative embodiments of the present invention have been illustrated and described, other embodiments may be devised within the scope of the present invention. For example, additional electrodes can be used that operate at different power levels without departing from the scope of the present invention. In addition, as will be apparent to one skilled in the art, the magnetic field generator may include other magnetic field sources. Further, the following, above, below, above, above, below, first and second, and other relative terms or position terms are shown to correspond to the exemplary embodiments of the drawings and are mutually compatible. Accordingly, the appended claims are not limited to the description of the preferred versions, materials, and spatial arrangements that have been described herein.

Claims (32)

챔버로 가스를 제공하는 가스 공급기;A gas supply for providing gas to the chamber; 상기 가스를 에너자이징하기 위해 전기적으로 바이어스될 수 있는 제 1 및 제 2 전극으로서, 상기 제 2 전극이 약 10 watts/㎠ 이상의 전력 밀도로 하전될 수 있도록 구성되며 기판을 수용하는 수용 표면을 포함하는, 제 1 및 제 2 전극; 및First and second electrodes that can be electrically biased to energize the gas, the second electrodes being configured to be charged at a power density of at least about 10 watts / cm 2 and including a receiving surface for receiving a substrate; First and second electrodes; And 상기 가스를 배출하는 배출기를 포함하는 것을 특징으로 하는 기판 처리 챔버.And an ejector for exhausting the gas. 제 1 항에 있어서, 상기 제 2 전극은 약 200 mm의 직경을 갖는 기판에 대해 약 3200 watts 이상의 전력으로 하전될 수 있도록 구성되는 것을 특징으로 하는 기판 처리 챔버.The substrate processing chamber of claim 1, wherein the second electrode is configured to be capable of being charged at about 3200 watts or more for a substrate having a diameter of about 200 mm. 제 1 항에 있어서, 상기 챔버에 약 100 Gauss 이상의 자기장을 제공하도록 구성되는 자기장 발생기를 포함하는 것을 특징으로 하는 기판 처리 챔버.The substrate processing chamber of claim 1, comprising a magnetic field generator configured to provide a magnetic field of at least about 100 Gauss to the chamber. 제 3 항에 있어서, 상기 자기장 발생기는 그 내부에 열 전달 유체를 순환시키는 재킷 (jacket) 을 갖는 전자석을 포함하는 것을 특징으로 하는 기판 처리 챔버.4. The substrate processing chamber of claim 3, wherein the magnetic field generator comprises an electromagnet having a jacket therein for circulating a heat transfer fluid therein. 제 1 항에 있어서, 약 30 이상의 애스펙트 비를 갖는 기판 형성체를 에칭하기 위한 처리 조건을 설정하기 위해, 상기 제 1 및 제 2 전극, 자기장 발생기, 및 온도 제어 시스템을 제어하도록 구성되는 제어기를 포함하는 것을 특징으로 하는 기판 처리 챔버.The apparatus of claim 1, comprising a controller configured to control the first and second electrodes, the magnetic field generator, and a temperature control system to set processing conditions for etching a substrate formation having an aspect ratio of about 30 or more. A substrate processing chamber, characterized in that. 제 5 항에 있어서, 약 0.14 Φm이하의 개구 크기 또는 약 8 Φm이상의 깊이를 갖는 기판 형성체를 에칭하기 위한 처리 조건을 설정하도록 구성되는 제어기를 포함하는 것을 특징으로 하는 기판 처리 챔버.6. The substrate processing chamber of claim 5, comprising a controller configured to set processing conditions for etching a substrate formation having an opening size of about 0.14 mm or less or a depth of about 8 mm or more. 제 1 항에 있어서, 상기 챔버내의 온도를 제어하도록 구성되는 온도 제어 시스템을 포함하는 것을 특징으로 하는 기판 처리 챔버.The substrate processing chamber of claim 1, comprising a temperature control system configured to control the temperature in the chamber. 제 7 항에 있어서, 약 240 EC 이하의 온도 또는 약 5 EC 미만으로 변화되는 온도에서 상기 기판이 유지되도록 하게 하는 온도 제어 시스템을 포함하는 것을 특징으로 하는 기판 처리 챔버.8. The substrate processing chamber of claim 7, comprising a temperature control system to allow the substrate to be maintained at a temperature below about 240 EC or at a temperature varying below about 5 EC. 제 7 항에 있어서, 상기 온도 제어 시스템은,The method of claim 7, wherein the temperature control system, (a) 기판의 처리 동안 상이한 압력으로 열 전달 가스가 유지될 수 있는 복수개의 영역을 갖는 기판 수용 표면;(a) a substrate receiving surface having a plurality of regions in which heat transfer gas can be maintained at different pressures during processing of the substrate; (b) 상기 제 2 전극 하부에 위치되고, 그 내부에서 열 전달 유체를 순환시키는 채널을 포함하는 전도체;(b) a conductor positioned below said second electrode and including a channel for circulating a heat transfer fluid therein; (c) 매그러운 표면을 포함하는 라이너; 및(c) a liner comprising a smooth surface; And (d) 그 내부에서 열 전달 유체를 순환시키는 통로 또는 챔버벽을 가열하도록 구성되는 히터를 갖는 챔버벽,(d) a chamber wall having a heater configured to heat the passage or chamber wall for circulating a heat transfer fluid therein, 중 하나 이상을 포함하는 것을 특징으로 하는 기판 처리 챔버.And at least one of the substrate processing chambers. 제 9 항에 있어서, 상기 온도 제어 시스템은 상기 전도체 하부의 기저에 열 전달 유체를 순환시키는 도관을 포함하는 것을 특징으로 하는 기판 처리 챔버.10. The substrate processing chamber of claim 9, wherein said temperature control system comprises a conduit for circulating a heat transfer fluid at the bottom of said conductor. 제 1 항에 있어서, 상기 제 1 및 제 2 전극은 약 1 cm 내지 약 5 cm의 거리로 이격되는 것을 특징으로 하는 기판 처리 챔버.The substrate processing chamber of claim 1, wherein the first and second electrodes are spaced at a distance of about 1 cm to about 5 cm. 제 11 항에 있어서, 상기 가스 공급기는 상기 전극들 중 하나에 가스 출구를 포함하는 것을 특징으로 하는 기판 처리 챔버.12. The substrate processing chamber of claim 11, wherein the gas supply includes a gas outlet at one of the electrodes. 제 1 항에 있어서, 상기 제 2 전극은 전도체를 피복하는 유전체를 포함하고, 상기 유전체는 약 1×109내지 1×1013ohms-cm의 저항율을 갖는 것을 특징으로 하는 기판 처리 챔버.The substrate processing chamber of claim 1, wherein the second electrode comprises a dielectric covering a conductor, the dielectric having a resistivity of about 1 × 10 9 to 1 × 10 13 ohms-cm. 제 13 항에 있어서, 상기 유전체는 약 1×1010내지 1×1012ohms-cm의 저항율을 갖는 것을 특징으로 하는 기판 처리 챔버.The substrate processing chamber of claim 13, wherein the dielectric has a resistivity of about 1 × 10 10 to 1 × 10 12 ohms-cm. 제 13 항에 있어서, 상기 유전체는 약 0.02 내지 약 2 mm의 두께를 갖는 것을 특징으로 하는 기판 처리 챔버.The substrate processing chamber of claim 13, wherein the dielectric has a thickness of about 0.02 to about 2 mm. (a) 처리 영역 내에 기판을 제공하는 단계;(a) providing a substrate in a processing region; (b) 상기 처리 영역으로 가스를 주입하는 단계;(b) injecting gas into the processing region; (c) 상기 기판 하부의 전극에 약 10 watts/cm2이상의 전력 밀도로 전기 에너지를 인가함으로써 상기 가스를 에너자이징하는 단계; 및(c) energizing the gas by applying electrical energy to an electrode below the substrate at a power density of at least about 10 watts / cm 2 ; And (d) 상기 가스를 배출하는 단계를 포함하는 것을 특징으로 하는 기판 처리 방법.(d) discharging said gas. 제 16 항에 있어서, 상기 처리 영역에 약 100 Gauss 이상의 자기장을 인가하는 단계를 포함하는 것을 특징으로 하는 기판 처리 방법.17. The method of claim 16, comprising applying a magnetic field of at least about 100 Gauss to the processing region. 제 16 항에 있어서, 약 240 EC 미만의 온도 또는 약 5 EC 미만으로 변화되는 온도에서 상기 기판을 유지하는 단계를 포함하는 것을 특징으로 하는 기판 처리 방법.17. The method of claim 16, comprising maintaining the substrate at a temperature below about 240 EC or at a temperature varying below about 5 EC. 제 16 항에 있어서, 약 30 이상의 애스펙트 비 및 약 0.14 Φm 미만의 개구 크기를 갖는 기판 형성체를 에칭하기 위해, 상기 가스의 에너자이징, 자기장 유지, 및 상기 기판 온도의 제어를 포함하는 처리 조건을 설정하는 단계를 포함하는 것을 특징으로 하는 기판 처리 방법.18. The method of claim 16, wherein processing conditions are set to include energizing the gas, maintaining a magnetic field, and controlling the substrate temperature to etch a substrate former having an aspect ratio of about 30 or greater and an aperture size of less than about 0.14 Φm. Substrate processing method comprising the step of. 기판 지지체;Substrate support; 챔버로 가스를 제공하는 가스 제공기 및 상기 챔버 내의 가스를 배출하는 배출기;A gas provider for providing gas to the chamber and an discharger for discharging the gas in the chamber; 상기 가스를 에너자이징하도록 전기적으로 바이어스될 수 있는 제 1 및 제 2 전극을 포함하고, 상기 제 2 전극은 약 200 mm의 직경을 갖는 기판에 대해 약 3200 watts이상의 전력으로 하전될 수 있도록 구성되는, 가스 에너자이저;A first and second electrode that can be electrically biased to energize the gas, the second electrode configured to be capable of being charged with power of at least about 3200 watts to a substrate having a diameter of about 200 mm Energizer; 상기 챔버에 약 100 Gauss 이상의 자기장을 제공하도록 구성되는 자기장 발생기; 및A magnetic field generator configured to provide a magnetic field of at least about 100 Gauss to the chamber; And 상기 기판 및 상기 챔버 표면의 온도를 제어하도록 구성되는 온도 제어 시스템을 포함하는 것을 특징으로 하는 기판 에칭 챔버.A temperature control system configured to control the temperature of the substrate and the chamber surface. 제 20 항에 있어서, 상기 자기장 발생기는 상기 챔버에 약 120 Gauss 이상의 자기장을 제공하도록 구성되는 것을 특징으로 하는 기판 에칭 챔버.21. The substrate etching chamber of claim 20, wherein the magnetic field generator is configured to provide a magnetic field of at least about 120 Gauss to the chamber. 제 20 항에 있어서, 상기 온도 제어 시스템은 상기 기판을 약 240 EC 이하의 온도 또는 약 5 EC 미만으로 변화되는 온도에서 유지하도록 하게 하는 것을 특징으로 하는 기판 에칭 챔버.21. The substrate etch chamber of claim 20, wherein the temperature control system is configured to maintain the substrate at a temperature that is less than about 240 EC or less than about 5 EC. 제 20 항에 있어서, 약 30 이상의 애스펙트 비 및 약 0.14 Φm 미만의 개구 크기를 갖는 기판 형성체를 에칭하기 위한 처리 조건을 설정하기 위해, 상기 제 1 및 제 2 전극, 자기장 발생기, 및 온도 제어 시스템 중 하나 이상을 제어하는 제어기를 포함하는 것을 특징으로 하는 기판 처리 챔버.21. The system of claim 20, wherein the first and second electrodes, the magnetic field generator, and the temperature control system are configured to set processing conditions for etching a substrate formation having an aspect ratio of at least about 30 and an aperture size of less than about 0.14 [phi] m. And a controller to control one or more of the substrate processing chambers. 제 20 항에 있어서, 상기 온도 제어 시스템은 매끄러운 표면을 갖는 라이너를 포함하는 것을 특징으로 하는 기판 처리 챔버.21. The substrate processing chamber of claim 20, wherein said temperature control system comprises a liner having a smooth surface. 제 20 항에 있어서, 상기 제 2 전극은 전도체를 피복하는 유전체를 포함하고, 상기 유전체는 약 1×109내지 약 1×1013ohms-cm의 저항률을 갖는 것을 특징으로 하는 기판 처리 챔버.21. The substrate processing chamber of claim 20, wherein said second electrode comprises a dielectric covering said conductor, said dielectric having a resistivity of about 1x10 9 to about 1x10 13 ohms-cm. (a) 챔버의 처리 영역 내에 기판을 제공하는 단계;(a) providing a substrate in a processing region of the chamber; (b) 상기 처리 영역으로 가스를 주입하는 단계;(b) injecting gas into the processing region; (c) 약 200 mm 직경을 갖는 상기 기판에 대해 약 3200 watts 이상의 전력의전기 에너지로 상기 가스를 결합시킴으로써 상기 가스를 에너자이징하는 단계;(c) energizing the gas by combining the gas with electrical energy of about 3200 watts or more for the substrate having a diameter of about 200 mm; (d) 상기 챔버에 약 100 Gauss 이상의 자기장을 인가하는 단계;(d) applying a magnetic field of at least about 100 Gauss to the chamber; (e) 상기 기판 및 챔버의 온도를 제어하는 단계; 및(e) controlling the temperature of the substrate and the chamber; And (f) 상기 가스를 배출하는 단계를 포함하는 것을 특징으로 하는 기판 에칭 방법.(f) evacuating the gas. 제 27 항에 있어서, 상기 챔버에 약 120 Gauss 이상의 자기장을 인가하는 단계를 포함하는 것을 특징으로 하는 기판 에칭 방법.28. The method of claim 27, comprising applying a magnetic field of at least about 120 Gauss to the chamber. 제 27 항에 있어서, 약 1×109내지 약 1×1013ohms-cm의 저항률을 갖는 유전체로 피복되는 전극을 하전시킴으로써 상기 가스를 에너자이징하는 것을 특징으로 하는 기판 에칭 방법,28. The method of claim 27, wherein the gas is energized by charging an electrode coated with a dielectric having a resistivity of about 1x10 9 to about 1x10 13 ohms-cm. 제 27 항에 있어서, 약 240 EC 이하의 온도 또는 약 5 EC 미만으로 변화되는 온도에서 상기 기판을 유지하는 단계를 포함하는 것을 특징으로 하는 기판 에칭 방법.28. The method of claim 27, comprising maintaining the substrate at a temperature below about 240 EC or at a temperature varying below about 5 EC. 약 30 이상의 애스펙트 비 및 약 0.14 Φm 미만의 개구 크기를 갖는 에칭된 형성체를 포함하는 것을 특징으로 하는 기판.A etched formation having an aspect ratio of at least about 30 and an aperture size of less than about 0.14 Φm. 제 30 항에 있어서, 상기 에칭된 형성체는 약 45 이상의 애스펙트 비를 갖는 것을 특징으로 하는 기판.31. The substrate of claim 30, wherein the etched formation has an aspect ratio of at least about 45. 제 30 항에 있어서, 상기 에칭된 형성체는 약 0.10 Φm 미만의 개구 크기를 갖는 것을 특징으로 하는 기판.31. The substrate of claim 30, wherein the etched formation has an opening size of less than about 0.10 [phi] m.
KR10-2003-7006046A 2000-11-01 2001-11-01 Etching of high aspect ratio features in a substrate KR20030051765A (en)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US70488700A 2000-11-01 2000-11-01
US70525400A 2000-11-01 2000-11-01
US09/704,887 2000-11-01
US09/705,254 2000-11-01
PCT/US2001/046210 WO2002043116A2 (en) 2000-11-01 2001-11-01 Etching of high aspect ratio features in a substrate

Publications (1)

Publication Number Publication Date
KR20030051765A true KR20030051765A (en) 2003-06-25

Family

ID=27107399

Family Applications (1)

Application Number Title Priority Date Filing Date
KR10-2003-7006046A KR20030051765A (en) 2000-11-01 2001-11-01 Etching of high aspect ratio features in a substrate

Country Status (5)

Country Link
EP (1) EP1330839A2 (en)
JP (1) JP2004529486A (en)
KR (1) KR20030051765A (en)
CN (1) CN1471727A (en)
WO (1) WO2002043116A2 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20170118541A (en) * 2016-04-15 2017-10-25 삼성전자주식회사 Method of manufacturing semiconductor device

Families Citing this family (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050230350A1 (en) * 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
CN101978475B (en) 2008-03-21 2013-09-25 应用材料公司 Shielded lid heater assembly
DK2251453T3 (en) 2009-05-13 2014-07-07 Sio2 Medical Products Inc container Holder
US9458536B2 (en) 2009-07-02 2016-10-04 Sio2 Medical Products, Inc. PECVD coating methods for capped syringes, cartridges and other articles
US11624115B2 (en) 2010-05-12 2023-04-11 Sio2 Medical Products, Inc. Syringe with PECVD lubrication
US10595365B2 (en) 2010-10-19 2020-03-17 Applied Materials, Inc. Chamber lid heater ring assembly
US8920599B2 (en) 2010-10-19 2014-12-30 Applied Materials, Inc. High efficiency gas dissociation in inductively coupled plasma reactor with improved uniformity
US9878101B2 (en) 2010-11-12 2018-01-30 Sio2 Medical Products, Inc. Cyclic olefin polymer vessels and vessel coating methods
US9272095B2 (en) 2011-04-01 2016-03-01 Sio2 Medical Products, Inc. Vessels, contact surfaces, and coating and inspection apparatus and methods
US11116695B2 (en) 2011-11-11 2021-09-14 Sio2 Medical Products, Inc. Blood sample collection tube
EP2776603B1 (en) 2011-11-11 2019-03-06 SiO2 Medical Products, Inc. PASSIVATION, pH PROTECTIVE OR LUBRICITY COATING FOR PHARMACEUTICAL PACKAGE, COATING PROCESS AND APPARATUS
CA2887352A1 (en) 2012-05-09 2013-11-14 Sio2 Medical Products, Inc. Saccharide protective coating for pharmaceutical package
JP6509734B2 (en) 2012-11-01 2019-05-08 エスアイオーツー・メディカル・プロダクツ・インコーポレイテッド Film inspection method
EP2920567B1 (en) 2012-11-16 2020-08-19 SiO2 Medical Products, Inc. Method and apparatus for detecting rapid barrier coating integrity characteristics
WO2014085346A1 (en) 2012-11-30 2014-06-05 Sio2 Medical Products, Inc. Hollow body with inside coating
US9764093B2 (en) 2012-11-30 2017-09-19 Sio2 Medical Products, Inc. Controlling the uniformity of PECVD deposition
WO2014134577A1 (en) 2013-03-01 2014-09-04 Sio2 Medical Products, Inc. Plasma or cvd pre-treatment for lubricated pharmaceutical package, coating process and apparatus
US9937099B2 (en) 2013-03-11 2018-04-10 Sio2 Medical Products, Inc. Trilayer coated pharmaceutical packaging with low oxygen transmission rate
KR102211788B1 (en) 2013-03-11 2021-02-04 에스아이오2 메디컬 프로덕츠, 인크. Coated Packaging
WO2014144926A1 (en) 2013-03-15 2014-09-18 Sio2 Medical Products, Inc. Coating method
WO2015148471A1 (en) 2014-03-28 2015-10-01 Sio2 Medical Products, Inc. Antistatic coatings for plastic vessels
US9349605B1 (en) * 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
CN116982977A (en) 2015-08-18 2023-11-03 Sio2医药产品公司 Medicaments and other packages with low oxygen transmission rate
US10714329B2 (en) * 2018-09-28 2020-07-14 Taiwan Semiconductor Manufacturing Co., Ltd. Pre-clean for contacts
CN110233102B (en) * 2019-06-18 2021-01-29 北京北方华创微电子装备有限公司 Etching method
CN111048466B (en) * 2019-12-26 2022-08-16 北京北方华创微电子装备有限公司 Wafer clamping device
US11551951B2 (en) * 2020-05-05 2023-01-10 Applied Materials, Inc. Methods and systems for temperature control for a substrate
JP2023034881A (en) * 2021-08-31 2023-03-13 株式会社ニューフレアテクノロジー Discharge detection device and charged particle beam irradiation device

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5242538A (en) * 1992-01-29 1993-09-07 Applied Materials, Inc. Reactive ion etch process including hydrogen radicals
WO1997047783A1 (en) * 1996-06-14 1997-12-18 The Research Foundation Of State University Of New York Methodology and apparatus for in-situ doping of aluminum coatings

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20170118541A (en) * 2016-04-15 2017-10-25 삼성전자주식회사 Method of manufacturing semiconductor device

Also Published As

Publication number Publication date
EP1330839A2 (en) 2003-07-30
CN1471727A (en) 2004-01-28
WO2002043116A2 (en) 2002-05-30
JP2004529486A (en) 2004-09-24
WO2002043116A3 (en) 2003-05-01

Similar Documents

Publication Publication Date Title
KR20030051765A (en) Etching of high aspect ratio features in a substrate
US10257887B2 (en) Substrate support assembly
US20200144027A1 (en) Inductive plasma source with metallic shower head using b-field concentrator
US7754997B2 (en) Apparatus and method to confine plasma and reduce flow resistance in a plasma
KR100726381B1 (en) Gas distribution apparatus for semiconductor processing
TWI383468B (en) Rf power delivery system in a semiconductor apparatus
KR102556016B1 (en) Upper electrode having varying thickness for plasma processing
US6363882B1 (en) Lower electrode design for higher uniformity
JP4216243B2 (en) Helical resonator type plasma processing equipment
EP1243016B1 (en) Method and apparatus for ionized physical vapor deposition
US7998307B2 (en) Electron beam enhanced surface wave plasma source
EP0838841A2 (en) Inductively coupled parallel-plate plasma reactor with a conical dome
EP0566220A2 (en) Magnetic field enhanced plasma etch reactor
EP1073779A1 (en) Reduced impedance chamber
WO1999050886A1 (en) Contamination controlling method and plasma processing chamber
US7938081B2 (en) Radial line slot antenna having a conductive layer
US20030037879A1 (en) Top gas feed lid for semiconductor processing chamber
WO2008033928A2 (en) Electron beam enhanced surface wave plasma source
US20040112543A1 (en) Plasma reactor with high selectivity and reduced damage

Legal Events

Date Code Title Description
WITN Application deemed withdrawn, e.g. because no request for examination was filed or no examination fee was paid