KR20010019279A - Method of forming pad electrodes using self-aligned contact - Google Patents

Method of forming pad electrodes using self-aligned contact Download PDF

Info

Publication number
KR20010019279A
KR20010019279A KR1019990035612A KR19990035612A KR20010019279A KR 20010019279 A KR20010019279 A KR 20010019279A KR 1019990035612 A KR1019990035612 A KR 1019990035612A KR 19990035612 A KR19990035612 A KR 19990035612A KR 20010019279 A KR20010019279 A KR 20010019279A
Authority
KR
South Korea
Prior art keywords
layer
nitride
self
polysilicon
aligned contact
Prior art date
Application number
KR1019990035612A
Other languages
Korean (ko)
Inventor
서강일
Original Assignee
윤종용
삼성전자 주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 윤종용, 삼성전자 주식회사 filed Critical 윤종용
Priority to KR1019990035612A priority Critical patent/KR20010019279A/en
Publication of KR20010019279A publication Critical patent/KR20010019279A/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823475MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823468MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate sidewall spacers, e.g. double spacers, particular spacer material or shape

Abstract

PURPOSE: A method for forming a pad electrode by using a self-aligned contact is provided to reduce a loss of a nitride layer enclosing a gate electrode. CONSTITUTION: A gate insulating layer, a conductive layer(104,106) and a nitride mask layer(108) are formed in sequence on a semiconductor substrate(100). The nitride mask layer(108) and the conductive layer(104,106) are then patterned to form a gate electrode(107). Next, a nitride spacer(110) is formed on a sidewall of the gate electrode(107). Thereafter, an interlayer dielectric layer(112) and an etch stopping layer(114) are sequentially deposited on a resultant structure and partially etched. A polysilicon spacer(116) is then formed on sidewalls of the etch stopping layer(114) and the interlayer dielectric layer(112). Next, the interlayer dielectric layer(112) is etched by using the polysilicon spacer(116) as a mask, so that a self-aligned contact hole(117) is formed. Here, the polysilicon spacer(116) prevents a loss of the nitride mask layer(108) and the nitride spacer(110). Thereafter, a pad electrode is formed in the self-aligned contact hole(117).

Description

셀프-얼라인 콘택을 이용한 패드 전극 형성방법{Method of forming pad electrodes using self-aligned contact}Method for forming pad electrodes using self-aligned contact

본 발명은 반도체 장치의 제조 방법에 관한 것으로, 보다 상세하게는 셀프-얼라인 콘택(self-aligned contact) 공정을 이용한 패드 전극의 형성 방법에 관한 것이다.The present invention relates to a method of manufacturing a semiconductor device, and more particularly, to a method of forming a pad electrode using a self-aligned contact process.

고집적 반도체 메모리 장치의 디자인 룰은 메가비트(Mbit)-급 다이나믹 랜덤 억세스 메모리(dynamic random access memory; DRAM) 시대의 약 1μm 수준에서 기가비트(Gbit)-급 DRAM에서는 약 0.15μm 수준으로 작아지고 있다. 이에 따라, 실리콘 기판에 대한 전기적인 접촉부인 콘택홀의 치수도 점차 축소되고 있으며, 수직 방향으로는 3차원 캐패시터 구조 등을 사용함에 따라 어스펙트비도 점점 높아지는 경향을 보이고 있다. 이러한 콘택홀 직경의 축소 및 높은 어스펙트비는 후속의 사진식각 공정에 큰 부담이 되고 있다. 디자인 룰은 공정 한계를 나타내는 인자가 되는데 딥-서브마이크론(deep submicron) 디자인 룰에서의 정렬 공차(align tolerance)는 소자의 치명적인 오류(fail)를 결정하는 주된 요인이 되고 있다.The design rule of the highly integrated semiconductor memory device is decreasing from about 1 μm in the era of Mbit-class dynamic random access memory (DRAM) to about 0.15 μm in Gbit-class DRAM. Accordingly, the dimension of the contact hole, which is an electrical contact portion to the silicon substrate, is also gradually reduced, and the aspect ratio also tends to increase with the use of a three-dimensional capacitor structure in the vertical direction. The reduction of the contact hole diameter and the high aspect ratio are a great burden for the subsequent photolithography process. Design rules become a factor in defining process limits. Alignment tolerances in deep submicron design rules have become a major determinant of device fatal failures.

특히, DRAM에서의 기술 변화는 한정된 단위 면적에서 캐패시턴스를 증대시키기 위해 모든 노력이 집중되어 왔고, 그에 따라 초기의 평면 셀 캐패시터 구조에서 스택형 또는 트렌치형 캐패시터 구조로 변화되어 왔다. 한편, 스택형 캐패시터 구조에서도 실린더(clyinder)형 캐패시터 또는 핀(fin)형 캐패시터 등과 같이 유효 캐패시터 면적을 증대시킬 수 있는 구조로 기술 변화가 이루어져 오고 있다.In particular, technological changes in DRAMs have concentrated all efforts to increase capacitance in a limited unit area, and thus have changed from an initial planar cell capacitor structure to a stacked or trenched capacitor structure. Meanwhile, even in the stacked capacitor structure, technological changes have been made in such a structure that the effective capacitor area can be increased, such as a cylinder type capacitor or a fin type capacitor.

이러한 기술 변화를 공정 순서의 관점에서 살펴보면, 비트라인 형성 이전에 캐패시터가 형성되는 CUB(Capacitor Under Bit-line) 구조에서 비트라인 형성 이후에 캐패시터가 형성되는 COB(Capacitor Over Bit-line) 구조로 변경되었다. 상기 COB 구조는 CUB 구조와 대비하여 비트라인 형성 이후에 캐패시터를 형성하므로 비트라인 공정의 마진에 관계없이 캐패시터를 형성하는 것이 가능하기 때문에 제한된 면적에서 셀의 캐패시턴스를 증대시키는데 우수한 장점을 갖는다. 반면에, COB 구조는 게이트와 비트라인 및 층간 절연막이 적층되어 있어 스토리지 노드와 트랜지스터의 소오스 영역을 전기적으로 접속시키기 위한 매몰 콘택홀(buried contact hole)의 어스펙트비가 크기 때문에 이 콘택홀이 오픈되지 못하는 문제가 발생한다. 이에 따라, 트랜지스터의 드레인 영역과 비트라인을 전기적으로 접속하기 위한 비트라인 콘택홀 및 상기 매몰 콘택홀을 용이하게 형성하기 위하여, 메모리 셀의 활성 영역, 즉 트랜지스터의 드레인 및 소오스 영역의 상부에 랜딩 패드(landing pad) 역할을 하는 전극을 형성하여 콘택홀들의 어스펙트비를 감소시키는 방법이 널리 사용되고 있다. 패드 전극은 통상적으로 불순물이 도핑된 폴리실리콘으로 형성하고 있다.In view of the process order, the change from the CUB (Capacitor Under Bit-line) structure in which the capacitor is formed before the bit line formation is changed from the Capacitor Over Bit-line (COB) structure in which the capacitor is formed after the bit line formation. It became. Since the COB structure forms the capacitor after the bit line is formed in comparison with the CUB structure, it is possible to form the capacitor irrespective of the margin of the bit line process, thereby having an excellent advantage of increasing the capacitance of the cell in a limited area. On the other hand, in the COB structure, since the gate, bit line, and interlayer insulating film are stacked, the contact hole is not opened because the aspect ratio of the buried contact hole for electrically connecting the storage node and the source region of the transistor is large. There is a problem. Accordingly, in order to easily form the bit line contact hole and the buried contact hole for electrically connecting the drain region and the bit line of the transistor, a landing pad on the active region of the memory cell, that is, the drain and source region of the transistor. A method of reducing the aspect ratio of contact holes by forming an electrode serving as a landing pad has been widely used. The pad electrode is typically formed of polysilicon doped with impurities.

도 1 및 도 2는 종래의 패드 콘택 공정에 의한 패드 전극 형성방법을 설명하기 위한 단면도들이다.1 and 2 are cross-sectional views illustrating a method of forming a pad electrode by a conventional pad contact process.

도 1을 참조하면, 필드 산화막층(12)에 의해 활성 영역과 필드 영역으로 구분되어진 반도체 기판(10)의 상부에 게이트 전극(14)을 형성한다. 게이트 전극(14)의 측벽에 산화막 또는 질화막(SiNx) 스페이서(16)를 형성한 후, 이온주입 공정을 통해 게이트 전극(14) 양측의 기판(10) 표면에 소오스/드레인 영역(도시하지 않음)을 형성한다.Referring to FIG. 1, the gate electrode 14 is formed on the semiconductor substrate 10, which is divided into an active region and a field region by the field oxide layer 12. After forming an oxide film or a nitride film (SiNx) spacer 16 on the sidewall of the gate electrode 14, source / drain regions (not shown) on the surface of the substrate 10 on both sides of the gate electrode 14 through an ion implantation process. To form.

결과물의 상부에 산화막을 증착하여 층간 절연층(interlayer dielectric layer; ILD)(18)을 형성한다. 사진 공정을 통해 층간 절연층(18)의 상부에 콘택홀이 형성되어질 영역을 오픈시키도록 포토레지스트 패턴(20)을 형성한 후, 포토레지스트 패턴(20)을 식각 마스크로 이용하여 층간 절연층(18)을 식각함으로써 메모리 셀의 소오스/드레인 영역을 노출시키는 콘택홀(22)을 형성한다.An oxide film is deposited on top of the resultant to form an interlayer dielectric layer (ILD) 18. After the photoresist pattern 20 is formed to open a region where the contact hole is to be formed on the interlayer insulating layer 18 through a photolithography process, the interlayer insulating layer (using the photoresist pattern 20 as an etching mask) Etching 18) forms a contact hole 22 exposing the source / drain regions of the memory cell.

도 2를 참조하면, 에싱 및 스트립 공정으로 포토레지스트 패턴(20) 및 콘택홀(22)의 측벽에 존재하는 폴리머 등의 이물질을 제거한다. 결과물의 상부에 폴리실리콘막을 증착한 후, 사진식각 공정으로 폴리실리콘막을 패터닝하여 콘택홀(22)을 통해 소오스/드레인 영역에 전기적으로 연결되는 패드 전극(24)을 형성한다.Referring to FIG. 2, foreign substances such as polymers existing on the sidewalls of the photoresist pattern 20 and the contact hole 22 are removed by an ashing and stripping process. After depositing a polysilicon layer on the resultant, the polysilicon layer is patterned by a photolithography process to form a pad electrode 24 electrically connected to the source / drain region through the contact hole 22.

0.15μm 이하의 디자인-룰을 갖는 DRAM 장치 또는 DRAM 셀 영역과 로직 영역이 동일 칩 내에 형성되는 MDL(Murged DRAM & Logic) 장치에서는 비트라인 콘택홀 및 매몰 콘택홀을 0.1μm 이하의 초미세 치수로 구현하여야 한다. 상술한 패드 콘택 공정에 의하면, 콘택홀 영역을 정의하기 위한 사진 공정시 포토레지스트를 플로우(flow)하더라도 0.2μm 이하의 콘택홀을 구현하기 어렵다.In DRAM devices with a design rule of 0.15 μm or less, or MDL (Murged DRAM & Logic) devices in which DRAM cell areas and logic areas are formed on the same chip, bit line contact holes and investment contact holes are made to have ultra-fine dimensions of 0.1 μm or less. Should be implemented. According to the pad contact process described above, even when the photoresist flows during the photolithography process for defining the contact hole region, it is difficult to realize a contact hole of 0.2 μm or less.

이에 따라, 현재는 주변 구조물의 단차를 이용하여 콘택홀을 형성하는 소위, 셀프-얼라인 콘택 공정에 의해 패드 전극을 형성하는 방법이 적용되고 있다. 셀프-얼라인 콘택 공정은 주변 구조물의 높이, 콘택이 형성될 위치에서의 절연층의 두께 및 식각 방법 등에 의해 다양한 크기의 콘택홀을 마스크의 사용없이 형성할 수 있으므로, 얼라인 마진을 필요로 하지 않으면서 미세 콘택홀을 형성할 수 있다.Accordingly, a method of forming a pad electrode by a so-called self-aligned contact process for forming a contact hole using a step of a peripheral structure is currently applied. The self-aligned contact process does not require alignment margin because contact holes of various sizes can be formed without using a mask by the height of the surrounding structure, the thickness of the insulating layer at the position where the contact is to be formed, and the etching method. It is possible to form a fine contact hole without.

도 3 및 도 4는 종래의 셀프-얼라인 콘택 공정에 의한 패드 전극의 형성방법을 설명하기 위한 단면도들이다.3 and 4 are cross-sectional views illustrating a method of forming a pad electrode by a conventional self-aligned contact process.

도 3을 참조하면, 필드 산화막층(52)에 의해 활성 영역과 필드 영역으로 구분되어진 반도체 기판(50)의 상부에 폴리실리콘층(54), 텅스텐 실리사이드층(56), 및 질화막층(58)을 차례로 증착한다. 질화막층(58)을 사진식각 공정으로 패터닝한 후, 패터닝된 질화막층(58)을 식각 마스크로 이용하여 텅스텐 실리사이드층(56) 및 폴리실리콘층(54)을 식각함으로써 게이트 전극(57)을 형성한다. 게이트 전극(57)의 측벽에 질화막 스페이서(56)를 형성한 후, 이온주입 공정을 통해 게이트 전극(57) 양측의 기판(50) 표면에 소오스/드레인 영역(도시하지 않음)을 형성한다. 질화막 스페이서(56)는 후속하는 셀프-얼라인 콘택 형성을 위한 식각 공정시 식각 저지층(etch stopping layer)으로 작용한다.Referring to FIG. 3, a polysilicon layer 54, a tungsten silicide layer 56, and a nitride layer 58 are formed on the semiconductor substrate 50, which is divided into an active region and a field region by the field oxide layer 52. In order to deposit. After the nitride layer 58 is patterned by a photolithography process, the gate electrode 57 is formed by etching the tungsten silicide layer 56 and the polysilicon layer 54 using the patterned nitride layer 58 as an etching mask. do. After the nitride film spacers 56 are formed on the sidewalls of the gate electrode 57, source / drain regions (not shown) are formed on the surface of the substrate 50 on both sides of the gate electrode 57 through an ion implantation process. The nitride film spacer 56 acts as an etch stopping layer during the etching process for subsequent self-aligned contact formation.

결과물의 상부에 산화막을 증착하여 층간 절연층(60)을 형성한다. 사진 공정을 통해 층간 절연층(60)의 상부에 패드 영역을 오픈시키도록 포토레지스트 패턴(64)을 형성한 후, 포토레지스트 패턴(64)을 식각 마스크로 이용하여 질화막에 대한 높은 선택비를 갖는 조건에서 층간 절연층(60)을 식각한다. 그 결과, 메모리 셀의 소오스/드레인 영역을 노출시키는 셀프-얼라인 콘택홀(65)을 형성한다.An oxide film is deposited on the resultant to form an interlayer insulating layer 60. After the photoresist pattern 64 is formed to open the pad region on the interlayer insulating layer 60 through the photolithography process, the photoresist pattern 64 is used as an etch mask to have a high selectivity to the nitride film. The interlayer insulating layer 60 is etched under the conditions. As a result, a self-aligned contact hole 65 exposing the source / drain regions of the memory cell is formed.

도 4를 참조하면, 에싱 및 스트립 공정으로 포토레지스트 패턴(20) 및 폴리머 등의 이물질을 제거한다. 결과물의 상부에 폴리실리콘막을 증착한 후, 에치백 또는 화학 기계적 연마(chemical mechanical polishing; CMP)에 의해 층간 절연층(60)의 상부 표면까지 폴리실리콘막을 식각하여 셀프-얼라인 콘택홀(65)의 내부에 패드 전극(66)을 형성한다.Referring to FIG. 4, foreign substances such as the photoresist pattern 20 and the polymer are removed by an ashing and stripping process. After depositing a polysilicon film on top of the resultant, the polysilicon film is etched to the upper surface of the interlayer insulating layer 60 by etch back or chemical mechanical polishing (CMP) to self-align the contact hole 65. The pad electrode 66 is formed inside.

반도체 장치가 고집적화됨에 따라 게이트 전극의 길이뿐만 아니라 게이트 전극과 게이트 전극 사이의 스페이스 치수 또한 줄어들게 되며, 이에 따라 식각 저지층으로 사용되는 질화막 스페이서의 두께도 얇아져야 한다. 이렇게 되면 셀프-얼라인 콘택 형성을 위한 식각 공정시 질화막에 대한 선택비를 높이는데 한계가 있으므로, 질화막 스페이서의 손실이 발생하게 된다. 질화막 스페이서의 손실이 심할 경우에는, 게이트 전극과 셀프-얼라인 콘택 사이의 질화막 두께가 매우 얇아지게 되어 결과적으로 게이트 전극과 셀프-얼라인 콘택 사이에 전기적인 쇼트가 유발된다. 이러한 문제를 방지하기 위하여 질화막 스페이서나 게이트 전극의 상부에 존재하는 질화막층의 두께를 증가시키면, 게이트 전극과 게이트 전극 사이의 스페이스가 좁아지게 되어 층간 절연층으로서 산화막을 상기 스페이스에 채울 때 보이드(void)가 발생하게 된다.As the semiconductor device is highly integrated, not only the length of the gate electrode but also the space dimension between the gate electrode and the gate electrode is reduced, and accordingly, the thickness of the nitride spacer used as the etch stop layer must be reduced. In this case, since there is a limit in increasing the selectivity to the nitride layer during the etching process for forming the self-aligned contact, loss of the nitride layer spacer occurs. When the loss of the nitride spacer is severe, the thickness of the nitride film between the gate electrode and the self-aligned contact becomes very thin, resulting in an electrical short between the gate electrode and the self-aligned contact. In order to prevent such a problem, increasing the thickness of the nitride film spacer or the nitride film layer existing on the gate electrode, the space between the gate electrode and the gate electrode becomes narrow, voids when filling the oxide film as the interlayer insulating layer (void) ) Will occur.

따라서, 본 발명의 목적은 셀프-얼라인 콘택 공정으로 패드 전극을 형성하는데 있어서 게이트 전극을 감싸고 있는 질화막의 손실을 감소시킬 수 있는 반도체 장치의 제조 방법을 제공하는데 있다.Accordingly, an object of the present invention is to provide a method of manufacturing a semiconductor device capable of reducing the loss of a nitride film surrounding the gate electrode in forming the pad electrode by a self-aligned contact process.

도 1 및 도 2는 종래의 패드 콘택 공정에 의한 패드 전극 형성방법을 설명하기 위한 단면도들이다.1 and 2 are cross-sectional views illustrating a method of forming a pad electrode by a conventional pad contact process.

도 3 및 도 4는 종래의 셀프-얼라인 콘택 공정에 의한 패드 전극 형성방법을 설명하기 위한 단면도들이다.3 and 4 are cross-sectional views illustrating a method of forming a pad electrode by a conventional self-aligned contact process.

도 5 내지 도 10은 본 발명에 의한 셀프-얼라인 콘택 공정을 이용한 패드 전극의 형성방법을 설명하기 위한 단면도들이다.5 to 10 are cross-sectional views illustrating a method of forming a pad electrode using a self-aligned contact process according to the present invention.

<도면의 주요 부분에 대한 부호의 설명><Description of the code | symbol about the principal part of drawing>

100 : 반도체 기판 102 : 필드 산화막층100 semiconductor substrate 102 field oxide film layer

104 : 폴리실리콘층 106 : 텅스텐 실리사이드층104: polysilicon layer 106: tungsten silicide layer

108 : 질화막 마스크층 110 : 질화막 스페이서108 nitride film mask layer 110 nitride film spacer

112 : 층간 절연층 114 : 식각 저지층112: interlayer insulating layer 114: etch stop layer

116 : 폴리실리콘 스페이서 118 : 패드 전극116 polysilicon spacer 118 pad electrode

상기 목적을 달성하기 위하여 본 발명은, 반도체 기판의 상부에 게이트 절연층, 도전층 및 질화막 마스크층을 차례로 형성하는 단계; 상기 질화막 마스크층 및 상기 도전층을 패터닝하여 게이트 전극을 형성하는 단계; 상기 게이트 전극의 측벽에 질화막 스페이서를 형성하는 단계; 결과물의 상부에 층간 절연층 및 식각 저지층을 차례로 증착하는 단계; 상기 식각 저지층 및 상기 층간 절연층을 부분 식각(partial etch)하는 단계; 상기 식각 저지층 및 상기 층간 절연층의 측벽에 폴리실리콘 스페이서를 형성하는 단계; 상기 폴리실리콘 스페이서를 마스크로 이용하여 상기 층간 절연층을 식각함으로써 셀프-얼라인 콘택홀을 형성하는 단계; 그리고 결과물의 상부에 폴리실리콘층을 증착하고 상기 층간 절연층의 상부 표면까지 상기 폴리실리콘층을 식각하여 상기 셀프-얼라인 콘택홀의 내부에 패드 전극을 형성하는 단계를 구비하는 것을 특징으로 하는 반도체 장치의 제조 방법을 제공한다.In order to achieve the above object, the present invention comprises the steps of sequentially forming a gate insulating layer, a conductive layer and a nitride film mask layer on the semiconductor substrate; Patterning the nitride mask layer and the conductive layer to form a gate electrode; Forming a nitride film spacer on sidewalls of the gate electrode; Sequentially depositing an interlayer insulating layer and an etch stop layer on top of the resultant; Partial etching the etch stop layer and the interlayer dielectric layer; Forming a polysilicon spacer on sidewalls of the etch stop layer and the interlayer insulating layer; Forming a self-aligned contact hole by etching the interlayer insulating layer using the polysilicon spacer as a mask; And depositing a polysilicon layer on top of the resultant, and etching the polysilicon layer to an upper surface of the interlayer insulating layer to form a pad electrode inside the self-aligned contact hole. It provides a method for producing.

바람직하게는, 식각 저지층 및 층간 절연층을 부분 식각하는 단계는 질화막 마스크층의 상부 표면이 노출될 때까지 진행한다.Preferably, the partial etching of the etch stop layer and the interlayer insulating layer proceeds until the upper surface of the nitride mask layer is exposed.

바람직하게는, 식각 저지층은 질화막 또는 폴리실리콘막으로 형성한다.Preferably, the etch stop layer is formed of a nitride film or a polysilicon film.

본 발명에 의하면, 질화막에 대한 선택비를 갖는 폴리실리콘 스페이서를 이용하여 셀프-얼라인 콘택 형성을 위한 식각 공정을 진행함으로써 게이트 전극을 둘러싸고 있는 질화막 마스크층 및 질화막 스페이서의 손실을 방지할 수 있다.According to the present invention, by performing an etching process for forming a self-aligned contact using a polysilicon spacer having a selectivity to the nitride film, it is possible to prevent the loss of the nitride mask layer and the nitride film spacer surrounding the gate electrode.

이하, 첨부한 도면을 참조하여 본 발명의 바람직한 실시예를 상세히 설명하고자 한다.Hereinafter, exemplary embodiments of the present invention will be described in detail with reference to the accompanying drawings.

도 5 내지 도 10은 본 발명에 의한 셀프-얼라인 콘택 공정을 이용한 패드 전극의 형성방법을 설명하기 위한 단면도들이다.5 to 10 are cross-sectional views illustrating a method of forming a pad electrode using a self-aligned contact process according to the present invention.

도 5를 참조하면, 통상의 소자분리 공정, 예컨대 실리콘 부분 산화법(local oxidation of silicon; LOCOS) 또는 개량된 LOCOS 공정에 의해 반도체 기판(100)의 상부에 필드 산화막층(102)을 형성하여 기판(100)을 활성 영역과 필드 영역으로 구분한다. 열산화 공정을 통해 활성 영역의 상부에 게이트 산화막층(도시하지 않음)을 형성한 후, 그 상부에 폴리실리콘층(104), 텅스텐 실리사이드층(106) 및 질화막 마스크층(108)을 순차적으로 증착한다. 폴리실리콘층(104)은 통상의 도핑 공정, 예컨대 확산 공정, 이온주입 공정 또는 인-시튜 도핑 공정에 의해 고농도의 불순물로 도핑된다. 이때, 텅스텐 실리사이드 대신 타이타늄 실리사이드나 탄탈륨 실리사이드와 같은 다른 내화성 금속 실리사이드를 사용할 수 있음을 물론이다.Referring to FIG. 5, the field oxide layer 102 is formed on the semiconductor substrate 100 by a conventional device isolation process, for example, a local oxidation of silicon (LOCOS) process or an improved LOCOS process. 100) is divided into an active area and a field area. After the gate oxide layer (not shown) is formed on the active region through the thermal oxidation process, the polysilicon layer 104, the tungsten silicide layer 106, and the nitride mask layer 108 are sequentially deposited on the gate oxide layer. do. The polysilicon layer 104 is doped with a high concentration of impurities by conventional doping processes such as diffusion processes, ion implantation processes or in-situ doping processes. Of course, other refractory metal silicides such as titanium silicide or tantalum silicide may be used instead of tungsten silicide.

사진 공정을 통해 질화막 마스크층(108)의 상부에 게이트 영역을 정의하는 포토레지스트 패턴(도시하지 않음)을 형성한 후, 포토레지스트 패턴을 식각 마스크로 이용하여 질화막 마스크층(108)을 패터닝한다. 에싱 및 스트립 공정으로 포토레지스트 패턴을 제거한 후, 패터닝된 질화막 마스크층(108)을 이용하여 텅스텐 실리사이드층(106) 및 폴리실리콘층(104)을 식각함으로써 폴리사이드 구조의 게이트 전극(107)을 형성한다.After the photoresist pattern (not shown) defining the gate region is formed on the nitride mask layer 108 through a photolithography process, the nitride mask layer 108 is patterned using the photoresist pattern as an etching mask. After removing the photoresist pattern by an ashing and stripping process, the tungsten silicide layer 106 and the polysilicon layer 104 are etched using the patterned nitride mask layer 108 to form a gate electrode 107 having a polyside structure. do.

게이트 전극(107)이 형성된 결과물의 상부에 약 750℃의 온도에서 산화막층(도시하지 않음)을 화학 기상 증착(chemical vapor deposition; CVD) 공정에 의해 약 50∼200Å의 두께로 증착한다. 계속해서, 산화막층의 상부에 질화막(110)을 저압 화학 기상 증착(LPCVD) 공정에 의해 약 500∼1000Å의 두께로 증착한 후, 산화막에 대해 높은 선택비를 갖는 식각 조건으로 질화막층(110)을 전면 에치백함으로써 질화막 마스크층(108)로부터 게이트 전극(107)으로 신장된 양 측벽에 질화막 스페이서(110)들을 형성한다. 이때, 산화막층은 질화막층(110)의 식각시 식각 저지층으로 작용하여 그 하부의 기판(100)이 손상되는 것을 방지하는 역할을 한다.An oxide layer (not shown) is deposited to a thickness of about 50 to 200 kPa by a chemical vapor deposition (CVD) process at a temperature of about 750 占 폚 on top of the resultant on which the gate electrode 107 is formed. Subsequently, the nitride film 110 is deposited to a thickness of about 500 to 1000 Pa by a low pressure chemical vapor deposition (LPCVD) process on the oxide film layer, and the nitride film layer 110 is subjected to etching conditions having a high selectivity with respect to the oxide film. The entire surface is etched back to form nitride film spacers 110 on both sidewalls extending from the nitride film mask layer 108 to the gate electrode 107. In this case, the oxide layer acts as an etch stop layer when the nitride layer 110 is etched, thereby preventing the lower substrate 100 from being damaged.

도 6을 참조하면, 질화막 스페이서(110) 및 게이트 전극(107)을 이온주입 마스크로 이용하여 불순물을 이온주입함으로써 게이트 전극(107) 양측의 기판(100) 표면에 소오스/드레인 영역(도시하지 않음)을 형성한다.Referring to FIG. 6, source / drain regions (not shown) are formed on the surface of the substrate 100 on both sides of the gate electrode 107 by implanting impurities using the nitride spacers 110 and the gate electrode 107 as ion implantation masks. ).

결과물의 상부에 화학 기상 증착 공정에 의해 산화막층을 수천 Å의 두께로 증착하여 층간 절연층(112)을 형성한다. 층간 절연층(112)의 상부에 질화막이나 폴리실리콘막을 저압 화학 기상 증착 공정에 의해 약 1000Å의 두께로 증착하여 식각 저지층(114)을 형성한다. 식각 저지층(114)은 후속하는 셀프-얼라인 콘택 식각 공정시 그 하부의 층간 절연층(112)이 식각되는 것을 방지하게 역할을 하며, 후속 공정에서 형성될 층들과의 관계를 고려하여 폴리실리콘막으로 형성하는 것이 바람직하다.An oxide layer is deposited to a thickness of several thousand micrometers by a chemical vapor deposition process on top of the resultant to form an interlayer insulating layer 112. An etch stop layer 114 is formed by depositing a nitride film or a polysilicon film on the interlayer insulating layer 112 to a thickness of about 1000 mW by a low pressure chemical vapor deposition process. The etch stop layer 114 serves to prevent the underlying interlayer insulating layer 112 from being etched during the subsequent self-aligned contact etching process, and the polysilicon in consideration of the relationship with the layers to be formed in the subsequent process. It is preferable to form into a film.

도 7을 참조하면, 사진 공정을 통해 식각 저지층(114)의 상부에 셀프-얼라인 콘택 영역을 오픈시키도록 포토레지스트 패턴(도시하지 않음)을 형성한다. 포토레지스트 패턴을 식각 마스크로 이용하여 식각 저지층(114) 및 층간 절연층(112)을 부분 식각한다. 바람직하게는, 질화막 마스크층(108)의 상부 표면이 노출될 때까지 부분 식각을 진행한다.Referring to FIG. 7, a photoresist pattern (not shown) is formed to open a self-aligned contact region on the etch stop layer 114 through a photolithography process. The etch stop layer 114 and the interlayer insulating layer 112 are partially etched using the photoresist pattern as an etch mask. Preferably, partial etching is performed until the upper surface of the nitride mask layer 108 is exposed.

도 8을 참조하면, 결과물의 상부에 폴리실리콘층(116)을 저압 화학 기상 증착 공정에 의해 셀프-얼라인 콘택홀의 임계 치수보다 1/2 이하의 두께, 예컨대 콘택홀 임계 치수가 0.3μm이면 1500Å 이하의 두께로 증착한다. 더욱 바람직하게는, 폴리실리콘층(116)은 약 1000∼1500Å의 두께로 증착한다.Referring to FIG. 8, the polysilicon layer 116 is placed on top of the resultant by a low pressure chemical vapor deposition process at a thickness of 1/2 or less than the critical dimension of the self-aligned contact hole, for example, if the contact hole critical dimension is 0.3 μm, 1500 μs. It deposits with the following thickness. More preferably, polysilicon layer 116 is deposited to a thickness of about 1000-1500 GPa.

이어서, 폴리실리콘층(116)을 전면 에치백하여 식각 저지층(114)으로부터 층간 절연층(112)으로 신장된 측벽들에 폴리실리콘 스페이서(116)들을 형성한다.The polysilicon layer 116 is then etched back to form polysilicon spacers 116 on sidewalls extending from the etch stop layer 114 to the interlayer dielectric layer 112.

도 9를 참조하면, 폴리실리콘 스페이서(116)를 식각 마스크로 이용하여 노출된 층간 절연층(112)을 식각함으로써 메모리 셀의 소오스/드레인 영역을 노출시키는 셀프-얼라인 콘택홀(117)을 형성한다. 이때, 질화막에 대한 높은 선택비를 갖는 폴리실리콘 스페이서(116)는 그 하부의 질화막 마스크층(108) 및 질화막 스페이서(110)가 손실되는 것을 방지하는 역할을 한다. 또한, 식각 저지층(114)은 상기 식각 공정시 그 하부의 층간 절연층(112)이 식각되는 것을 방지한다.9, the self-aligned contact hole 117 is formed to expose the source / drain regions of the memory cell by etching the exposed interlayer insulating layer 112 using the polysilicon spacer 116 as an etching mask. do. In this case, the polysilicon spacer 116 having a high selectivity to the nitride layer serves to prevent the nitride mask layer 108 and the nitride layer spacer 110 from being lost. In addition, the etch stop layer 114 prevents the interlayer insulating layer 112 under the etching process during the etching process.

도 10을 참조하면, 결과물의 상부에 폴리실리콘층(118)을 셀프-얼라인 콘택홀(117)을 메우기에 충분한 두께로 증착한 후, 층간 절연층(112)의 상부 표면이 노출될 때까지 폴리실리콘층(118)을 에치백 또는 화학 기계적 연마 공정에 의해 식각한다. 그 결과, 셀프-얼라인 콘택홀(117)의 내부에 패드 전극(118)이 형성된다.Referring to FIG. 10, a polysilicon layer 118 is deposited on top of the resultant to a thickness sufficient to fill the self-aligned contact hole 117, and then the top surface of the interlayer insulating layer 112 is exposed. The polysilicon layer 118 is etched by an etch back or chemical mechanical polishing process. As a result, the pad electrode 118 is formed in the self-aligned contact hole 117.

상술한 바와 같이 본 발명에 의하면, 질화막에 대한 선택비를 갖는 폴리실리콘 스페이서를 이용하여 셀프-얼라인 콘택 형성을 위한 식각 공정을 진행함으로써 게이트 전극을 둘러싸고 있는 질화막 마스크층 및 질화막 스페이서의 손실을 방지할 수 있다. 따라서, 동일 디자인-룰 상에서 게이트 전극과 셀프-얼라인 콘택 사이에 존재하는 질화막 스페이서의 두께를 감소시키더라도 전기적 절연 특성을 강화할 수 있다.As described above, according to the present invention, an etching process for forming a self-aligned contact using a polysilicon spacer having a selectivity to a nitride film is performed to prevent loss of the nitride mask layer and the nitride film spacer surrounding the gate electrode. can do. Accordingly, even if the thickness of the nitride film spacer existing between the gate electrode and the self-aligned contact is reduced on the same design rule, the electrical insulation property can be enhanced.

또한, 질화막 스페이서의 두께를 감소시킴으로써 동일 디자인-룰 상에서 게이트 전극과 게이트 전극 사이의 스페이스를 넓게 만들 수 있으므로, 보이드의 생성 없이 상기 스페이스를 층간 절연층으로 매립할 수 있다.In addition, since the space between the gate electrode and the gate electrode can be made wider by reducing the thickness of the nitride film spacer, the space can be filled with an interlayer insulating layer without generating voids.

또한, 질화막 스페이서의 두께를 감소시키면 셀프-얼라인 콘택홀의 하부 접촉 면적이 증가되므로, 이로 인해 콘택 저항을 감소시킬 수 있다.In addition, reducing the thickness of the nitride spacer increases the bottom contact area of the self-aligned contact hole, thereby reducing the contact resistance.

상술한 바와 같이, 본 발명의 바람직한 실시예를 참조하여 설명하였지만 해당 기술 분야의 숙련된 당업자라면 하기의 특허 청구의 범위에 기재된 본 발명의 사상 및 영역으로부터 벗어나지 않는 범위 내에서 본 발명을 다양하게 수정 및 변경시킬 수 있음을 이해할 수 있을 것이다.As described above, although described with reference to a preferred embodiment of the present invention, those skilled in the art will be variously modified without departing from the spirit and scope of the invention described in the claims below. And can be changed.

Claims (3)

반도체 기판의 상부에 게이트 절연층, 도전층 및 질화막 마스크층을 차례로 형성하는 단계;Sequentially forming a gate insulating layer, a conductive layer, and a nitride film mask layer on the semiconductor substrate; 상기 질화막 마스크층 및 상기 도전층을 패터닝하여 게이트 전극을 형성하는 단계;Patterning the nitride mask layer and the conductive layer to form a gate electrode; 상기 게이트 전극의 측벽에 질화막 스페이서를 형성하는 단계;Forming a nitride film spacer on sidewalls of the gate electrode; 결과물의 상부에 층간 절연층 및 식각 저지층을 차례로 증착하는 단계;Sequentially depositing an interlayer insulating layer and an etch stop layer on top of the resultant; 상기 식각 저지층 및 상기 층간 절연층을 부분 식각하는 단계;Partially etching the etch stop layer and the interlayer dielectric layer; 상기 식각 저지층 및 상기 층간 절연층의 측벽에 폴리실리콘 스페이서를 형성하는 단계;Forming a polysilicon spacer on sidewalls of the etch stop layer and the interlayer insulating layer; 상기 폴리실리콘 스페이서를 마스크로 이용하여 상기 층간 절연층을 식각함으로써 셀프-얼라인 콘택홀을 형성하는 단계; 그리고Forming a self-aligned contact hole by etching the interlayer insulating layer using the polysilicon spacer as a mask; And 결과물의 상부에 폴리실리콘층을 증착하고 상기 층간 절연층의 상부 표면까지 상기 폴리실리콘층을 식각하여 상기 셀프-얼라인 콘택홀의 내부에 패드 전극을 형성하는 단계를 구비하는 것을 특징으로 하는 반도체 장치의 제조 방법.Depositing a polysilicon layer on top of the resultant, and etching the polysilicon layer to an upper surface of the interlayer insulating layer to form a pad electrode in the self-aligned contact hole. Manufacturing method. 제1항에 있어서, 상기 식각 저지층 및 상기 층간 절연층을 부분 식각하는 단계는 상기 질화막 마스크층의 상부 표면이 노출될 때까지 진행하는 것을 특징으로 하는 반도체 장치의 제조 방법.The method of claim 1, wherein the etching of the etch stop layer and the interlayer insulating layer is performed until the upper surface of the nitride mask layer is exposed. 제1항에 있어서, 상기 식각 저지층은 질화막 또는 폴리실리콘막으로 형성하는 것을 특징으로 하는 반도체 장치의 제조 방법.The method of claim 1, wherein the etch stop layer is formed of a nitride film or a polysilicon film.
KR1019990035612A 1999-08-26 1999-08-26 Method of forming pad electrodes using self-aligned contact KR20010019279A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1019990035612A KR20010019279A (en) 1999-08-26 1999-08-26 Method of forming pad electrodes using self-aligned contact

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1019990035612A KR20010019279A (en) 1999-08-26 1999-08-26 Method of forming pad electrodes using self-aligned contact

Publications (1)

Publication Number Publication Date
KR20010019279A true KR20010019279A (en) 2001-03-15

Family

ID=19608758

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019990035612A KR20010019279A (en) 1999-08-26 1999-08-26 Method of forming pad electrodes using self-aligned contact

Country Status (1)

Country Link
KR (1) KR20010019279A (en)

Similar Documents

Publication Publication Date Title
US6818551B2 (en) Methods of forming contact holes using multiple insulating layers
US7388243B2 (en) Self-Aligned buried contact pair
KR100726145B1 (en) Method for fabricating semiconductor device
KR100363710B1 (en) Semiconductor device with self-aligned contact structure and method of manufacturing the same
US6861313B2 (en) Semiconductor memory device and fabrication method thereof using damascene bitline process
US6680511B2 (en) Integrated circuit devices providing improved short prevention
US6589837B1 (en) Buried contact structure in semiconductor device and method of making the same
US6984568B2 (en) Semiconductor memory device having multi-layered storage node contact plug and method for fabricating the same
US6404020B1 (en) Method of forming contact pads in a semiconductor device and a semiconductor device formed using the method
US7074725B2 (en) Method for forming a storage node of a capacitor
KR20000061305A (en) Method for manufacturing semiconductor device
KR100273706B1 (en) Method for manufacturing semiconductor device
KR20010019279A (en) Method of forming pad electrodes using self-aligned contact
KR20010044903A (en) Method for preventing a step coverage between cell region and core/periphery region
KR100213220B1 (en) Process for forming buried contact hole in semiconductor device
KR20000067132A (en) Method of manufacturing contact semiconductor device
KR19980023066A (en) Wiring structure and manufacturing method of semiconductor device
KR20000014552A (en) Semiconductor device and method thereof
KR20000026911A (en) Method for manufacturing memory capacitor
KR20020084480A (en) Method of manufacturing semiconductor device using self-aligned contact process
KR20040059966A (en) Method for fabricating capacitor in semiconductor device
KR20010008840A (en) Method for manufacturing semiconductor device with pad electrodes
KR20000056343A (en) Method of forming alignment key for semiconductor memory device
KR20000067425A (en) Method for manufacturing semiconductor device
KR19990056231A (en) Manufacturing Method of Semiconductor Device

Legal Events

Date Code Title Description
WITN Application deemed withdrawn, e.g. because no request for examination was filed or no examination fee was paid