KR20000013433A - Method of forming metal silicide layer selectively - Google Patents

Method of forming metal silicide layer selectively Download PDF

Info

Publication number
KR20000013433A
KR20000013433A KR1019980032290A KR19980032290A KR20000013433A KR 20000013433 A KR20000013433 A KR 20000013433A KR 1019980032290 A KR1019980032290 A KR 1019980032290A KR 19980032290 A KR19980032290 A KR 19980032290A KR 20000013433 A KR20000013433 A KR 20000013433A
Authority
KR
South Korea
Prior art keywords
metal silicide
metal
interlayer insulating
layer
forming
Prior art date
Application number
KR1019980032290A
Other languages
Korean (ko)
Inventor
이은철
류재현
이원규
Original Assignee
윤종용
삼성전자 주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 윤종용, 삼성전자 주식회사 filed Critical 윤종용
Priority to KR1019980032290A priority Critical patent/KR20000013433A/en
Publication of KR20000013433A publication Critical patent/KR20000013433A/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28097Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a metallic silicide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76886Modifying permanently or temporarily the pattern or the conductivity of conductive members, e.g. formation of alloys, reduction of contact resistances
    • H01L21/76889Modifying permanently or temporarily the pattern or the conductivity of conductive members, e.g. formation of alloys, reduction of contact resistances by forming silicides of refractory metals
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4916Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a silicon layer, e.g. polysilicon doped with boron, phosphorus or nitrogen
    • H01L29/4925Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a silicon layer, e.g. polysilicon doped with boron, phosphorus or nitrogen with a multiple layer structure, e.g. several silicon layers with different crystal structure or grain arrangement
    • H01L29/4933Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a silicon layer, e.g. polysilicon doped with boron, phosphorus or nitrogen with a multiple layer structure, e.g. several silicon layers with different crystal structure or grain arrangement with a silicide layer contacting the silicon layer, e.g. Polycide gate

Abstract

PURPOSE: A method of forming metal silicide layer selectively is provided to prevent a leakage current or a deterioration of electrostatic discharge isolation property due to a metal silicide layer formed in undesired position. CONSTITUTION: The method is comprising the steps of forming an interlayer insulating layer on desired position of a lower structure composed of silicon, patterning the interlayer insulating layer for exposing region where want to form the metal silicide layer, depositing a metal layer on the overall resultant, forming the metal silicide layer only on the region where the interlayer insulating layer is removed by heat-treating the resultant. The metal is composed of a titanium or a cobalt.

Description

선택적 금속 실리사이드막 형성방법Selective metal silicide film formation method

본 발명은 반도체 장치의 금속 실리사이드막 형성방법에 관한 것이다.The present invention relates to a method for forming a metal silicide film of a semiconductor device.

최근, 고속 반도체 메모리 소자의 개발을 위해 가능한 한 컨택등의 저항을 줄이는 것이 요구되고 있다. 이러한 요구에 따라서, 컨택 부위를 종래의 다결정 실리콘 대신에 금속 실리사이드막으로 형성하는 반도체 장치가 늘어나고 있다. 이러한 금속 실리사이드막은 텅스텐(W), 타이타늄(Ti), 코발트(Co) 등의 고융점 금속과 실리콘(Si)을 열처리하여 형성한다.In recent years, the development of high-speed semiconductor memory devices has been required to reduce the resistance of contacts and the like as much as possible. In response to these demands, semiconductor devices are being formed in which contact portions are formed of metal silicide films instead of conventional polycrystalline silicon. The metal silicide layer is formed by heat-treating a high melting point metal such as tungsten (W), titanium (Ti), cobalt (Co), and silicon (Si).

이렇게 컨택등이 형성되는 영역에 금속 실리사이드막을 형성함으로써, 컨택등의 저항을 낮게 하여 저전압, 고속 장치에 매우 유용하게 사용되고 있지만, 종래의 금속 실리사이드막 형성방법은 금속 실리사이드막이 형성되지 않아야 하는 부위에도 공정상 어쩔 수 없이 금속 실리사이드막을 형성한다는 단점이 있다.By forming the metal silicide film in the area where the contact light is formed in this way, the resistance of the contact light is lowered and is very useful for low voltage and high speed devices. However, the conventional method of forming the metal silicide film is performed on the part where the metal silicide film should not be formed. There is a disadvantage in that a metal silicide film is inevitably formed.

일예로 도1에 도시한 바와 같은 트랜지스터에서, 종래의 방법은 게이트 전극(14), 소오스 영역(16) 및 드레인 영역(18)의 전 영역에 금속 실리사이드막(20,22,24)을 형성한다. 이렇게 게이트, 소오스, 드레인 전 영역에 금속 실리사이드막을 형성하면, 게이트 전극의 측면과 소오스 또는 드레인 간의 누설전류가 증가하거나 단락이 일어나 트랜지스터의 오동작을 초래할 수 있으며, 게이트와 소오스 및 드레인 간의 저항감소로 인하여 정전기 방전(Electro-static discharge) 방지 특성이 저하한다.For example, in the transistor as shown in FIG. 1, the conventional method forms the metal silicide films 20, 22, and 24 in all regions of the gate electrode 14, the source region 16, and the drain region 18. FIG. . If the metal silicide film is formed in the entire gate, source, and drain regions, leakage current between the side of the gate electrode and the source or drain may increase or a short circuit may occur, resulting in malfunction of the transistor, and due to a decrease in resistance between the gate, source, and drain. The electrostatic discharge prevention property falls.

본 발명은 상기한 문제점을 해결하기 위하여 금속 실리사이드막이 형성되어야 할 부위만 선택적으로 금속 실리사이드막을 형성하는 방법을 제공하는 것을 목적으로 한다.In order to solve the above problems, an object of the present invention is to provide a method for selectively forming a metal silicide film only on a portion where a metal silicide film should be formed.

도1은 종래의 방법에 의해 금속 실리사이드막이 형성된 트랜지스터를 도시한 단면도이다.1 is a cross-sectional view showing a transistor in which a metal silicide film is formed by a conventional method.

도2 내지 도4는 본 발명의 실시예에 따라 선택적으로 금속 실리사이드막을 형성하는 과정을 도시한 단면도들이다.2 to 4 are cross-sectional views illustrating a process of selectively forming a metal silicide film according to an embodiment of the present invention.

상기의 목적을 달성하기 위한 본 발명에 따른 선택적 금속 실리사이드막 형성방법은 다음과 같이 이루어진다. 먼저, 금속 실리사이드막을 형성하고자 하는, 실리콘으로 이루어진 하부구조 상에 층간절연막을 적층한 후, 금속 실리사이드막을 형성하고자 하는 부위만 노출하도록 층간절연막을 패터닝한다. 이어 전면에 금속층을 증착하고 열처리하여 층간절연막이 제거된 부위에만 금속 실리사이드막을 형성한다.Method for forming a selective metal silicide film according to the present invention for achieving the above object is made as follows. First, an interlayer insulating film is laminated on a silicon substructure on which a metal silicide film is to be formed, and then the interlayer insulating film is patterned to expose only a portion where the metal silicide film is to be formed. Subsequently, a metal layer is deposited on the entire surface and heat-treated to form a metal silicide film only at a portion where the interlayer insulating film is removed.

여기서, 상기 금속은 타이타늄(Ti) 또는 코발트(Co)인 것을 특징으로 한다.Here, the metal is characterized in that the titanium (Ti) or cobalt (Co).

이와 같이, 본 발명은 금속 실리사이드막을 형성하고자 하는 구조물 위에 곧바로 금속 실리사이드막을 형성하지 않고, 먼저 층간절연막을 적층한 후 금속 실리사이드막을 형성하고자 하는 영역만 선택적으로 식각함으로써, 원하는 부위에만 금속 실리사이드막을 형성할 수 있다.As described above, the present invention does not directly form a metal silicide film on a structure on which a metal silicide film is to be formed, but first stacks an interlayer insulating film and then selectively etches only a region where a metal silicide film is to be formed, thereby forming a metal silicide film only on a desired portion. Can be.

이하, 첨부도면을 참조하여 본 발명의 바람직한 실시예를 상세히 설명한다.Hereinafter, exemplary embodiments of the present invention will be described in detail with reference to the accompanying drawings.

도2 내지 도4는 본 발명의 실시예에 따라 트랜지스터의 게이트 전극, 소오스 영역, 드레인 영역의 각 일부에만 금속 실리사이드막을 형성하는 과정을 도시한 단면도들이다.2 through 4 are cross-sectional views illustrating a process of forming a metal silicide film in only a part of a gate electrode, a source region, and a drain region of a transistor according to an embodiment of the present invention.

먼저 도2를 보면, 반도체 기판(100) 상에 게이트 산화막(120) 및 다결정 실리콘으로 이루어진 게이트 전극(140)이 형성되어 있고, 반도체 기판(100)의 소정영역에 불순물 주입에 의한 소오스 영역(160) 및 드레인 영역(180)이 형성되어 있다. 그리고, 게이트 전극(140), 소오스 영역(160) 및 드레인 영역(180)의 전면에 층간절연막(200)을 적층한다.First, referring to FIG. 2, a gate electrode 140 made of a gate oxide film 120 and polycrystalline silicon is formed on a semiconductor substrate 100, and a source region 160 by impurity implantation into a predetermined region of the semiconductor substrate 100. ) And the drain region 180 are formed. The interlayer insulating film 200 is stacked on the entire surface of the gate electrode 140, the source region 160, and the drain region 180.

이어서, 층간절연막을 식각하여 게이트 전극(140), 소오스 영역(160) 및 드레인 영역(180)의 각각 일부만을 노출하는 컨택홀들을 형성한다. 이 컨택홀들에는 후속공정에서 금속등의 도전성 물질이 채워져 각각 게이트 전극(140), 소오스 영역(160), 드레인 영역(180)을 위한 컨택을 형성한다.Subsequently, the interlayer insulating layer is etched to form contact holes exposing only a part of the gate electrode 140, the source region 160, and the drain region 180. The contact holes are filled with a conductive material such as metal in a subsequent process to form contacts for the gate electrode 140, the source region 160, and the drain region 180, respectively.

이렇게 형성된 컨택홀들과 식각된 층간절연막(210) 전면에 금속 실리사이드막 형성을 위한 금속층(300)을 증착하면 도3에 도시된 바와 같이 금속층(300)은 컨택홀 저면에서만 각각 실리콘으로 이루어진 게이트 전극(140), 소오스 영역(160) 및 드레인 영역(180)과 접촉하게 된다. 여기에 사용되는 금속으로는 타이타늄(Ti)이나 코발트(Co) 등이 될 수 있다.When the metal layer 300 for forming the metal silicide layer is deposited on the contact holes and the etched interlayer insulating layer 210, the metal layers 300 are each formed of silicon only at the bottom of the contact hole, as shown in FIG. 3. 140, the source region 160 and the drain region 180 are in contact with each other. The metal used herein may be titanium (Ti) or cobalt (Co).

도3과 같은 지금까지의 결과물을 열처리하면, 도4에 도시된 바와 같이, 금속층(300)이 실리콘과 접촉하는 컨택홀들의 저면에서만 금속과 실리콘이 반응하여 금속 실리사이드막(320,340,360)을 형성하게 된다.When heat treating the resultant material as shown in FIG. 3, as shown in FIG. 4, the metal and silicon react with only the bottom surfaces of the contact holes in contact with the silicon to form the metal silicide layers 320, 340, and 360. .

이후에, 층간절연막(210) 윗면과 측벽에 실리사이드화하지 않고 남아 있는 금속층은 제거하거나, 아니면 금속층을 제거하지 않고 후속공정에서 컨택홀에 컨택 플러그를 채운 후 화학기계적 연마(Chemical Mechanical Polishing) 등에 의해 층간절연막(210)의 윗면에 남은 금속층과 플러그 물질만 제거할 수 있다.Subsequently, the remaining metal layer without silicided on the top and sidewalls of the interlayer insulating film 210 is removed, or the contact hole is filled in the contact hole in a subsequent process without removing the metal layer, and then by chemical mechanical polishing. Only the metal layer and the plug material remaining on the upper surface of the interlayer insulating layer 210 may be removed.

이상 상술한 바와 같이 본 발명에 따르면, 금속 실리사이드막을 형성할 하부구조에 먼저 층간절연막을 적층하고 금속 실리사이드막을 형성할 부위만 노출하여 금속 실리사이드막을 형성함으로써, 원하는 부위에만 금속 실리사이드막을 형성할 수 있으므로, 원하지 않는 부위에 형성된 금속 실리사이드막에 의한 누설전류나 정전기 방전 방지특성의 저하를 피할 수 있다.As described above, according to the present invention, the metal silicide film may be formed only on a desired portion by forming a metal silicide film by first stacking an interlayer insulating film on a substructure on which the metal silicide film is to be formed and exposing only a portion to form the metal silicide film. The fall of the leakage current and the electrostatic discharge prevention characteristic by the metal silicide film formed in the unwanted site | part can be avoided.

Claims (4)

금속 실리사이드막을 형성하고자 하는, 실리콘으로 이루어진 하부구조 상에 층간절연막을 적층하는 단계;Stacking an interlayer insulating film on a substructure made of silicon to form a metal silicide film; 금속 실리사이드막을 형성하고자 하는 부위만 노출하도록 상기 층간절연막을 패터닝하는 단계;Patterning the interlayer insulating film to expose only a portion of the metal silicide film to be formed; 상기 결과물 전면에 금속층을 증착하는 단계; 및Depositing a metal layer on the entire surface of the resultant product; And 상기 결과물을 열처리하여 상기 층간절연막이 제거된 부위에만 금속 실리사이드막을 형성하는 단계를 포함하는 것을 특징으로 하는 선택적 금속 실리사이드막 형성방법.And heat-treating the resultant to form a metal silicide film only at a portion from which the interlayer insulating film has been removed. 제1항에 있어서, 상기 금속은 타이타늄(Ti) 또는 코발트(Co)인 것을 특징으로 하는 선택적 금속 실리사이드막 형성방법.The method of claim 1, wherein the metal is titanium (Ti) or cobalt (Co). 반도체 기판 상에 다결정 실리콘으로 이루어진 게이트 전극을 형성하고, 소오스 및 드레인 영역을 형성하는 단계;Forming a gate electrode made of polycrystalline silicon on the semiconductor substrate, and forming source and drain regions; 상기 결과물 전면에 층간절연막을 적층하는 단계;Stacking an interlayer insulating film on the entire surface of the resultant product; 상기 층간절연막을 식각하여 상기 게이트 전극, 소오스 영역 및 드레인 영역의 각각 일부만을 노출하는 컨택홀들을 형성하는 단계;Etching the interlayer insulating layer to form contact holes exposing only a part of the gate electrode, the source region, and the drain region; 상기 결과물 전면에 금속층을 증착하는 단계; 및Depositing a metal layer on the entire surface of the resultant product; And 상기 결과물을 열처리하여 상기 컨택홀들 상에만 금속 실리사이드막을 형성하는 단계를 포함하는 것을 특징으로 하는 선택적 금속 실리사이드막 형성방법.And heat treating the resultant to form a metal silicide layer only on the contact holes. 제3항에 있어서, 상기 금속은 타이타늄 또는 코발트인 것을 특징으로 하는 선택적 금속 실리사이드막 형성방법.4. The method of claim 3, wherein the metal is titanium or cobalt.
KR1019980032290A 1998-08-08 1998-08-08 Method of forming metal silicide layer selectively KR20000013433A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1019980032290A KR20000013433A (en) 1998-08-08 1998-08-08 Method of forming metal silicide layer selectively

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1019980032290A KR20000013433A (en) 1998-08-08 1998-08-08 Method of forming metal silicide layer selectively

Publications (1)

Publication Number Publication Date
KR20000013433A true KR20000013433A (en) 2000-03-06

Family

ID=19546764

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019980032290A KR20000013433A (en) 1998-08-08 1998-08-08 Method of forming metal silicide layer selectively

Country Status (1)

Country Link
KR (1) KR20000013433A (en)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100459930B1 (en) * 2002-07-19 2004-12-03 동부전자 주식회사 Method of making partial self-aligned salicide contact
KR100469833B1 (en) * 2001-09-27 2005-02-02 미쓰비시덴키 가부시키가이샤 Semiconductor device
KR100609239B1 (en) * 2003-12-08 2006-08-02 동부일렉트로닉스 주식회사 Method For Manufacturing Semiconductor Devices
KR100953332B1 (en) * 2002-12-31 2010-04-20 동부일렉트로닉스 주식회사 method for manufacturing a semiconductor device
KR20120050624A (en) * 2010-11-11 2012-05-21 삼성전자주식회사 Methods of manufacturing a semiconductor device

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100469833B1 (en) * 2001-09-27 2005-02-02 미쓰비시덴키 가부시키가이샤 Semiconductor device
KR100459930B1 (en) * 2002-07-19 2004-12-03 동부전자 주식회사 Method of making partial self-aligned salicide contact
KR100953332B1 (en) * 2002-12-31 2010-04-20 동부일렉트로닉스 주식회사 method for manufacturing a semiconductor device
KR100609239B1 (en) * 2003-12-08 2006-08-02 동부일렉트로닉스 주식회사 Method For Manufacturing Semiconductor Devices
KR20120050624A (en) * 2010-11-11 2012-05-21 삼성전자주식회사 Methods of manufacturing a semiconductor device
US8691693B2 (en) 2010-11-11 2014-04-08 Samsung Electronics Co., Ltd. Methods of manufacturing semiconductor device

Similar Documents

Publication Publication Date Title
US6373109B1 (en) Semiconductor device to more precisely reflect the claimed invention
TWI409948B (en) Structure and method for making high density mosfet circuits with different height contact lines
KR0159450B1 (en) An anti-fuse element
KR970077674A (en) Manufacturing method of semiconductor integrated circuit device
US6630718B1 (en) Transistor gate and local interconnect
US5266523A (en) Method of forming self-aligned contacts using the local oxidation of silicon
US5175127A (en) Self-aligned interlayer contact process using a plasma etch of photoresist
KR20000013433A (en) Method of forming metal silicide layer selectively
US5521416A (en) Semiconductor device having gate electrode and impurity diffusion layer different in conductivity type and method of manufacturing the same
JP2002050702A (en) Semiconductor device
KR100367400B1 (en) Manufacturing Method of Composite Semiconductor Device
KR100336042B1 (en) Method for forming ohmic contact on silicon-silicon interface in semiconductor device
KR100533378B1 (en) Method of forming vertical line of semiconductor device provided with plug-poly
KR100325611B1 (en) manufacturing method of semiconductor devices
KR20010093013A (en) Method of forming a gate electrode and a gate line in a semiconductor device
KR100240249B1 (en) A fabricating method of semiconductor device having different gate oxides and gate electrode
KR0185636B1 (en) Manufacturing method of capacitor improved characteristic of step difference in semiconductor memory device
KR100246625B1 (en) Manufacturing process of semiconductor device having capacitor and self-aligned double gate electrode
KR100232228B1 (en) Method of fabricating semiconductor device
US20010018249A1 (en) Semiconductor device with low resistivity film embedded and manufacturing method for the same
KR100676198B1 (en) Semiconductor device fabricating method for reducing recess of isolation field in salicide layer
KR100195225B1 (en) Method of forming contact hole in semiconductor device
KR100331285B1 (en) Method for forming contact hole of a semiconductor device
KR100559036B1 (en) Method for forming metalline in semiconductor device
JPH0338732B2 (en)

Legal Events

Date Code Title Description
WITN Withdrawal due to no request for examination