KR19990088171A - Borderless contact to diffusion with respect to gate conductor and methods for fabricating - Google Patents

Borderless contact to diffusion with respect to gate conductor and methods for fabricating Download PDF

Info

Publication number
KR19990088171A
KR19990088171A KR1019990016722A KR19990016722A KR19990088171A KR 19990088171 A KR19990088171 A KR 19990088171A KR 1019990016722 A KR1019990016722 A KR 1019990016722A KR 19990016722 A KR19990016722 A KR 19990016722A KR 19990088171 A KR19990088171 A KR 19990088171A
Authority
KR
South Korea
Prior art keywords
layer
insulating layer
gate
forming
insulating
Prior art date
Application number
KR1019990016722A
Other languages
Korean (ko)
Other versions
KR100339182B1 (en
Inventor
브루스제임스알렌
채플-소콜조나단다니엘
코버거찰스더블유3세
러셀마이클제임스
만랜디윌리엄
나코스제임스에스
페카릭존조셉
피터슨커크데이비드
란킨제드히코리
Original Assignee
포만 제프리 엘
인터내셔널 비지네스 머신즈 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 포만 제프리 엘, 인터내셔널 비지네스 머신즈 코포레이션 filed Critical 포만 제프리 엘
Publication of KR19990088171A publication Critical patent/KR19990088171A/en
Application granted granted Critical
Publication of KR100339182B1 publication Critical patent/KR100339182B1/en

Links

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B99/00Subject matter not provided for in other groups of this subclass
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76832Multiple layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76886Modifying permanently or temporarily the pattern or the conductivity of conductive members, e.g. formation of alloys, reduction of contact resistances
    • H01L21/76888By rendering at least a portion of the conductor non conductive, e.g. oxidation
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B10/00Static random access memory [SRAM] devices

Abstract

본 발명은 이중 절연 박막 스택(double insulating film stack)을 마스크로 채용하여 전체 칩에 대한 콘택트 도전체 형상을 규정하고, 게이트 도전체 형상을 규정한 이후에 노출된 도전층 상에 비교적 얇은 손상 방지층을 제공하여, 게이트 도전체에 대한 무경계 콘택트를 확산부에 제공하는 것에 관한 것이다. 일 실시예에서, 기판 상에 절연층을 형성하고, 절연층 상에 도전층을 제공하고, 도전층 상에 제 2 절연층을 제공하고, 제 2 절연층 상에 제 3 절연층을 제공하고, 제 2 및 제 3 절연 층의 기선택된 부분을 제거하고, 제 2 및 제 3 절연층이 제거된 부분 내에 손상 방지층을 제공하고, 제 3 절연층의 기선택된 부분을 제거하고, 손상 방지층을 제거하고, 도전층의 노출된 부분을 제거하고, 제 2 절연층의 노출된 부분을 제거함으로써 무경계 콘택트가 형성된다.The present invention employs a double insulating film stack as a mask to define the contact conductor shape for the entire chip, and to define a relatively thin damage protection layer on the exposed conductive layer after defining the gate conductor shape. To provide a borderless contact for the gate conductor to the diffusion. In one embodiment, an insulating layer is formed on a substrate, a conductive layer is provided on the insulating layer, a second insulating layer is provided on the conductive layer, a third insulating layer is provided on the second insulating layer, Removing the preselected portions of the second and third insulation layers, providing a damage prevention layer in the portions from which the second and third insulation layers have been removed, removing the preselected portions of the third insulation layer, removing the damage prevention layer, The borderless contact is formed by removing the exposed portion of the conductive layer and removing the exposed portion of the second insulating layer.

Description

반도체 구조물 및 그 제조 방법{BORDERLESS CONTACT TO DIFFUSION WITH RESPECT TO GATE CONDUCTOR AND METHODS FOR FABRICATING}Semiconductor structure and manufacturing method therefor {BORDERLESS CONTACT TO DIFFUSION WITH RESPECT TO GATE CONDUCTOR AND METHODS FOR FABRICATING}

본 발명은 게이트 도전체에 대한 무경계 콘택트(borderless contact)를 확산부(diffusion)에 제공하는 것에 관한 것이다. 본 발명에 따르면, 확산부 콘택트는 게이트에 단락됨이 없이 게이트 도전체에 겹쳐지게 된다. 특히, 본 발명은 단일 콘택트 마스킹 공정을 채택하여 확산부와 게이트 도전체에 무경계 콘택트를 제공하는 방법에 관한 것이다. 또한, 본 발명은 요구된 무경계 콘택트를 갖는 반도체 구조물에 관한 것이다. 본 발명은 특히 SRAM 셀과 내장되어 있는 SRAM을 구비하는 로직(logic)을 제조하는데 적용될 수 있다.The present invention relates to providing a diffusionless borderless contact to a gate conductor. According to the present invention, the diffuser contact is superimposed on the gate conductor without shorting to the gate. In particular, the present invention relates to a method of providing a borderless contact to a diffusion and a gate conductor by employing a single contact masking process. The invention also relates to a semiconductor structure having the desired borderless contact. The invention is particularly applicable to fabricating logic with SRAM cells and embedded SRAMs.

반도체 소자를 형성하는데 있어서, 기판 상에 형성된 소자의 특정 영역 사이에는 요구되는 전기적 접속이 이루어지게 하고, 기판 상에 형성된 소자의 다양한 다른 영역 사이에는 접속이 이루어지지 않도록 하는 것이 필요하다. 이를 달성하기 위한 한 기법은 포토레지스트와 마스킹 기법을 사용하는 것인데, 이에 따르면 전기적 콘택트를 위해 노출될 구역을 포토레지스트 내에 패터닝하고, 패터닝된 포토레지스트를 현상함으로써, 하부에 위치하는 요구된 영역을 노출시키게 된다. 이 기법은 전체 공정을 수행하기 위해 보통 여러 개의 연속적인 마스크를 필요로 하며, 공정 수행 중 각각의 후속 마스크는 정확하게 정렬되어야 한다. 그러나, 점점 더 작은 소자를 형성하도록 기술이 향상됨에 따라, 정확한 오버레이 공차(overlay tolerance)를 유지하기가 점점 어려워져서, 심지어 마스크의 작은 오정렬에 의해서도 피복된 상태로 유지되어야 하는 영역의 작은 부분 또는 "경계"가 노출된다. 따라서, 예를 들어, 금속의 오버레이 증착에 의한 전기적 연결을 통해 요구된 위치뿐만 아니라 요구되지 않은 위치의 노출된 경계 부분까지도 연결될 것이다.In forming a semiconductor device, it is necessary to make the required electrical connection between specific areas of the device formed on the substrate and to prevent connection between various other areas of the device formed on the substrate. One technique to achieve this is to use photoresist and masking techniques, which pattern the areas to be exposed for photoelectric contact in the photoresist and develop the patterned photoresist, thereby exposing the required areas located below. Let's go. This technique usually requires several consecutive masks to perform the entire process, and each subsequent mask must be aligned correctly during the process run. However, as technology improves to form smaller and smaller devices, it becomes increasingly difficult to maintain accurate overlay tolerance, so that even a small portion of an area that needs to remain covered even by small misalignment of the mask. Boundary "is exposed. Thus, for example, the electrical connection by overlay deposition of metal will connect not only the required position but also the exposed boundary portion of the undesired position.

이에 따라, 무경계 콘택트로 불리는 것이 제조되어왔다. 그러나, 예컨대 SRAM 셀의 경우에, 셀을 축소하는데 있어서의 한정 요인은 게이트 도전체와 관련된 확산부에 대한 콘택트이다. 이 한정 요인은 확산부 콘택트가 게이트 도전체에 단락되지 않도록 하는 것이다. 이는 확산부 콘택트가 채용된 공정 공차 내에서는 게이트와 절대로 교차하지 않도록 단순히 확산부 콘택트와 게이트 사이에 충분한 거리를 제공함으로써 달성되어 왔다. 무경계 콘택트는, 콘택트가 경계를 교차하는 경우 전기적 단락을 방지하는 수단을 제공하여, SRAM 셀이 게이트인 경우에 "경계"에 대해 콘택트가 교차될 수 있도록 함으로써, 경계와 콘택트 간의 거리를 감소시킨다. 또한, 무경계 콘택트에서는, SRAM 셀의 경우에 게이트 도전체에 접촉시키는 것과 같이, 무경계 요소(element) 자체를 접촉시키는 것이 필요하다. 이를 달성하기 위해, 이전에는 별도의 게이트 콘택트 매스(mass)가 사용되었으나, 이를 위해서는 별도의 중요한 마스크 단계가 추가된다. 따라서, 단락을 일으키지 않고 추가적인 마스킹 단계도 필요없는 게이트 콘택트와 확산부에 대한 무경계 콘택트를 구현하는 방법이 요구된다.Thus, what have been called borderless contacts have been manufactured. However, for example in the case of SRAM cells, the limiting factor in shrinking the cell is the contact to the diffusion associated with the gate conductor. This limiting factor is such that the diffusion contact is not shorted to the gate conductor. This has been achieved by simply providing sufficient distance between the diffuser contact and the gate so that it never intersects the gate within the process tolerances where the diffuser contact is employed. Borderless contacts provide a means of preventing electrical shorts when the contacts cross the border, thereby reducing the distance between the border and the contact by allowing the contact to cross over a "boundary" when the SRAM cell is a gate. Furthermore, in borderless contacts, it is necessary to contact the borderless element itself, such as in the case of an SRAM cell, to contact the gate conductor. In order to achieve this, a separate gate contact mass was previously used, but for this a separate important mask step is added. Accordingly, what is needed is a method of implementing borderless contacts to gate contacts and diffusions that do not cause short circuits and require no additional masking steps.

본 발명은 게이트 도전체에 대한 무경계 콘택트를 확산부에 제공하는 것에 관한 것이다. 특히, 본 발명에 따르면, 단락을 방지하며 무경계 콘택트를 구현할 수 있다. 더욱이, 본 발명의 바람직한 측면에 따르면, 무경계 콘택트는 단일 콘택트 마스크를 채택하여 구현된다.The present invention relates to providing a diffusion-free contact for a gate conductor. In particular, according to the present invention, it is possible to prevent a short circuit and to implement a borderless contact. Moreover, according to a preferred aspect of the present invention, borderless contacts are implemented by employing a single contact mask.

보다 구체적으로, 본 발명은 반도체 기판, 기판 상의 도전성 영역, 도전성 영역에 인접한 무경계 콘택트를 포함하는 반도체 구조물에 관한 것으로, 도전성 영역은 무경계 콘택트를 보호하기 위한 단속적인 자기 정렬된 절연 캡(cap)과 도전성 영역들을 접촉시키기 위한 캡이 없는 구역을 갖고 있다.More specifically, the present invention relates to a semiconductor structure comprising a semiconductor substrate, a conductive region on the substrate, a borderless contact adjacent to the conductive region, the conductive region comprising an intermittent self-aligned insulating cap for protecting the borderless contact. Has a capless area for contacting the conductive regions.

또한, 본 발명은 이러한 반도체 구조물을 제조하는 방법에 관한 것이다. 특히, 본 발명에 따른 공정은 반도체 기판을 제공하는 단계와, 반도체 기판 상에 제 1 절연층을 제공하는 단계와, 제 1 절연층 상에 도전층을 형성하는 단계를 포함한다. 제 2 절연층을 도전층 상에 형성하고 제 3 절연층을 제 2 절연층 상에 형성한다. 다음으로, 이 공정은 기결정된 패턴에 따라 제 2 및 제 3 절연층의 일부분을 선택적으로 제거하는 단계와, 제 2 및 제 3 절연층이 제거된 부분에 손상 방지층을 형성하는 단계를 포함한다. 손상 방지층은 제 3 절연층을 제거할 수 있도록 도전층을 산화시킴으로써 형성된 자기 정렬층이다. 잔류하는 제 3 절연층의 기선택된 부분을 기결정된 패턴에 따라 선택적으로 제거하고, 도전층을 에칭함이 없이 손상 방지층을 제거한다. 이제, 제 2 절연층으로 덮혀 있지 않은 도전층의 노출된 부분을 제거한다. 제 3 절연층의 제거에 의해 노출된 제 2 절연층을 제거하여 요구된 반도체 구조물을 형성한다.The invention also relates to a method of manufacturing such a semiconductor structure. In particular, the process according to the present invention includes providing a semiconductor substrate, providing a first insulating layer on the semiconductor substrate, and forming a conductive layer on the first insulating layer. A second insulating layer is formed on the conductive layer and a third insulating layer is formed on the second insulating layer. Next, the process includes selectively removing portions of the second and third insulating layers in accordance with the predetermined pattern, and forming a damage prevention layer in the portions from which the second and third insulating layers have been removed. The damage prevention layer is a self-aligned layer formed by oxidizing the conductive layer so that the third insulating layer can be removed. The preselected portion of the remaining third insulating layer is selectively removed according to the predetermined pattern, and the damage prevention layer is removed without etching the conductive layer. Now, the exposed portion of the conductive layer not covered with the second insulating layer is removed. The second insulating layer exposed by the removal of the third insulating layer is removed to form the required semiconductor structure.

본 발명의 다른 측면에 따라, 게이트 도전체와 관련된 확산부에 대한 무경계 콘택트를 구비하는 구조물을 제조하는 다른 방법을 제공한다. 이 대체 공정은 반도체 기판 상에 규정된 도전성 게이트 구조를 제공하는 단계와 장벽층을 블랭킷(blanket) 증착한 후 제 1 절연층을 블랭킷 증착하는 단계를 포함한다. 연마 스톱(polish stop)으로서 작용하는 게이트와 장벽층의 게이트 스택(stack)을 이용해 제 1 절연층을 연마함으로써 게이트의 상부를 노출시킨다. 장벽층의 선택된 부분과 하부에 위치하는 게이트 부분을 확산부에 대한 콘택트로부터 격리될 구역에 대응하도록 에칭한다. 이어서, 부합(conformal) 장벽층을 증착한 후, 게이트 영역 내에 생성된 리세스(recess)를 폴리실리콘층으로 충진한다. 폴리실리콘을 장벽층 위까지 연마한다. 노출된 장벽층을 제거하고 제 2 절연층을 증착한다. 이에 따라, 격리 캡으로 덮혀 있지 않은 구역 내에 확산부와 게이트에 대한 콘택트를 형성하는 재료가 형성된다.In accordance with another aspect of the present invention, there is provided another method of fabricating a structure having borderless contacts for diffusion associated with a gate conductor. This alternative process includes providing a defined conductive gate structure on a semiconductor substrate and blanket depositing a barrier layer followed by blanket depositing a first insulating layer. The top of the gate is exposed by polishing the first insulating layer using a gate stack of gate and barrier layers serving as a polish stop. The selected portion of the barrier layer and the underlying gate portion are etched to correspond to the area to be isolated from the contact to the diffusion. Subsequently, after depositing a conformal barrier layer, the recesses created in the gate region are filled with the polysilicon layer. The polysilicon is polished over the barrier layer. The exposed barrier layer is removed and a second insulating layer is deposited. As a result, a material is formed that forms a contact for the diffusion and the gate in the region not covered by the isolation cap.

본 발명의 또다른 실시예에서, 요구된 구조물은 반도체 기판 상에 도전성 게이트를 제공하고, 게이트와 기판 위에 장벽층을 블랭킷 증착하고, 장벽층 위에 비부합(non-conformal) 제 1 절연층을 블랭킷 증착함으로써 제조된다. 비부합층은 게이트 구조의 수직 측벽에 비해 수평 표면 상에서 보다 두껍게 형성된다. 희생 금속층을 증착하고 선택적으로 연마하는데, 연마는 도전성 게이트 라인 상부의 돌출된 절연 정점부(protruding insulating peak) 위까지 진행된다. 절연층을 하부에 위치하는 장벽층 위까지 에칭한다. 보호캡을 갖게 될, 게이트 영역 위의 절연층 내의 리세스 구역을 충진한 후, 희생층의 잔류하는 부분의 상부에 맞추어 평탄화한다. 이어서, 희생층을 제거함으로써, 자기 정렬된 콘택트를 제공하기 위한 후속의 에칭 및 충진 공정에 대해 에칭 스톱(etch stop) 및 절연 재료로서 작용할 캡이 게이트 영역의 상부에 남게 된다. 이 구조물을 후에 평탄화할 수 있는 제 2 절연층으로 덮는다. 이어서, 이 구조물을 이미 캡을 갖고 있는 도전성 게이트 라인에 대해 경계가 없는 확산부에 대한 콘택트로 패터닝하고 에칭한다. 도전성 게이트 라인의 캡으로 덮혀 있지 않은 부분들은 확산부 콘택트를 패터닝하는데 사용된 것과 동일한 에칭을 통해 콘택트를 형성할 수 있다.In another embodiment of the present invention, the required structure provides a conductive gate on a semiconductor substrate, blanket deposits a barrier layer over the gate and the substrate, and blankets a non-conformal first insulating layer over the barrier layer. It is made by vapor deposition. The unbonded layer is formed thicker on the horizontal surface as compared to the vertical sidewalls of the gate structure. A sacrificial metal layer is deposited and optionally polished, the polishing proceeds over a protruding insulating peak above the conductive gate line. The insulating layer is etched over the underlying barrier layer. After filling the recessed region in the insulating layer over the gate region, which will have a protective cap, it is planarized to fit over the remaining portion of the sacrificial layer. The removal of the sacrificial layer then leaves a cap on top of the gate region that will act as an etch stop and insulating material for subsequent etching and filling processes to provide self aligned contacts. The structure is covered with a second insulating layer that can later be planarized. This structure is then patterned and etched into contacts for the borderless diffusion to the conductive gate line that already has the cap. Portions not covered with the cap of the conductive gate line may form a contact through the same etching used to pattern the diffusion contacts.

본 발명의 또다른 실시예는 기형성된 게이트와 반도체 기판 위에 장벽층을 블랭킷 증착하는 단계와 장벽층 위에 제 1 절연층을 증착하는 단계를 포함한다. 절연층을 평탄화하고 구조물을 마스킹하여, 개구 영역(open region)이 후속 공정에서 캡이 형성될 게이트에 대응되게 한다. 후속 공정에서 캡이 형성될 게이트가 위치하는 영역에 있는 게이트 상부의 제 1 절연층을 에칭한다. 게이트의 윗부분에 잔류하는 노출된 장벽 구역 위에 제 2 장벽층을 선택적으로 증착한다. 제 2 절연층을 증착한 후 평탄화한다. 이 단계에서, 게이트에 대해 경계가 없는 확산부에 대한 콘택트가 패터닝되고 에칭될 수 있다.Yet another embodiment of the present invention includes blanket depositing a barrier layer over a preformed gate and a semiconductor substrate and depositing a first insulating layer over the barrier layer. The insulating layer is planarized and the structure is masked so that the open region corresponds to the gate where the cap will be formed in a subsequent process. In a subsequent process, the first insulating layer on the gate is etched in the region where the gate where the cap is to be formed is located. A second barrier layer is selectively deposited over the exposed barrier zone remaining on top of the gate. The second insulating layer is deposited and then planarized. In this step, the contact for the diffusion that is borderless to the gate can be patterned and etched.

본 발명에 따른 구조물을 제조하는 다른 실시예는 반도체 기판과 기형성된 게이트 구조 위에 장벽층을 블랭킷 증착하는 단계와, 장벽층 위에 부착 촉진층(adhesion promoting layer)을 증착하는 단계와, 부착 촉진층 위에 제 1 절연층을 블랭킷 증착하는 단계를 포함한다. 제 1 절연층을 게이트의 상부에 위치한 부착층 위까지 연마한다. 화학적으로 증폭된 포토레지스트(chemically amplified photoresist)를 증착한다. 레지스트에서 부착 촉진층과 직접 접촉하고 있는 부분은 변성(poison)되어 노출 및 베이킹 후에 잘 제거되지 않는다. 이어서, 레지스트를 전자기 방사(electromagnetic radiation)에 노출한 후, 베이킹하고 현상한다. 레지스트에서 부착 촉진층에 접촉되는 부분은 변성에 의해 현상 후에도 제거되지 않고 남아서, 확산부와 접촉되지 않도록 보호될 게이트 부분 위의 캡으로 작용한다. 제 1 절연층을 에칭하여 그 하부의 부착 촉진층을 노출시키고, 이어서 부착 촉진층 또한 에칭에 의해 제거하고, 그 하부의 장벽층을 부분적으로 에칭한다. 이에 따라, 게이트에 대한 콘택트 자기 정렬을 형성하는데 필요하게 될, 게이트 구조 상부로부터 확산부에 이르는 장벽층 내에 두께 차이가 발생한다. 다음으로, 잔류하는 모든 레지스트를 제거하고 게이트 부분 위로부터 부착층을 제거한다. 제 2 절연층을 블랭킷 증착한 후 평탄화하고 패터닝하여 게이트에 자기 정렬된 콘택트를 제공한다.Another embodiment of fabricating a structure according to the present invention comprises blanket depositing a barrier layer over a semiconductor substrate and a preformed gate structure, depositing an adhesion promoting layer over the barrier layer, and over the adhesion promotion layer. Blanket depositing the first insulating layer. The first insulating layer is polished to an adhesion layer located on top of the gate. Chemically amplified photoresist is deposited. The portion of the resist that is in direct contact with the adhesion promoter layer is modified to poor removal after exposure and baking. The resist is then exposed to electromagnetic radiation, then baked and developed. The portion in contact with the adhesion promoting layer in the resist remains unremoved even after development by denaturation, acting as a cap over the gate portion to be protected from contact with the diffusion. The first insulating layer is etched to expose the underlying adhesion promoting layer, and then the adhesion promoting layer is also removed by etching, and the underlying barrier layer is partially etched. This results in a difference in thickness in the barrier layer from the top of the gate structure to the diffusion, which will be needed to form a contact self alignment for the gate. Next, all remaining resist is removed and the adhesion layer is removed from above the gate portion. The second insulating layer is blanket deposited and then planarized and patterned to provide self aligned contacts to the gate.

상기 공정의 다른 변형에서, 제 1 절연층의 초기 연마를 게이트 위에 비교적 얇은 층의 절연층이 남도록 종결하고, 마스킹하여 후속 공정에서 캡이 형성될 구역을 게이트 위에 선택한다. 이어서, 에칭에 의해 잉여캡을 구비할 게이트 구역을 노출시킨 후, 상술한 바와 같이 공정을 진행할 수 있을 것이다.In another variation of the process, the initial polishing of the first insulating layer is terminated with a relatively thin layer of insulating layer left over the gate and masked to select the area over the gate where the cap will be formed in a subsequent process. Subsequently, the gate region to be provided with the surplus cap may be exposed by etching, and then the process may proceed as described above.

본 발명에 따른 또다른 공정은 기형성된 게이트 구조 위에 장벽층을 블랭킷 증착하는 단계와, 산화 가능 재료층을 블랭킷 증착하는 단계와, 제 2 장벽층을 증착하는 단계를 포함한다. 평탄화층을 증착한 후 패터닝함으로써, 경계가 없어질 게이트 부분 위의 제 2 장벽층을 노출시킨다. 평탄화층의 제거에 의해 노출된 구역 내에서 제 2 장벽층을 제거한다. 잔류하는 평탄화층을 제거하여 게이트에서 경계가 없어질 부분에 노출된 산화 가능 재료층을 제공한다. 이어서, 노출된 산화 가능 재료를 산화시킨다. 잔류하는 제 2 장벽층을 제거한다. 잔류하는 산화 가능 재료를 제거하면 게이트가 확산부에 대해 경계가 없어질 기선택된 영역 내의 게이트에 캡을 형성하는 산화된 재료를 구비하는 구조물이 형성된다. 이 구조물은 산화층이 에칭 스톱으로 작용하는 표준 공정에 의해 처리될 수 있어서, 확산부에 대한 콘택트 에칭 공정 중 콘택트는 게이트 영역에 단락되지 않을 것이다.Another process in accordance with the present invention includes blanket depositing a barrier layer over a preformed gate structure, blanket depositing a layer of oxidizable material, and depositing a second barrier layer. By depositing and then patterning the planarization layer, the second barrier layer over the gate portion to be delimited is exposed. Removal of the planarization layer removes the second barrier layer in the exposed area. The remaining planarization layer is removed to provide a layer of oxidizable material exposed to the portion of the gate that will be delineated. The exposed oxidizable material is then oxidized. The remaining second barrier layer is removed. Removal of the remaining oxidizable material forms a structure with oxidized material that forms a cap in the gate in the preselected area where the gate will be demarcated with respect to the diffusion. This structure can be processed by a standard process in which the oxide layer acts as an etch stop, so that during the contact etch process for the diffusion, the contacts will not be shorted to the gate region.

본 발명의 다른 목적들과 장점들은 후속하는 상세한 설명으로부터 당업자에 의해 용이하게 이해될 것이며, 본 발명의 상세한 설명은 단지 본 발명을 수행하도록 고려된 최적 모드를 예시함으로써 본 발명의 바람직한 실시예만을 도시하고 기술한 것이다. 후에 알게 되겠지만, 본 발명의 범주를 벗어남이 없이 본 발명을 다른 상이한 실시예로 구현하는 것도 가능하며, 본 발명의 몇몇 세목들은 다양하고 명백한 관점에서 변형될 수 있다. 따라서, 상세한 설명은 본질상 예시적인 것이지 제한적인 것은 아닌 것으로 간주되어야 한다.Other objects and advantages of the invention will be readily understood by those skilled in the art from the following detailed description, which is intended to illustrate only preferred embodiments of the invention by illustrating the best mode contemplated for carrying out the invention. It is written. As will be appreciated later, it is possible to implement the invention in other different embodiments without departing from the scope of the invention, and some details of the invention may be varied in various and obvious respects. Accordingly, the detailed description is to be regarded as illustrative in nature and not as restrictive.

도 1 내지 5는 본 발명의 실시예에 따른 공정의 다양한 단계에서의 구조의 개략도,1 to 5 are schematic diagrams of structures at various stages of a process according to an embodiment of the invention,

도 6 내지 8은 본 발명에 따른 다른 공정의 다양한 단계에서의 구조의 개략도,6 to 8 are schematic views of the structure at various stages of another process according to the invention,

도 9 내지 12는 본 발명의 다른 실시예에 따른 공정의 다양한 단계에서의 구조의 개략도,9-12 are schematic views of structures at various stages of a process according to another embodiment of the invention,

도 13 내지 15는 본 발명의 또다른 실시예에 따른 공정의 다양한 단계에서의 구조의 개략도,13-15 are schematic views of structures at various stages of a process according to another embodiment of the invention,

도 16 내지 21은 본 발명의 공정의 다른 실시예에 따른 공정의 다양한 단계에서의 구조의 개략도,16-21 are schematic diagrams of structures at various stages of a process according to another embodiment of the process of the present invention,

도 22는 본 발명에 따른 구조의 개략도.22 is a schematic diagram of a structure according to the present invention.

도면의 주요 부분에 대한 부호의 설명Explanation of symbols for the main parts of the drawings

1 : 반도체 기판 2 : 제 1 절연층1: semiconductor substrate 2: first insulating layer

3 : 도전층3: conductive layer

4 : 자기 정렬된 실리사이드 형성을 위한 구역4 zone for self-aligned silicide formation

6 : 제 2 절연층 7 : 제 3 절연층6: second insulating layer 7: third insulating layer

본 발명의 이해를 돕기 위하여, 본 발명의 한 실시예에 따른 공정 단계를 개략적으로 도시한 도면을 참조할 것이다. 본 발명에 따르면, 제 1 절연층(2)을 반도체 기판(1) 상에 제공한다. 반도체 기판(1)은 전형적으로 실리콘이지만 그룹 Ⅲ - Ⅴ 반도체와 같은 다른 모든 종류의 반도체 재료가 될 수도 있다. 절연층(2)은 기판 상에 성장되거나 화학 진공 증착(chemical vapor deposition : CVD) 혹은 물리 진공 증착(physical vapor deposition : PVD)과 같은 증착 기법에 의해 제공될 수 있다. 또한, 절연층(2)은 실리콘 이산화물을 제공하도록 기판(1)을 열적 산화시킴으로써 제공될 수 있다. 전형적으로, 이 절연층은 약 20 Å 내지 약 350 Å의 두께, 보다 전형적으로는 약 30 Å 내지 약 100 Å의 두께를 가지며, 게이트 절연체로서 작용한다.For better understanding of the invention, reference will now be made to the drawings, which schematically illustrate process steps according to one embodiment of the invention. According to the present invention, the first insulating layer 2 is provided on the semiconductor substrate 1. The semiconductor substrate 1 is typically silicon but may be any other kind of semiconductor material, such as group III-V semiconductors. The insulating layer 2 may be grown on a substrate or provided by a deposition technique such as chemical vapor deposition (CVD) or physical vapor deposition (PVD). The insulating layer 2 may also be provided by thermally oxidizing the substrate 1 to provide silicon dioxide. Typically, this insulating layer has a thickness of about 20 kPa to about 350 kPa, more typically about 30 kPa to about 100 kPa, and acts as a gate insulator.

도핑된 다결정 실리콘층과 같은 도전성 재료(3)를 절연층(2) 상에 제공한다. 도전층(3)은 반도체 기판 상에 형성될 반도체 소자 내에 게이트 전극을 형성할 수 있다. 전형적으로, 도전층(3)은 약 500 Å 내지 약 4000 Å의 두께, 보다 전형적으로는 약 1500 Å 내지 약 3000 Å의 두께를 갖는다.A conductive material 3, such as a doped polycrystalline silicon layer, is provided on the insulating layer 2. The conductive layer 3 may form a gate electrode in a semiconductor element to be formed on a semiconductor substrate. Typically, the conductive layer 3 has a thickness of about 500 kPa to about 4000 kPa, more typically about 1500 kPa to about 3000 kPa.

제 2 절연층(6)을 도전층(3) 상에 제공한다. 전형적으로, 제 2 절연층은 약 300 Å 내지 약 1500 Å의 두께, 보다 전형적으로는 약 500 Å 내지 약 1000 Å의 두께를 갖는다. 또한, 전형적으로 절연층(6)은, 증착된 테트라에틸로소실리케이트(tetraethylorthosilicate)를 산화물로 만들기 위해 약 400 ℃ 내지 약 750 ℃의 온도로 가열함으로써 산화시켜 형성되거나 보다 일반적으로 CVD 증착에 의해 형성될 수 있는 산화물이다.The second insulating layer 6 is provided on the conductive layer 3. Typically, the second insulating layer has a thickness of about 300 kPa to about 1500 kPa, more typically about 500 kPa to about 1000 kPa. In addition, the insulating layer 6 is typically formed by oxidizing the deposited tetraethylorthosilicate by heating to a temperature of about 400 ° C. to about 750 ° C. to form an oxide, or more generally by CVD deposition. It can be an oxide.

그 다음, 제 3 절연층(7)을 제 2 절연층(6) 상에 제공한다. 제 3 절연층(7)은 전형적으로 약 500 Å 내지 약 2500 Å의 두께, 보다 전형적으로는 약 1000 Å 내지 약 2000 Å의 두께, 보다 더 전형적으로는 약 1500 Å 내지 약 2000 Å의 두께를 갖는다. 더욱이, 제 3 절연층은 전형적으로 제 2 절연층보다 적어도 약 두 배 정도 더 두껍다. 그러나, 제 2 절연층과 제 3 절연층 간의 상대적 두께는 제 2 절연층과 제 3 절연층 간의 상대적 에칭 속도 비에 따라 변할 것이다.Then, a third insulating layer 7 is provided on the second insulating layer 6. The third insulating layer 7 typically has a thickness of about 500 kPa to about 2500 kPa, more typically about 1000 kPa to about 2000 kPa, and even more typically about 1500 kPa to about 2000 kPa. . Moreover, the third insulating layer is typically at least about twice as thick as the second insulating layer. However, the relative thickness between the second insulating layer and the third insulating layer will vary depending on the relative etch rate ratio between the second insulating layer and the third insulating layer.

제 2 및 제 3 절연층의 절연막 스택의 선택된 부분을 기결정된 패턴에 따라 예컨대 에칭에 의해 제거한다. 예를 들어, 선택된 부분은 전체 칩(chip)에 대한 게이트 도전체 형상을 규정하는 패턴에 따라 제거된다. 구체적으로, 선택된 부분은 감광성(photosensitive) 레지스트 재료(8)를 도포하고 패터닝하여 원하는 게이트 구조를 제공하는 것과 같은 통상적인 포토리소그래픽 기법을 채택하여 제거될 수 있다. 패터닝된 포토레지스트는 제 3 절연층과 이어서 제 2 절연층의 노출된 부분은 제거하고 제 2 및 제 3 절연층의 다른 부분은 에칭으로부터 보호하기 위한 마스크로서 작용한다.Selected portions of the insulating film stacks of the second and third insulating layers are removed, for example, by etching, according to a predetermined pattern. For example, the selected portion is removed according to a pattern that defines the gate conductor shape for the entire chip. Specifically, the selected portion may be removed by employing conventional photolithographic techniques such as applying and patterning photosensitive resist material 8 to provide the desired gate structure. The patterned photoresist acts as a mask to remove the third insulating layer and then the exposed portions of the second insulating layer while the other portions of the second and third insulating layers are protected from etching.

제 3 절연층이 질화물인 경우는 반응성 이온 에칭(reactive ion etching) 혹은 하방 플라즈마 소스 에칭(downstream plasma source etching)에 의해 제거될 수 있다. 마찬가지로, 산화물층(6)도 반응성 이온 에칭을 통해 제거될 수 있다.When the third insulating layer is nitride, it may be removed by reactive ion etching or downstream plasma source etching. Likewise, oxide layer 6 may be removed via reactive ion etching.

다음으로, 잔류하는 포토레지스트를 예컨대 적절한 용제(solvent) 내에서 용해시킴으로써 제거한다. 포토레지스트의 제거 후, 제 2 및 제 3 절연층이 제거된 도전층 위에 손상 방지층(damage preventing layer)(9)을 제공할 수 있다(도 2 참조). 손상 방지층은 폴리실리콘 도전층을 열적 산화시킴으로써 제공될 수 있고, 전형적으로 약 20 Å 내지 약 350 Å의 두께, 바람직하게는 약 60 Å 내지 약 150 Å의 두께를 갖고, 약 100 Å이 전형적이다. 산화물은 폴리실리콘 위에서는 열적 성장할 수 있지만 질화물층 위에서는 성장하지 않는다. 이 산화물층은 질화물 에칭 스톱(nitride etch stop)을 제공한다.Next, the remaining photoresist is removed, for example, by dissolving in a suitable solvent. After removal of the photoresist, a damage preventing layer 9 may be provided over the conductive layer from which the second and third insulating layers have been removed (see FIG. 2). The damage protection layer can be provided by thermally oxidizing the polysilicon conductive layer, and typically has a thickness of about 20 kPa to about 350 kPa, preferably about 60 kPa to about 150 kPa, and about 100 kPa is typical. The oxide can grow thermally over polysilicon but not over the nitride layer. This oxide layer provides a nitride etch stop.

제 3 절연층의 기선택된 부분을 마스크를 사용하여 기결정된 패턴에 따라 제거한다(도 3 참조). 제 3 절연층은 반응성 이온 에칭을 통해 에칭될 수 있고 게이트 콘택트를 제공한다. 이어서, 잔류하는 레지스트를 적절한 용제 내에서 용해하여 제거한다.The preselected portion of the third insulating layer is removed in accordance with the predetermined pattern using a mask (see FIG. 3). The third insulating layer can be etched through reactive ion etching and provides a gate contact. The remaining resist is then dissolved in a suitable solvent to remove it.

다음으로, 얇은 손상 방지층을 제거하는데, 손상 방지층의 두께가 노출된 제 2 절연층에 비해 상당히 얇기 때문에, 제 2 절연층은 여전히 잔류하는 반면에 손상 방지층은 제거된다(도 4 참조).Next, the thin anti-damage layer is removed, since the thickness of the anti-damage layer is considerably thin compared to the exposed second insulating layer, the second insulating layer still remains while the anti-damage layer is removed (see FIG. 4).

도전층 부분을 제 2 및 제 3 절연층 모두를 마스크로 사용하여 제거한다(도 5 참조). 이어서, 제 2 절연층 중에서 제 3 절연층을 제거함에 따라 노출됐던 부분을 제거하여 통상의 로직 콘택트 및 후속하는 자기 정렬된 실리사이드 형성을 위한 구역(4)을 제공하는 하부에 위치하는 도전층을 노출시킨다.The conductive layer portion is removed using both the second and third insulating layers as masks (see FIG. 5). The exposed portion of the second insulating layer is then removed by exposing the third insulating layer to expose the underlying conductive layer, which provides a region 4 for conventional logic contact and subsequent self-aligned silicide formation. Let's do it.

상기에서 알 수 있는 바와 같이, 본 발명에 따른 상기 방법은 마스킹층을 사용함으로써, 무경계 콘택트가 필요없고 자기 정렬된 실리사이드(silicide) 공정이 수행되어야 하는 구역 내에 통상의 게이트 형성을 할 수 있게 한다. 알 수 있는 바와 같이, 이 마스크는 게이트에 대한 무경계 콘택트가 필요한 구역 내의 절연체 스택을 보호하고, 질화물 캡 절연체는 나머지 부분 전체에서 제거되는데, 산화물은 제거되지 않는다. 잔류하는 에칭 스톱 산화물은 짧은 산화물 에칭을 통해 도전체의 상부로부터 제거된다.As can be seen above, the method according to the present invention enables the use of a masking layer to allow conventional gate formation in the area where no borderless contact is required and a self aligned silicide process should be performed. As can be seen, this mask protects the insulator stack in the area where borderless contact to the gate is needed, and the nitride cap insulator is removed throughout the rest, but the oxide is not removed. Residual etch stop oxide is removed from the top of the conductor via a short oxide etch.

본 발명에 따른 이 방법의 장점은 게이트 도전체 리소그래피를 평탄한 표면 상에서 수행함으로써 최적의 치수 제어를 제공한다는 것이다. 더욱이, 개선된 치수 제어를 제공하는 것으로 알려진 경질의 마스크(질화물 및 산화물)를 사용해 게이트 도전체 에칭을 수행한다. 이들 장점은 게이트 도전성에 밀도가 요구되는 소자 상에 무경계 콘택트를 형성하는 수단을 제공하는 본 발명에 의해 구현된다. 더욱이, 본 발명에 의해, 특히 후속 공정에서 제공될 텅스텐과 같은 콘택트 스터드(stud)(70)의 오정렬을 감안하는 제 3 절연층이 존재함으로 인해, 달성 가능한 장점을 도시하고 있는 도 22를 참조하라. 제 3 절연층은 도핑된 다결정 실리콘과 같이 하부에 위치하는 도전성 재료(3)가 스터드와 접촉하는 것을 막아준다. 도 22에서, 참조 부호 71과 72는 소스와 드레인 영역을 나타내고, 73은 선택적 보조 질화물 에칭부를 나타내고, 74는 레벨간 유전체를 나타내고, 75는 금속 배선을 나타내고, 76은 측벽 격리부를 나타낸다.An advantage of this method according to the invention is that it provides optimum dimensional control by performing gate conductor lithography on a flat surface. Moreover, gate conductor etching is performed using hard masks (nitrides and oxides) known to provide improved dimensional control. These advantages are realized by the present invention, which provides a means for forming borderless contacts on devices where density is required for gate conductivity. Furthermore, reference is made to FIG. 22, which illustrates the advantages achievable by the present invention, in particular due to the presence of a third insulating layer which allows for the misalignment of contact studs 70, such as tungsten, to be provided in a subsequent process. . The third insulating layer prevents underlying conductive material 3, such as doped polycrystalline silicon, from contacting the stud. In Fig. 22, reference numerals 71 and 72 denote source and drain regions, 73 denote an optional auxiliary nitride etch, 74 denote an interlevel dielectric, 75 denote metal wiring, and 76 denote sidewall isolation.

이들은 본 기술분야에서 잘 알려진 기법들을 통해 제공될 수 있으므로 여기서 보다 상세히 기술될 필요는 없다.They may be provided through techniques well known in the art and need not be described in more detail herein.

본 발명에 따른 다른 실시예(도 6 참조)에서는, 게이트 구조를 형성하는 단계를 포함하도록 소자가 처리되었으나 게이트와 확산부에 대한 콘택트가 만들어지기 전에, 실리콘 질화물(22)과 같은 표준 장벽층을 기판(1) 상의 절연층(2) 위와 게이트 구조(21) 위에 블랭킷 증착한다. 도전성 게이트(3)는 다결정 실리콘에 의해 제공되며, 그 상부 부분은 실리사이드화되어 있다. 절연층(23)은 층(22) 위에 블랭킷 증착된다. 절연층(23)은 실리콘 이산화물이 될 수 있고, 화학 진공 증착 혹은 물리 진공 증착과 같은 증착 기법에 의해 제공될 수 있다. 전형적으로, 실리콘 질화물층(22)은 약 100 Å 내지 약 1000 Å의 두께, 보다 전형적으로는 약 250 Å 내지 약 750 Å의 두께를 갖는다. 또한, 절연층(23)은 전형적으로 약 2000 Å 내지 약 5000 Å의 두께, 보다 전형적으로는 약 3000 Å 내지 약 4000 Å의 두께를 갖는다.In another embodiment according to the present invention (see FIG. 6), the device is processed to include forming a gate structure, but before a contact is made to the gate and the diffusion, a standard barrier layer such as silicon nitride 22 is formed. Blanket deposition is carried out on the insulating layer 2 on the substrate 1 and on the gate structure 21. The conductive gate 3 is provided by polycrystalline silicon, the upper portion of which is silicided. Insulating layer 23 is blanket deposited over layer 22. The insulating layer 23 may be silicon dioxide and may be provided by a deposition technique such as chemical vacuum deposition or physical vacuum deposition. Typically, silicon nitride layer 22 has a thickness of about 100 GPa to about 1000 GPa, more typically about 250 GPa to about 750 GPa. In addition, insulating layer 23 typically has a thickness of about 2000 kPa to about 5000 kPa, more typically about 3000 kPa to about 4000 kPa.

본 발명의 이러한 대체 공정에서 중요한 부분은 화학 기계적 연마(chemical-mechanical polishing : CMP)를 이용해 절연층(23)을 게이트 구조까지 연마하는 단계로서, 이때의 게이트 스택은 연마 스톱으로 작용하여 도 6에 도시한 바와 같이 게이트의 상부를 노출시킨다.An important part of this alternative process of the present invention is the step of polishing the insulating layer 23 to the gate structure by using chemical-mechanical polishing (CMP), in which the gate stack acts as a polishing stop and is shown in FIG. As shown, the top of the gate is exposed.

본 발명의 바람직한 측면에 따르면, 웨이퍼는 포토레지스트(24)를 사용해 패터닝되는데, 패터닝된 포토레지스트 내에 있는 개구는 확산부에 대한 콘택트로부터 격리될 게이트의 구역에 대응한다(도 7 참조). 이어서, 패터닝된 구역을 에칭함으로써, 게이트 구조물의 일부분 뿐만 아니라 노출된 실리콘 질화물 캡(22)과 게이트의 상부 부분에 위치한 실리사이드가 제거되어 리세스된 게이트 구조가 제공된다. 채용된 에칭제는 바람직하게는 게이트 구조물을 둘러싼 절연층(23)은 감지할 수 있는 정도로 에칭하지 않는 화학적 반응성 이온 에칭이다.According to a preferred aspect of the present invention, the wafer is patterned using photoresist 24, with openings in the patterned photoresist corresponding to the area of the gate to be isolated from the contact for the diffusion (see FIG. 7). Subsequently, by etching the patterned region, not only a portion of the gate structure but also the exposed silicon nitride cap 22 and silicide located at the upper portion of the gate are removed to provide a recessed gate structure. The etchant employed is preferably a chemically reactive ion etch in which the insulating layer 23 surrounding the gate structure does not etch to a detectable degree.

이어서 잔류하는 레지스트층(24)을 제거할 수 있고, 필요하다면 리세스된 다결정 실리콘을 실리사이드화시킬 수 있다. 이어서, 본 발명에 따른 이 실시예의 바람직한 측면에서, 게이트 리세스를 충진하기 위한 실리콘 질화물과 같은 부합 장벽층이 증착될 수 있다.The remaining resist layer 24 can then be removed and the recessed polycrystalline silicon can be silicided if necessary. Subsequently, in a preferred aspect of this embodiment according to the present invention, a conforming barrier layer, such as silicon nitride, for filling the gate recess can be deposited.

다음으로, 실리콘 질화물 장벽층(25)에 방향성 에칭 혹은 CMP를 수행하여, 게이트의 부분적 제거에 의해 생성된 그루브(groove) 내에 위치하지 않는 질화물을 제거한다.Next, the silicon nitride barrier layer 25 is subjected to directional etching or CMP to remove nitrides that are not located in the grooves created by partial removal of the gate.

실리콘 이산화물과 같은 제 2 절연층을 예컨대 화학 진공 증착에 의해 증착하여, 확산부와 실리콘 질화물 캡으로 피복되지 않은 구역 내의 게이트에 대한 콘택트를 형성하는 층(26)을 제공한다(도 8 참조).A second insulating layer, such as silicon dioxide, is deposited, for example by chemical vacuum deposition, to provide a layer 26 that forms a contact for the diffusion and the gate in the region not covered with the silicon nitride cap (see FIG. 8).

본 발명의 세 번째 실시예(도 9 참조)에 따라, 이전에 형성되었고 상부 부분이 실리사이드화된 폴리실리콘 게이트(21)와 반도체 기판(1) 상의 게이트 산화물(2) 위에 실리콘 질화물과 같은 부합 장벽층(30)을 블랭킷 증착한다. 바람직하게는 실리콘 질화물인 부합 장벽층은 전형적으로 약 100 Å 내지 약 1000 Å의 두께, 보다 전형적으로는 약 250 Å 내지 약 750 Å의 두께를 갖는다. 다음으로, 예컨대 사일랜 산화물로부터 비부합 절연층을 제공하는데, 층(31)은 게이트 구조에 인접한 수직 측벽 표면에 비해 수평 표면 상에서 보다 두껍게 형성된다. 전형적으로 박막(31)은 측벽 표면 보다 수평 표면 상에서 적어도 약 1.5 배 정도 더 두꺼워야 하고, 보다 전형적으로는 약 두 배가 되어야 하며, 전형적인 예에서는 수평 표면 상의 두께가 약 0.2 μm이고 측벽 상의 두께는 약 0.05 μm이다.In accordance with a third embodiment of the present invention (see FIG. 9), a conforming barrier, such as silicon nitride, is formed over a previously formed silicided polysilicon gate 21 and a gate oxide 2 on a semiconductor substrate 1. Layer 30 is blanket deposited. The matching barrier layer, which is preferably silicon nitride, typically has a thickness of about 100 kPa to about 1000 kPa, more typically about 250 kPa to about 750 kPa. Next, an unattached insulating layer is provided, for example, from a silan oxide, wherein layer 31 is formed thicker on the horizontal surface as compared to the vertical sidewall surface adjacent the gate structure. Typically the thin film 31 should be at least about 1.5 times thicker on the horizontal surface than the sidewall surface, and more typically about twice the thickness, in a typical example about 0.2 μm thick on the horizontal surface and about thick on the sidewall. 0.05 μm.

전형적으로, 이 층은 약 100 Å 내지 약 500 Å의 두께, 보다 전형적으로는 약 200 Å 내지 약 300 Å의 두께를 가진다. 이어서, 텅스텐 혹은 TiN + 텅스텐과 같은 희생층(sacrificial layer)(32)을 증착한다. 희생층은 전형적으로 약 0.15 내지 약 0.4 μm의 두께, 보다 전형적으로는 약 0.2 내지 약 0.3 μm의 두께를 가지며, 특정 예에서는 약 0.3 μm의 두께를 가진다. 이어서, 희생층(32)을 산화물까지 연마하여, 게이트 상부의 돌출하여 있는 산화물 정점 위까지 연마한다. 연마는 선택적 공정이다. 공정의 이 단계에서의 대안으로서, 폴리실리콘 라인 상에 캡이 필요한 부분이 개방되도록 웨이퍼를 패터닝할 수 있다. 이어서, 산화물층을 제거하기 위해 웨이퍼를 하부에 위치하는 질화물층 위까지 에칭한다. 이 에칭 공정은 텅스텐 희생층(32)에 대해서 선택적이다(도 10 참조).Typically, this layer has a thickness of about 100 mm 3 to about 500 mm 3, more typically about 200 mm 3 to about 300 mm 3. Subsequently, a sacrificial layer 32 such as tungsten or TiN + tungsten is deposited. The sacrificial layer typically has a thickness of about 0.15 to about 0.4 μm, more typically about 0.2 to about 0.3 μm, and in certain instances has a thickness of about 0.3 μm. Subsequently, the sacrificial layer 32 is polished to an oxide and then polished to the oxide peak protruding from the upper portion of the gate. Polishing is an optional process. As an alternative at this stage of the process, the wafer can be patterned so that the portion where the cap is needed is opened on the polysilicon line. The wafer is then etched over the nitride layer located below to remove the oxide layer. This etching process is optional for the tungsten sacrificial layer 32 (see FIG. 10).

잔류하는 레지스트를 제거함으로써(도 11 참조), 캡이 형성될 폴리실리콘 라인 위의 구역이 희생층(32)에 의해 둘러싸인 리세스되는 구역이 되는 구조가 얻어진다. 리세스는 예컨대 CVD 실리콘 질화물(34)을 채용하여 충진되고 후속하는 연마 공정을 통해 희생층(32)의 상부에 맞게 평탄화된다.By removing the remaining resist (see FIG. 11), a structure is obtained in which the area above the polysilicon line on which the cap is to be formed becomes a recessed area surrounded by the sacrificial layer 32. The recess is filled, for example by employing CVD silicon nitride 34 and planarized to fit over the sacrificial layer 32 through a subsequent polishing process.

희생층(32)은 예컨대 습식 침지(dip) 에칭 공정에 의해 제거될 수 있다. 이에 따라, 폴리실리콘 라인 위에 캡이 제공되고, 캡은 자기 정렬된 콘택트를 에칭하고 충진할 때 에칭 스톱 및 절연 재료로서 작용할 것이다.The sacrificial layer 32 may be removed by, for example, a wet dip etching process. Accordingly, a cap is provided over the polysilicon line, which will act as an etch stop and insulation material when etching and filling self aligned contacts.

비부합 산화물(31)은 원상태를 유지할 수 있다. 이제 이 구조물을 예컨대 붕소(boron) 및/혹은 인(phosphorus)으로 도핑된 실리케이트 글래스와 같은 도핑된 실리케이트 글래스의 추가 산화층(35)으로 도포할 수 있다. 이어서, 다른 산화물층(31)을 연마할 수 있으며, 그 결과, 캡이 형성되어 있는 폴리실리콘 라인에 대해 경계가 없는, 확산부에 대한 콘택트의 형성을 위한 표준 패터닝 단계 및 에칭 단계를 수행할 수 있는 구조가 얻어진다. 폴리실리콘 라인의 캡이 형성되지 않은 구역들은 동일한 에칭을 통해 콘택트가 형성될 수 있다.The unbonded oxide 31 can remain intact. This structure can now be applied with an additional oxide layer 35 of doped silicate glass, such as silicate glass doped with boron and / or phosphorus. The other oxide layer 31 may then be polished, resulting in standard patterning and etching steps for the formation of contacts to the diffusion without borders for the polysilicon lines on which the caps are formed. Structure is obtained. Areas where the cap of the polysilicon line is not formed may be contact formed through the same etching.

또다른 실시예(도 13 참조)에서, 대략적으로 2000 Å의 두께를 갖는 실리콘 질화물(51)과 같은 부합 장벽층을 블랭킷 증착한다. 티타늄 질화물(52)과 같은 부착 촉진층을 예컨대 스퍼터링(sputtering)에 의해 증착할 수 있다. 부착 촉진층은 전형적으로 약 50 Å 내지 약 1500 Å의 두께, 보다 전형적으로는 약 300 Å 내지 약 700 Å의 두께를 가지며, 특정 예에서는 약 500 Å의 두께를 가진다. 도핑된 실리케이트 글래스(53)를 증착하여 절연층을 제공한다. 이 절연층은 전형적으로 약 2000 Å 내지 약 6000 Å의 두께, 보다 바람직하게는 약 3000 Å 내지 약 5000 Å의 두께를 가지며, 특정 예에서는 약 4000 Å의 두께를 가진다. 이어서, CMP에 의해 BPSG와 같은 도핑된 실리케이트 글래스를 폴리실리콘 라인 위의 에칭 스톱층 위까지 연마하여 폴리실리콘 라인 위의 티타늄 질화물을 노출시킨다. 이어서, 포토레지스트(54)를 예컨대 스피닝(spinning)에 의해 증착할 수 있다. 이 후, 포토레지스트(54)를 적절한 파장을 가진 전자기 방사에 노출하고, 베이킹한 후, 현상한다. 포토레지스트는 쉬플리(Shipley)사로부터 구입할 수 있는 p-하이드록시(hydroxy) 스틸렌(styrene)계 DUV 포토레지스트인 APEX와 같이 기판에 의해 변성되기 쉬운 화학적으로 증폭된 포토레지스트이다. 화학적으로 증폭된 레지스트를 채용함으로써, 레지스트 중에서 티타늄 질화물과 직접적으로 접촉하고 있는 부분이 변성될 것이다. 따라서, 노출, 베이킹, 현상 후, 레지스트는 티타늄 질화물과 접촉된 부분은 완전히 현상되지만, 티타늄과 접촉된 영역 내에 있는 레지스트층은 잔류할 것이다.In another embodiment (see FIG. 13), a conformal barrier layer, such as silicon nitride 51, having a thickness of approximately 2000 GPa is blanket deposited. An adhesion promoting layer, such as titanium nitride 52, may be deposited, for example, by sputtering. The adhesion promoting layer typically has a thickness of about 50 mm 3 to about 1500 mm 3, more typically about 300 mm 3 to about 700 mm 3, and in certain instances has a thickness of about 500 mm 3. The doped silicate glass 53 is deposited to provide an insulating layer. This insulating layer typically has a thickness of about 2000 kPa to about 6000 kPa, more preferably about 3000 kPa to about 5000 kPa, and in certain instances has a thickness of about 4000 kPa. The doped silicate glass, such as BPSG, is then polished by CMP to the etch stop layer on the polysilicon line to expose the titanium nitride on the polysilicon line. The photoresist 54 can then be deposited, for example, by spinning. Thereafter, the photoresist 54 is exposed to electromagnetic radiation having an appropriate wavelength, baked and then developed. The photoresist is a chemically amplified photoresist that is susceptible to denaturation by a substrate, such as APEX, a p-hydroxy styrene-based DUV photoresist available from Shipley. By employing a chemically amplified resist, the portion of the resist that is in direct contact with titanium nitride will be modified. Thus, after exposure, baking, and developing, the resist is completely developed in contact with the titanium nitride, but the resist layer in the area in contact with the titanium will remain.

폴리실리콘 라인의 일부분 상에 위치한 변성된 레지스트 캡은 폴리실리콘 라인의 상부의 질화물을 보존하는 보호 장벽으로 작용한다(도 14 참조). 도핑된 실리케이트 글래스는 예컨대 수성(aqueous) HF 산 에칭제를 사용하는 습식 에칭에 의해 제거될 수 있으며, 그 결과 하부에 위치하는 티타늄 질화물층이 노출된다. 티타늄 질화물층의 노출된 부분은 예컨대 반응성 이온 에칭에 의해 제거할 수 있다. 이러한 에칭은 또한 티타늄 질화물 하부의 실리콘 질화물의 일부분도 제거할 것이다. 이에 따라, 폴리실리콘 게이트에 대한 콘택트 자기 정렬을 만드는데 사용될, 폴리실리콘 라인 상부로부터 확산부에 이르는 질화물 박막 내에 두께 차이가 발생한다. 이어서, 잔류하는 레지스트 재료를 예컨대 플라즈마 스트리핑 기법(plasma stripping technique)에 의해 제거하고, 폴리실리콘 라인 위의 티타늄 질화물을 제거한다. 이어서, 도핑된 실리케이트 글래스를 증착하고, 평탄화하고, 패터닝하여 게이트에 자기 정렬된 콘택트를 에칭한다.The modified resist cap located on a portion of the polysilicon line acts as a protective barrier to preserve nitride on top of the polysilicon line (see FIG. 14). The doped silicate glass can be removed, for example, by wet etching with an aqueous HF acid etchant, exposing the underlying titanium nitride layer. Exposed portions of the titanium nitride layer can be removed, for example, by reactive ion etching. This etching will also remove a portion of the silicon nitride underneath the titanium nitride. This results in a thickness difference in the nitride thin film from the top of the polysilicon line to the diffusion, which will be used to make contact magnetic alignment for the polysilicon gate. The remaining resist material is then removed by, for example, a plasma stripping technique and the titanium nitride on the polysilicon line is removed. The doped silicate glass is then deposited, planarized, and patterned to etch the self aligned contacts to the gate.

본 공정의 대체 변형에서, 도핑된 실리케이트 글래스(53)의 초기 연마 공정은 게이트 영역 위에 예컨대 약 0.2 μm의 작은 부분이 남도록 수행될 수 있다. 이어서, 후속 공정에서 캡이 형성될 구역을 게이트 위에 결정하도록 블럭 마스크가 제공된다. 반응성 이온 에칭에 의해 폴리실리콘(21) 중에서 여분의 캡층 두께의 실리콘 질화물을 갖는 구역이 노출될 것이다.In an alternative variant of the present process, the initial polishing process of the doped silicate glass 53 may be performed such that a small portion of, for example, about 0.2 μm is left over the gate region. Subsequently, a block mask is provided to determine on the gate the region where the cap will be formed in a subsequent process. Reactive ion etching will expose the region with excess nitride of silicon nitride in the polysilicon 21.

도 16 내지 21은 본 발명의 다른 실시예를 도시하고 있다. 이 대체 공정에서, 실리콘 질화물과 같은 부합 장벽층(61)을 사전 형성된 폴리실리콘 게이트 구조(21) 위와 게이트 절연체 위에 증착한다. 알루미늄과 같은 산화 가능 재료층(62)을 증착한다. 이 층은 전형적으로 약 100 Å 내지 약 500 Å의 두께, 보다 전형적으로는 약 200 Å 내지 약 300 Å의 두께를 가지며, 예에서는 약 250 Å의 두께를 가진다. 이어서, 실리콘 질화물과 같은 제 2 장벽층(63)을 산화 가능층(62) 위에 블랭킷 증착한다. 이 층은 전형적으로 약 200 Å 내지 약 1000 Å의 두께, 보다 전형적으로는 약 400 Å 내지 약 600 Å의 두께를 갖는다. 유기 무반사 코팅(organic antireflective coating : ARC)(64)과 같은 평탄화층을 폴리실리콘 게이트(21) 상부 위에 전형적으로 약 100 Å 내지 약 500 Å의 두께, 보다 전형적으로는 약 200 Å 내지 약 300 Å의 두께로 증착한다. 평탄화층의 두께는 전형적으로 대략 게이트(21)의 높이, 예를 들어, 대략 0.2 μm이다. 이어서, 포토레지스트층(65)을 도포하고 예컨대 콘택트 마스크를 사용해 패터닝한다. 이어서, 웨이퍼를 반응성 이온 에칭하여 ARC층의 선택된 부분을 제거하는데, 반응성 이온 에칭은 실리콘 질화물층(63)과 같은 게이트의 상부에 위치하는 장벽층이 노출되면 중지한다. 게이트 상부에 위치하는 노출된 실리콘 질화물을 선택된 부분 내에서 선택적 반응성 이온 에칭을 통해 제거한다. 이어서, 잔류하는 레지스트와 ARC를 표준 산소 혹은 오존 용융 스트리핑(standard oxygen or ozone fusion stripping) 공정을 통해 제거하여 경계가 없어질 게이트 부분의 티타늄 질화물 혹은 알루미늄과 같은 산화 가능 재료층(62)을 노출시킨다.16-21 show another embodiment of the present invention. In this alternative process, a matching barrier layer 61, such as silicon nitride, is deposited over the preformed polysilicon gate structure 21 and over the gate insulator. A layer of oxidizable material 62 such as aluminum is deposited. This layer typically has a thickness of about 100 mm 3 to about 500 mm 3, more typically about 200 mm 3 to about 300 mm 3, in this example about 250 mm 3. A second barrier layer 63 such as silicon nitride is then blanket deposited over the oxidizable layer 62. This layer typically has a thickness of about 200 mm 3 to about 1000 mm 3, more typically about 400 mm 3 to about 600 mm thick. A planarization layer, such as an organic antireflective coating (ARC) 64, is typically formed on top of the polysilicon gate 21 to a thickness of about 100 mm to about 500 mm, more typically from about 200 mm to about 300 mm Deposit to thickness. The thickness of the planarization layer is typically approximately the height of the gate 21, for example approximately 0.2 μm. The photoresist layer 65 is then applied and patterned, for example using a contact mask. The wafer is then reactive ion etched to remove selected portions of the ARC layer, which stops when the barrier layer located on top of the gate, such as silicon nitride layer 63, is exposed. The exposed silicon nitride located above the gate is removed through selective reactive ion etching in the selected portion. The remaining resist and ARC are then removed through a standard oxygen or ozone fusion stripping process to expose the layer of oxidizable material 62, such as titanium nitride or aluminum, at the gate portion to be debounded. .

알루미늄과 같은 노출된 산화 가능 재료(62)를 예컨대 웨이퍼를 고속 열적 어닐링(rapid thermal annealing) 기구(tool) 혹은 노(furnace) 내에 둠으로써 열적으로 산화시킨다. 잔류하는 실리콘 질화물(63)을 예컨대 화학적 습식 에칭을 통해 제거한다. 하부에 위치하는 산화 가능층(62)을 에칭에 의해 제거하여, 확산부에 대해 경계가 없어질 필요가 있는 게이트의 상부에 알루미늄 산화물과 같은 산화물 캡층(66)을 남긴다. 남은 공정이 수행될 수 있는데, 여기서 산화물(66)은 에칭 스톱으로 작용하여 확산부에 대한 콘택트 에칭 중, 콘택트는 게이트에 단락되지 않을 것이다.The exposed oxidizable material 62, such as aluminum, is thermally oxidized, for example, by placing the wafer in a rapid thermal annealing tool or furnace. Residual silicon nitride 63 is removed via, for example, chemical wet etching. The underlying oxidizable layer 62 is removed by etching, leaving an oxide cap layer 66, such as aluminum oxide, on top of the gate that needs to be demarcated with respect to the diffusion. The remaining process can be performed, where oxide 66 acts as an etch stop so that during contact etch to the diffusion, the contact will not be shorted to the gate.

또한, 상기한 어떠한 공정에서도, 필요하다면, 캡은 게이트에 대한 단락 방지를 보증하고 게이트와 콘택트 간의 캐패시턴스를 제어하기 위하여 폴리실리콘 라인에 대해 돌출된 형태로 만들어질 수 있다.Also, in any of the above processes, if desired, the cap can be made protruding against the polysilicon line to ensure short-circuit protection for the gate and to control the capacitance between the gate and the contact.

본 발명의 전술한 설명은 본 발명을 도시하고 기술하고 있다. 또한, 개시된 것은 본 발명의 바람직한 실시예만을 나타내고 기술하고 있지만, 상기에서 언급한 바와 같이, 본 발명은 다양한 다른 조합, 변형, 환경에서 사용될 수 있으며, 상기에 개시된 것 및/혹은 관련된 분야의 기술 혹은 지식에 상응하는 여기에서 나타낸 바와 같은 본 발명의 개념의 범주 내에서 변화 혹은 변형될 수 있음이 이해될 것이다. 앞서 기술된 실시예는 본 발명을 구현하는데 있어서의 최적 모드를 설명하고, 당업자가 본 발명의 특정 응용 혹은 용도에 의해 요구되는 다양한 변형과 더불어 그러한 혹은 다른 실시예 내에서 본 발명을 사용할 수 있는 것으로 해석되어야 한다. 따라서, 상기한 설명은 여기에 기재된 형태로만 본 발명을 제한하는 것으로 해석되어서는 안된다. 또한, 첨부된 청구항은 다른 실시예도 포함하는 것으로 해석되어야 한다.The foregoing description of the invention illustrates and describes the invention. In addition, while the disclosure discloses and describes only preferred embodiments of the invention, as mentioned above, the invention may be used in a variety of other combinations, modifications, environments, and as described above and / or in the art or It will be appreciated that variations or modifications may be made within the scope of the inventive concept as indicated herein corresponding to the knowledge. The above described embodiments illustrate the best mode for implementing the present invention, and those skilled in the art can use the present invention within such or other embodiments as well as various modifications required by the specific application or use of the present invention. Should be interpreted. Accordingly, the above description should not be construed as limiting the invention to the forms described herein only. In addition, the appended claims should be construed as including other embodiments.

본 발명은 추가적인 마스킹 공정이 필요없는 단일 콘택트 마스크를 사용하여 게이트와 단락되지 않는 게이트와 관련된 확산부에 대한 무경계 콘택트를 구현할 수 있는 이점이 있다.The present invention has the advantage of implementing borderless contacts to diffusions associated with gates that are not shorted with the gates using a single contact mask that does not require an additional masking process.

Claims (35)

① 반도체 기판과,① semiconductor substrate, ② 상기 반도체 기판 상의 도전성 영역과,(2) a conductive region on the semiconductor substrate, ③ 상기 도전성 영역에 인접한 무경계 콘택트(borderless contact)를 포함하되,(3) include borderless contacts adjacent to the conductive region, 상기 도전성 영역은 상기 무경계 콘택트를 제공하기 위해 적어도 두 개의 다른 재료층을 갖는 단속적(intermittent) 자기 정렬된 절연 캡(cap)과 상기 도전성 영역들을 접속시키기 위한 캡이 없는 구역을 포함하는 반도체 구조물.Wherein the conductive region comprises an intermittent self-aligned insulating cap having at least two different layers of material to provide the borderless contact and a capless region for connecting the conductive regions. 제 1 항에 있어서,The method of claim 1, 상기 자기 정렬된 절연 캡이 실리콘 이산화물 상부에 위치하는 실리콘 질화물인 반도체 구조물.And the self-aligned insulating cap is silicon nitride located over silicon dioxide. 제 1 항에 있어서,The method of claim 1, 상기 도전성 영역이 다결정 실리콘인 반도체 구조물.And the conductive region is polycrystalline silicon. 제 1 항에 있어서,The method of claim 1, 상기 반도체 기판이 실리콘인 반도체 구조물.And the semiconductor substrate is silicon. 제 1 항에 있어서,The method of claim 1, 상기 도전성 영역이 도전성 게이트인 반도체 구조물.And the conductive region is a conductive gate. 제 1 항에 있어서,The method of claim 1, 상기 반도체 구조물이 SRAM 셀 내의 도전성 게이트인 반도체 구조물.And the semiconductor structure is a conductive gate in an SRAM cell. 제 1 항에 있어서,The method of claim 1, 상기 자기 정렬된 절연 캡이 약 1000 Å 내지 약 2000 Å의 실리콘 질화물층 하부에 약 500 Å 내지 약 1000 Å의 실리콘 이산화물층을 포함하는 반도체 구조물.And the self-aligned insulating cap includes a silicon dioxide layer of about 500 GPa to about 1000 GPa under a silicon nitride layer of about 1000 GPa to about 2000 GPa. ① 반도체 기판을 제공하는 단계와,① providing a semiconductor substrate, ② 상기 반도체 기판 상에 제 1 절연층을 제공하는 단계와,② providing a first insulating layer on the semiconductor substrate, ③ 상기 제 1 절연층 상에 도전층을 제공하는 단계와,(3) providing a conductive layer on the first insulating layer; ④ 상기 도전층 상에 제 2 절연층을 제공하는 단계와,④ providing a second insulating layer on the conductive layer, ⑤ 상기 제 2 절연층 상에 상기 제 2 절연층과는 다른 재료로 된 제 3 절연층을 제공하는 단계와,(5) providing a third insulating layer of a material different from said second insulating layer on said second insulating layer, ⑥ 기결정된 패턴에 따라 상기 제 3 절연층 및 제 2 절연층의 일부분을 선택적으로 제거하는 단계와,⑥ selectively removing a portion of the third insulating layer and the second insulating layer according to a predetermined pattern; ⑦ 상기 제 2 절연층과 상기 제 3 절연층이 제거된 구역 내의 상기 도전층 상에 손상 방지층을 제공하는 단계와,(7) providing a damage preventing layer on the conductive layer in the region where the second insulating layer and the third insulating layer are removed; ⑧ 잔류하는 제 3 절연층의 일부분을 선택적으로 제거하는 단계와,⑧ selectively removing a portion of the remaining third insulating layer, ⑨ 상기 손상 방지층을 제거하는 단계와,⑨ removing the damage prevention layer; ⑩ 상기 제 2 절연층의 제거에 의해 드러난 부분의 상기 도전층을 제거하는 단계와,(B) removing said conductive layer in portions exposed by removal of said second insulating layer, ⑪ 상기 제 3 절연층을 제거함으로써 드러난 부분의 상기 제 2 절연층을 제거하는 단계(B) removing said second insulating layer of the portion exposed by removing said third insulating layer. 를 포함하는 반도체 구조물 제조 방법.Semiconductor structure manufacturing method comprising a. 제 8 항에 있어서,The method of claim 8, 상기 제 2 절연층이 산화물인 반도체 구조물 제조 방법.And the second insulating layer is an oxide. 제 9 항에 있어서,The method of claim 9, 상기 산화물이 약 300 Å 내지 약 1500 Å의 두께를 갖는 반도체 구조물 제조 방법.And the oxide has a thickness of about 300 GPa to about 1500 GPa. 제 9 항에 있어서,The method of claim 9, 상기 산화물이 약 500 Å 내지 약 1000 Å의 두께를 갖는 반도체 구조물 제조 방법.And the oxide has a thickness of about 500 GPa to about 1000 GPa. 제 8 항에 있어서,The method of claim 8, 상기 제 3 절연층이 실리콘 질화물인 반도체 구조물 제조 방법.And the third insulating layer is silicon nitride. 제 12 항에 있어서,The method of claim 12, 상기 실리콘 질화물이 약 1000 Å 내지 약 2000 Å의 두께를 갖는 반도체 구조물 제조 방법.And the silicon nitride has a thickness of about 1000 GPa to about 2000 GPa. 제 12 항에 있어서,The method of claim 12, 상기 실리콘 질화물이 약 1500 Å 내지 약 2000 Å의 두께를 갖는 반도체 구조물 제조 방법.And the silicon nitride has a thickness of about 1500 kPa to about 2000 kPa. 제 8 항에 있어서,The method of claim 8, 상기 도전층이 다결정 실리콘인 반도체 구조물 제조 방법.And the conductive layer is polycrystalline silicon. 제 8 항에 있어서,The method of claim 8, 상기 손상 방지층이 실리콘 산화물인 반도체 구조물 제조 방법.And the damage prevention layer is silicon oxide. 제 16 항에 있어서,The method of claim 16, 상기 실리콘 산화물이 약 20 Å 내지 약 350 Å의 두께를 갖는 반도체 구조물 제조 방법.And the silicon oxide has a thickness of about 20 GPa to about 350 GPa. 제 16 항에 있어서,The method of claim 16, 상기 실리콘 산화물이 약 60 Å 내지 약 150 Å의 두께를 갖는 반도체 구조물 제조 방법.And the silicon oxide has a thickness of about 60 GPa to about 150 GPa. ① 반도체 기판 상에 게이트 구조를 형성하는 단계와,(1) forming a gate structure on the semiconductor substrate; ② 상기 게이트 구조 위에 장벽층을 제공하는 단계와,(2) providing a barrier layer over said gate structure; ③ 상기 장벽층 위에 제 1 절연층을 제공하는 단계와,(3) providing a first insulating layer over said barrier layer; ④ 연마 스톱(polishing stop)으로 작용하는 상기 게이트 구조를 이용하여 상기 제 1 절연층을 화학 기계적 연마하는 단계와,(4) chemical mechanical polishing the first insulating layer using the gate structure serving as a polishing stop; ⑤ 확산부에 대한 콘택트로부터 후에 격리될 구역에 대응하도록 상기 장벽층의 부분을 선택적으로 에칭하고 상기 장벽층의 에칭된 부분의 하부에 위치하는 게이트 부분을 선택적으로 에칭하는 단계와,Selectively etching a portion of the barrier layer and selectively etching a gate portion located below the etched portion of the barrier layer to correspond to a region to be later isolated from the contact to the diffusion; ⑥ 게이트 리세스(recess)를 충진하는 부합(conformal) 도전층을 형성하는 단계와,(6) forming a conformal conductive layer filling the gate recess; ⑦ 상기 부합 도전층을 잔류하는 장벽층 위까지 연마하는 단계와,(7) polishing the mating conductive layer over the remaining barrier layer, ⑧ 잔류하는 노출된 장벽층을 제거하는 단계와,⑧ removing the remaining exposed barrier layer, ⑨ 캐리어 캡(carrier cap)에 의해 덮혀 있지 않은 구역 내에 확산부와 게이트에 대한 콘택트를 형성하는 제 2 절연층을 형성하는 단계(9) forming a second insulating layer in the region not covered by the carrier cap to form contacts for the diffusion and the gate; 를 포함하는 반도체 구조물 제조 방법.Semiconductor structure manufacturing method comprising a. 제 19 항에 있어서,The method of claim 19, 상기 장벽층은 반도체 질화물이고, 상기 제 1 절연층은 실리콘 이산화물이고, 상기 제 2 절연층은 실리콘 이산화물이고, 상기 게이트와 상기 부합 도전층이 폴리실리콘인 반도체 구조물 제조 방법.Wherein said barrier layer is semiconductor nitride, said first insulating layer is silicon dioxide, said second insulating layer is silicon dioxide, and said gate and said mating conductive layer are polysilicon. ① 반도체 기판 상에 게이트 구조를 형성하는 단계와,(1) forming a gate structure on the semiconductor substrate; ② 상기 게이트 구조 위에 장벽층을 형성하는 단계와,(2) forming a barrier layer over the gate structure; ③ 상기 게이트 구조에 인접하는 수직 측벽 표면에 비해 수평 표면이 더 두꺼운 비부합 절연층을 상기 장벽층 위에 형성하는 단계와,(3) forming a non-bonded insulating layer on the barrier layer, wherein the unbonded insulating layer has a thicker horizontal surface than the vertical sidewall surface adjacent the gate structure; ④ 상기 비부합 절연층 위에 희생 금속층을 형성하는 단계와,④ forming a sacrificial metal layer on the unattached insulating layer; ⑤ 상기 희생층을 패터닝하도록 상기 게이트 구조 상부에 위치하는 절연층 위까지 상기 희생층을 선택적으로 연마함으로써, 상기 게이트 상에 보호캡이 제공될 구역을 개방하는 단계와,⑤ by selectively polishing the sacrificial layer over an insulating layer located above the gate structure to pattern the sacrificial layer, thereby opening an area to be provided with a protective cap on the gate; ⑥ 상기 희생층을 에칭하는 단계와,⑥ etching the sacrificial layer; ⑦ 제 2 절연층을 형성하고 연마하는 단계⑦ forming and polishing the second insulating layer 를 포함하는 반도체 구조물 제조 방법.Semiconductor structure manufacturing method comprising a. 제 21 항에 있어서,The method of claim 21, 상기 비부합 절연층이 사일랜 산화물(silane oxide)로부터 형성되는 반도체 구조물 제조 방법.And the non-compatible insulating layer is formed from silane oxide. 제 21 항에 있어서,The method of claim 21, 상기 희생층이 텅스텐인 반도체 구조물 제조 방법.And the sacrificial layer is tungsten. 제 21 항에 있어서,The method of claim 21, 상기 장벽층이 실리콘 질화물이고 상기 제 2 절연층이 실리콘 이산화물인 반도체 구조물 제조 방법.Wherein said barrier layer is silicon nitride and said second insulating layer is silicon dioxide. 제 21 항에 있어서,The method of claim 21, 상기 비부합층이 상기 측벽 표면에서 보다 상기 수평 표면에서 적어도 1.5 배 두꺼운 반도체 구조물 제조 방법.And wherein said unbonded layer is at least 1.5 times thicker on said horizontal surface than on said sidewall surface. ① 반도체 기판 상에 게이트 구조를 형성하는 단계와,(1) forming a gate structure on the semiconductor substrate; ② 상기 게이트 구조 위에 부합 장벽층을 형성하는 단계와,(2) forming a conforming barrier layer over the gate structure; ③ 상기 장벽층 위에 제 1 부합 절연층을 형성하는 단계와,(3) forming a first conformal insulating layer over said barrier layer; ④ 상기 제 1 절연층을 평탄화하는 단계와,④ planarizing the first insulating layer, ⑤ 상기 게이트 상의 후에 캡이 형성될 영역 내에서 상기 제 1 절연층을 선택적으로 에칭하는 단계와,(5) selectively etching said first insulating layer in a region where a cap will be formed later on said gate; ⑥ 상기 게이트의 선택된 부분 위에 잔류하는 노출된 장벽층 위에 제 2 장벽층을 선택적으로 증착하는 단계와,(6) selectively depositing a second barrier layer over the exposed barrier layer remaining over the selected portion of the gate; ⑦ 제 2 절연층을 형성하고 상기 절연층을 평탄화하는 단계와,(7) forming a second insulating layer and planarizing the insulating layer; ⑧ 상기 게이트에 대해 경계가 없는 확산부에 대한 콘택트를 패터닝하고 에칭하는 단계⑧ patterning and etching the contact for the diffusion without boundary to the gate 를 포함하는 반도체 구조물 제조 방법.Semiconductor structure manufacturing method comprising a. 제 26 항에 있어서,The method of claim 26, 상기 제 1 및 제 2 장벽층이 실리콘 질화물이고 상기 제 1 및 제 2 절연층이 실리콘 이산화물인 반도체 구조물 제조 방법.Wherein the first and second barrier layers are silicon nitride and the first and second insulating layers are silicon dioxide. ① 반도체 기판 상에 게이트 구조를 형성하는 단계와,(1) forming a gate structure on the semiconductor substrate; ② 상기 게이트 구조 위에 부합 장벽층을 형성하는 단계와,(2) forming a conforming barrier layer over the gate structure; ③ 상기 장벽층 위에 부착 촉진층을 형성하는 단계와,(3) forming an adhesion promoting layer on the barrier layer; ④ 상기 부착 촉진층 위에 제 1 절연층을 형성하는 단계와,④ forming a first insulating layer on the adhesion promoting layer, ⑤ 상기 게이트 구조 상부에 위치하는 상기 부착층 위까지 상기 제 1 절연층을 연마하는 단계와,(5) polishing the first insulating layer up to the adhesion layer located on the gate structure; ⑥ 화학적으로 증폭된 포토레지스트(chemically amplified photoresist)를 증착하는 단계와,⑥ depositing chemically amplified photoresist; ⑦ 상기 포토레지스트를 전자기 방사에 노출시키고 베이킹(baking)하고 현상함으로써 상기 포토레지스트에서 상기 부착 촉진층에 접촉하고 있지 않은 부분을 제거하는 단계와,⑦ removing the portion of the photoresist that is not in contact with the adhesion promoting layer by exposing, baking and developing the photoresist to electromagnetic radiation; ⑧ 상기 제 1 절연층을 에칭하는 단계와,(8) etching the first insulating layer; ⑨ 상기 제 1 절연층을 에칭함으로써 노출된 상기 부착 촉진층을 에칭하는 단계와,(9) etching the adhesion promoting layer exposed by etching the first insulating layer; ⑩ 상기 부착 촉진층을 에칭함으로써 노출된 상기 장벽층을 에칭하는 단계와,(B) etching the exposed barrier layer by etching the adhesion promoter layer; ⑪ 게이트 부분 위에 잔류하는 모든 포토레지스트와 부착층을 제거하는 단계와,(B) removing all photoresist and adhesion layers remaining on the gate portion; ⑫ 상기 구조물 위에 제 2 절연층을 증착하고 평탄화하는 단계와,(B) depositing and planarizing a second insulating layer over the structure; ⑬ 상기 게이트에 자기 정렬된 콘택트를 제공하도록 패터닝하는 단계패 patterning to provide self-aligned contacts to the gate 를 포함하는 반도체 구조물 제조 방법.Semiconductor structure manufacturing method comprising a. 제 28 항에 있어서,The method of claim 28, 상기 제 1 절연층을 연마하는 단계가 상기 게이트 위에 비교적 얇은 절연층을 제공하도록 종료되며, 상기 게이트 위에 후에 캡이 형성될 구역을 선택적으로 제공하도록 마스킹하는 단계를 포함하는 반도체 구조물 제조 방법.Polishing the first insulating layer terminates to provide a relatively thin insulating layer over the gate, and masking to selectively provide an area on the gate to be later formed with a cap. 제 29 항에 있어서,The method of claim 29, 상기 부착 촉진층이 티타늄 질화물인 반도체 구조물 제조 방법.And the adhesion promoting layer is titanium nitride. ① 반도체 기판 상에 게이트 구조를 형성하는 단계와,(1) forming a gate structure on the semiconductor substrate; ② 상기 게이트 구조 위에 부합 장벽층을 형성하는 단계와,(2) forming a conforming barrier layer over the gate structure; ③ 상기 장벽층 위에 산화 가능 재료를 형성하는 단계와,(3) forming an oxidizable material on the barrier layer; ④ 상기 산화 가능층 위에 제 2 장벽층을 형성하는 단계와,④ forming a second barrier layer over the oxidizable layer; ⑤ 상기 제 2 장벽층 위에 평탄화층을 형성하는 단계와,⑤ forming a planarization layer on the second barrier layer, ⑥ 상기 제 2 장벽층을 패터닝하여 경계가 없어질 상기 게이트 위의 부분을 제거함으로써 상기 게이트 상에 산화 가능층을 노출시키는 단계와,(6) patterning said second barrier layer to expose an oxidizable layer on said gate by removing portions over said gate that will be demarcated; ⑦ 상기 노출된 산화 가능 재료를 산화시키는 단계와,(7) oxidizing the exposed oxidizable material; ⑧ 상기 제 2 장벽층을 제거하는 단계와,⑧ removing the second barrier layer; ⑨ 상기 산화 가능 재료를 제거하는 단계와,⑨ removing the oxidizable material; ⑩ 확산을 통해 게이트에 대한 콘택트를 제공하는 단계⑩ providing contacts to the gate through diffusion 를 포함하는 반도체 구조물 제조 방법.Semiconductor structure manufacturing method comprising a. 제 31 항에 있어서,The method of claim 31, wherein 상기 산화 가능 재료가 알루미늄인 반도체 구조물 제조 방법.And wherein said oxidizable material is aluminum. 제 31 항에 있어서,The method of claim 31, wherein 상기 평탄화층이 무반사 코팅(antireflective coating)인 반도체 구조물 제조 방법.And the planarization layer is an antireflective coating. 제 31 항에 있어서,The method of claim 31, wherein 상기 장벽층들이 실리콘 질화물인 반도체 구조물 제조 방법.And the barrier layers are silicon nitride. 제 31 항에 있어서,The method of claim 31, wherein 상기 절연층이 실리콘 이산화물인 반도체 구조물 제조 방법.And the insulating layer is silicon dioxide.
KR1019990016722A 1998-05-12 1999-05-11 Borderless contact to diffusion with respect to gate conductor and methods for fabricating KR100339182B1 (en)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US9/076,525 1998-05-12
US09/076,525 US6215190B1 (en) 1998-05-12 1998-05-12 Borderless contact to diffusion with respect to gate conductor and methods for fabricating
US09/076,525 1998-05-12

Publications (2)

Publication Number Publication Date
KR19990088171A true KR19990088171A (en) 1999-12-27
KR100339182B1 KR100339182B1 (en) 2002-05-31

Family

ID=22132571

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019990016722A KR100339182B1 (en) 1998-05-12 1999-05-11 Borderless contact to diffusion with respect to gate conductor and methods for fabricating

Country Status (3)

Country Link
US (2) US6215190B1 (en)
KR (1) KR100339182B1 (en)
TW (1) TW413897B (en)

Families Citing this family (37)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FR2799048B1 (en) * 1999-09-23 2003-02-21 St Microelectronics Sa METHOD FOR MANUFACTURING A SELF-ALIGNED VERTICAL BIPOLAR TRANSISTOR
DE10030442B4 (en) * 2000-06-22 2006-01-12 Infineon Technologies Ag Connecting element in an integrated circuit
KR100400308B1 (en) * 2001-03-28 2003-10-01 주식회사 하이닉스반도체 A method for forming a borderless contact of a semiconductor device
US6559476B2 (en) * 2001-06-26 2003-05-06 United Microelectronics Corp. Method and structure for measuring bridge induced by mask layout amendment
TW538467B (en) * 2002-06-06 2003-06-21 Promos Technologies Inc Method of forming dual-implanted gate
US6734089B1 (en) * 2003-01-16 2004-05-11 Micron Technology Inc Techniques for improving wordline fabrication of a memory device
US7001837B2 (en) * 2003-01-17 2006-02-21 Advanced Micro Devices, Inc. Semiconductor with tensile strained substrate and method of making the same
US7456476B2 (en) 2003-06-27 2008-11-25 Intel Corporation Nonplanar semiconductor device with partially or fully wrapped around gate electrode and methods of fabrication
US6909151B2 (en) 2003-06-27 2005-06-21 Intel Corporation Nonplanar device with stress incorporation layer and method of fabrication
US7154118B2 (en) 2004-03-31 2006-12-26 Intel Corporation Bulk non-planar transistor having strained enhanced mobility and methods of fabrication
US7579280B2 (en) 2004-06-01 2009-08-25 Intel Corporation Method of patterning a film
US7042009B2 (en) * 2004-06-30 2006-05-09 Intel Corporation High mobility tri-gate devices and methods of fabrication
US7348284B2 (en) 2004-08-10 2008-03-25 Intel Corporation Non-planar pMOS structure with a strained channel region and an integrated strained CMOS flow
DE102005031917A1 (en) * 2004-09-24 2006-04-13 Böllhoff Verbindungstechnik GmbH Method for joining and device for actuating a joining tool
US7332439B2 (en) 2004-09-29 2008-02-19 Intel Corporation Metal gate transistors with epitaxial source and drain regions
US7422946B2 (en) 2004-09-29 2008-09-09 Intel Corporation Independently accessed double-gate and tri-gate transistors in same process flow
US7361958B2 (en) 2004-09-30 2008-04-22 Intel Corporation Nonplanar transistors with metal gate electrodes
US20060086977A1 (en) 2004-10-25 2006-04-27 Uday Shah Nonplanar device with thinned lower body portion and method of fabrication
US7518196B2 (en) 2005-02-23 2009-04-14 Intel Corporation Field effect transistor with narrow bandgap source and drain regions and method of fabrication
US20060202266A1 (en) 2005-03-14 2006-09-14 Marko Radosavljevic Field effect transistor with metal source/drain regions
US7563701B2 (en) * 2005-03-31 2009-07-21 Intel Corporation Self-aligned contacts for transistors
US7858481B2 (en) 2005-06-15 2010-12-28 Intel Corporation Method for fabricating transistor with thinned channel
US7547637B2 (en) 2005-06-21 2009-06-16 Intel Corporation Methods for patterning a semiconductor film
US7279375B2 (en) 2005-06-30 2007-10-09 Intel Corporation Block contact architectures for nanoscale channel transistors
US7402875B2 (en) 2005-08-17 2008-07-22 Intel Corporation Lateral undercut of metal gate in SOI device
US7479421B2 (en) 2005-09-28 2009-01-20 Intel Corporation Process for integrating planar and non-planar CMOS transistors on a bulk substrate and article made thereby
US20070090416A1 (en) 2005-09-28 2007-04-26 Doyle Brian S CMOS devices with a single work function gate electrode and method of fabrication
US7381610B2 (en) * 2005-11-04 2008-06-03 International Business Machines Corporation Semiconductor transistors with contact holes close to gates
US7977185B2 (en) * 2005-11-22 2011-07-12 International Business Machines Corporation Method and apparatus for post silicide spacer removal
US7485503B2 (en) 2005-11-30 2009-02-03 Intel Corporation Dielectric interface for group III-V semiconductor device
US8143646B2 (en) 2006-08-02 2012-03-27 Intel Corporation Stacking fault and twin blocking barrier for integrating III-V on Si
US8362566B2 (en) 2008-06-23 2013-01-29 Intel Corporation Stress in trigate devices using complimentary gate fill materials
US8754530B2 (en) * 2008-08-18 2014-06-17 International Business Machines Corporation Self-aligned borderless contacts for high density electronic and memory device integration
US8039908B2 (en) * 2009-11-11 2011-10-18 International Business Machines Corporation Damascene gate having protected shorting regions
US8912059B2 (en) 2012-09-20 2014-12-16 International Business Machines Corporation Middle of-line borderless contact structure and method of forming
US8877580B1 (en) * 2013-05-17 2014-11-04 Globalfoundries Inc. Reduction of oxide recesses for gate height control
US9472415B2 (en) 2014-04-30 2016-10-18 International Business Machines Corporation Directional chemical oxide etch technique

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5164325A (en) * 1987-10-08 1992-11-17 Siliconix Incorporated Method of making a vertical current flow field effect transistor
US5483104A (en) * 1990-01-12 1996-01-09 Paradigm Technology, Inc. Self-aligning contact and interconnect structure
TW214610B (en) * 1992-08-31 1993-10-11 Siemens Ag Method of making contact for semiconductor device
JP3259395B2 (en) * 1993-02-08 2002-02-25 株式会社デンソー Semiconductor integrated circuit
US5364804A (en) * 1993-11-03 1994-11-15 Taiwan Semiconductor Manufacturing Company Nitride cap sidewall oxide protection from BOE etch
US6057604A (en) * 1993-12-17 2000-05-02 Stmicroelectronics, Inc. Integrated circuit contact structure having gate electrode protection for self-aligned contacts with zero enclosure
JP3238820B2 (en) * 1994-02-18 2001-12-17 富士通株式会社 Semiconductor device
US5439838A (en) * 1994-09-14 1995-08-08 United Microelectronics Corporation Method of thinning for EEPROM tunneling oxide device
JPH0950986A (en) * 1995-05-29 1997-02-18 Sony Corp Formation of connecting hole
US5963800A (en) * 1995-06-16 1999-10-05 Interuniversitair Micro-Elektronica Centrum (Imec Vzw) CMOS integration process having vertical channel
US5792703A (en) * 1996-03-20 1998-08-11 International Business Machines Corporation Self-aligned contact wiring process for SI devices
US5928967A (en) * 1996-06-10 1999-07-27 International Business Machines Corporation Selective oxide-to-nitride etch process using C4 F8 /CO/Ar

Also Published As

Publication number Publication date
KR100339182B1 (en) 2002-05-31
US6215190B1 (en) 2001-04-10
US20010019886A1 (en) 2001-09-06
TW413897B (en) 2000-12-01
US6498096B2 (en) 2002-12-24

Similar Documents

Publication Publication Date Title
KR100339182B1 (en) Borderless contact to diffusion with respect to gate conductor and methods for fabricating
US4997790A (en) Process for forming a self-aligned contact structure
US7094672B2 (en) Method for forming self-aligned contact in semiconductor device
US6015730A (en) Integration of SAC and salicide processes by combining hard mask and poly definition
JPH08203998A (en) Method of forming multilayer wiring
US5384281A (en) Non-conformal and oxidizable etch stops for submicron features
US6278189B1 (en) High density integrated circuits using tapered and self-aligned contacts
US6287957B1 (en) Self-aligned contact process
JPH1098100A (en) Contact hole/through-hole formation method
US6165878A (en) Method of manufacturing semiconductor device
KR100546152B1 (en) Contact Forming Method of Semiconductor Device
KR100315034B1 (en) Manufacturing method of semiconductor device
US6391745B1 (en) Method for forming overlay verniers for semiconductor devices
JPH10189709A (en) Manufacture of integrated circuit device
KR100336371B1 (en) Dual damascene formation method of semiconductor device
KR100303318B1 (en) method for forming self-aligned contact in semiconductor device
JPH10163430A (en) Semiconductor device and manufacture thereof
KR100317327B1 (en) Method for Manufacturing of Semiconductor Device
JPH0955424A (en) Method of forming multilayer interconnection
KR100340860B1 (en) Method for fabricating contact plug of semiconductor device
JPH11145305A (en) Manufacture of semiconductor device
KR100721186B1 (en) Method for manufacturing semiconductor device
KR100277883B1 (en) Manufacturing Method of Semiconductor Device
KR19990026458A (en) Self Align Contact Method
KR20090000327A (en) Method of manufacturing a contact hole in semiconductor device

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20050324

Year of fee payment: 4

LAPS Lapse due to unpaid annual fee