JPH0955424A - Method of forming multilayer interconnection - Google Patents

Method of forming multilayer interconnection

Info

Publication number
JPH0955424A
JPH0955424A JP7204488A JP20448895A JPH0955424A JP H0955424 A JPH0955424 A JP H0955424A JP 7204488 A JP7204488 A JP 7204488A JP 20448895 A JP20448895 A JP 20448895A JP H0955424 A JPH0955424 A JP H0955424A
Authority
JP
Japan
Prior art keywords
film
insulating film
forming
etching
wiring
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
JP7204488A
Other languages
Japanese (ja)
Inventor
Namisato Akiba
波里 秋庭
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Sony Corp
Original Assignee
Sony Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Sony Corp filed Critical Sony Corp
Priority to JP7204488A priority Critical patent/JPH0955424A/en
Publication of JPH0955424A publication Critical patent/JPH0955424A/en
Withdrawn legal-status Critical Current

Links

Landscapes

  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Semiconductor Memories (AREA)

Abstract

PROBLEM TO BE SOLVED: To secure the dielectric strength of an insulating film separating an intermediate layer wiring from an upper layer wiring while avoiding the chipping of an offset SiOx film and the first sidewall in case of making a contact hole in the formation of self-align contact. SOLUTION: Impurity containing polysilicon film 9 is used as an etching stopper film in the case of making a contact hole 14 in an interlayer insulating film 10. At this time, the underneath selectivity in the case of anisotropical etching step of the interlayer insulating film 10 and the impurity containing polysilicon film 9 can be improved compared with the conventional example using an SixNy film as the etching stopper film. After the completion of the etching step, sidewall type sealing patterns 15sw made of SiOx film are formed like covering the processed ends 9a of the conductive impurity containing polysilicon film 9 so as to avoid the conduction to a bit wire leading out electrode to be buried in the contact hole in the latter step.

Description

【発明の詳細な説明】Detailed Description of the Invention

【0001】[0001]

【発明の属する技術分野】本発明は主としてメモリ、ゲ
ート・アレイ等の高集積化半導体デバイスに採用される
多層配線の形成方法に関し、特にいわゆる自己整合(セ
ルフアライン)コンタクト構造を高精度に形成する方法
に関する。
BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention mainly relates to a method of forming a multi-layer wiring adopted in a highly integrated semiconductor device such as a memory or a gate array, and particularly, a so-called self-aligned contact structure is formed with high accuracy. Regarding the method.

【0002】[0002]

【従来の技術】VLSI,ULSIといった近年の高集
積化半導体デバイスにおいては、チップ上で配線部分の
占める面積が増大しており、特にメモリやゲート・アレ
イにおいてこの傾向が顕著となっている。このようなデ
バイスでは、配線間隔の縮小のみでチップ面積の縮小化
を図ることにはもはや限界があり、絶縁膜を介しながら
配線を上下方向に幾層にも積み上げる多層配線の採用に
より問題の解決を図っている。
2. Description of the Related Art In recent highly integrated semiconductor devices such as VLSI and ULSI, the area occupied by the wiring portion on the chip is increasing, and this tendency is particularly noticeable in memories and gate arrays. In such devices, there is a limit to reducing the chip area only by reducing the wiring interval, and the problem is solved by using multilayer wiring in which wiring is stacked in multiple layers in the vertical direction with an insulating film interposed. I am trying to

【0003】多層配線においては、配線間に接続孔を形
成するケースが多々発生する。たとえば、下層配線,中
層配線,上層配線の3層の配線層が存在するとき、隣接
する2本の中層配線の間の配線間スペース内で層間絶縁
膜を開口して下層配線に達する接続孔を形成し、この接
続孔に導電材料を埋め込んで下層配線と上層配線との間
の導通をとるケースがこれに該当する。ここで、中層配
線と接続孔との間の距離は、下記のスケーリング・ファ
クターを見込んで設計される。 (a)中層配線と接続孔とを絶縁するために必要な距離 (b)接続孔パターンと中層配線パターンとの重ね合わ
せ余裕 (c)接続孔の直径や配線幅の加工ばらつき 上記(a)は、実質的には中層配線と接続孔内の導電材
料とを隔てるる層間絶縁膜の誘電率と膜厚の関数であ
る。上記(b)は、中層配線を被覆する層間絶縁膜に接
続孔を開口するためのフォトレジスト・マスクを形成す
る際に、中層配線の配線間スペース内に本来収まるべき
接続孔について発生し得る重ね合わせずれの許容値であ
る。位置ずれを表す。さらに上記(c)は、エッチング
条件に起因するフォトレジスト・マスクとの間の寸法変
換差に関連している。
In multi-layer wiring, there are many cases in which connection holes are formed between the wirings. For example, when there are three wiring layers, that is, a lower layer wiring, a middle layer wiring, and an upper layer wiring, a connection hole reaching the lower layer wiring by opening the interlayer insulating film in the inter-wiring space between two adjacent middle layer wirings. This corresponds to the case where the lower layer wiring and the upper layer wiring are electrically connected by forming a conductive material in the connection hole. Here, the distance between the middle layer wiring and the connection hole is designed in consideration of the following scaling factor. (A) Distance required to insulate the middle-layer wiring from the connection hole (b) Overlap margin between the connection hole pattern and the middle-layer wiring pattern (c) Variation in processing of connection hole diameter and wiring width (a) Is substantially a function of the dielectric constant and the film thickness of the interlayer insulating film that separates the intermediate wiring from the conductive material in the contact hole. The above (b) is an overlap that may occur with respect to a connection hole that should originally fit within the inter-wiring space of the middle layer wiring when forming a photoresist mask for opening the connection hole in the interlayer insulating film that covers the middle layer wiring. This is a tolerance for misalignment. Indicates a position shift. Further, (c) above is related to the dimension conversion difference with the photoresist mask due to the etching conditions.

【0004】しかし、これらのスケーリング・ファクタ
ーは、必ずしもデザイン・ルールの縮小と並行して容易
にスケール・ダウンできるものではなく、このことが配
線間スペースの縮小、ひいてはメモリ・セルやゲート・
アレイの占有面積の縮小を阻む原因となっている。特に
(b)のフォトリソグラフィにおける重ね合わせ余裕に
ついては他の項目に比べてスケール・ダウンが困難であ
り、重ね合わせ誤差が大きくなると中層配線と接続孔と
間の短絡が生じたり、あるいは下層配線がMOS−FE
Tのソース/ドレイン領域である場合に、そのLDD領
域に接続孔が達して動作特性を劣化させてしまう等の不
都合が生ずる。
However, these scaling factors are not always ones that can be easily scaled down in parallel with the reduction of design rules, and this leads to reduction of the space between wirings, and consequently memory cells and gates.
This is a cause of hindering the reduction of the area occupied by the array. In particular, the overlay margin in the photolithography in (b) is more difficult to scale down than other items, and if the overlay error becomes large, a short circuit occurs between the middle layer wiring and the connection hole, or the lower layer wiring is MOS-FE
In the case of the T source / drain region, there arises inconvenience that the connection hole reaches the LDD region and the operating characteristics are deteriorated.

【0005】そこで、上述の問題を解決する手法とし
て、配線間スペースを縮小してもここに自己整合的に接
続孔が形成される、いわゆるセルフアライン・コンタク
ト(SAC)構造が提案されている。本構造を適用した
SRAMのメモリ・セルの一部を、図16に示す。ここ
では、タングステン・ポリサイド膜(W−poly)か
らなる2本のワード線35(MOS−FETのゲート電
極)の間に形成されたコンタクト・ホール43におい
て、Al系合金膜からなるビット線引出し電極44をソ
ース/ドレイン領域38にコンタクトさせる部分に、S
AC構造が採用されている。上記ソース/ドレイン領域
38,ワード線35,ビット線引出し電極44は、それ
ぞれ前述の下層配線,中層配線,上層配線に該当する。
なお、上記W−poly膜は、下層側から順に不純物含
有ポリシリコン膜33とWSix膜34とが順次積層さ
れた膜である。
Therefore, as a method for solving the above problem, a so-called self-aligned contact (SAC) structure has been proposed in which a connection hole is formed in a self-aligning manner even if the space between wirings is reduced. FIG. 16 shows a part of an SRAM memory cell to which this structure is applied. Here, in a contact hole 43 formed between two word lines 35 (gate electrodes of MOS-FET) made of a tungsten polycide film (W-poly), a bit line lead electrode made of an Al-based alloy film. S is provided at a portion where 44 contacts the source / drain region 38.
AC structure is adopted. The source / drain region 38, the word line 35, and the bit line extraction electrode 44 correspond to the lower layer wiring, the middle layer wiring, and the upper layer wiring, respectively.
The W-poly film is a film in which the impurity-containing polysilicon film 33 and the WSix film 34 are sequentially stacked from the lower layer side.

【0006】上記ワード線35の上面にはこれと同一パ
ターンにてオフセットSiOx膜36が形成され、また
該ワード線35とオフセットSiOx膜36の側壁面に
は同じくSiOx からなるサイドウォール37が形成さ
れている。ここでは、上記オフセット酸化膜36とサイ
ドウォール37とが、ワード線35とビット線引出し電
極43との間の絶縁に寄与している。
An offset SiOx film 36 is formed on the upper surface of the word line 35 in the same pattern as that of the word line 35, and a sidewall 37 made of SiOx is also formed on the side wall surfaces of the word line 35 and the offset SiOx film 36. ing. Here, the offset oxide film 36 and the sidewall 37 contribute to the insulation between the word line 35 and the bit line extraction electrode 43.

【0007】[0007]

【発明が解決しようとする課題】ところで、上述のよう
なSAC構造では、中層配線と上層配線との間の耐圧確
保が重要であるが、従来は図15に示されるように、オ
フセットSiOx膜36の膜厚が部分的に減少し、耐圧
劣化を来たし易いという問題が生じていた。この原因
は、SAC構造の形成方法にある。従来の一般的な形成
方法を、図14および図15を参照しながら説明する。
In the SAC structure as described above, it is important to secure the breakdown voltage between the middle layer wiring and the upper layer wiring, but conventionally, as shown in FIG. 15, the offset SiOx film 36 is formed. However, there is a problem that the film thickness is partially reduced and the breakdown voltage easily deteriorates. This is due to the method of forming the SAC structure. A conventional general forming method will be described with reference to FIGS. 14 and 15.

【0008】図14は、シリコン基板31上でゲートS
iOx膜32の形成、オフセットSiOx膜36とワー
ド線35の一括パターニング、サイドウォール37の形
成を行った後、ウェハの全面に薄いエッチング停止膜3
9を成膜し、この後ウェハの全面を層間絶縁膜40で略
平坦化し、この上にレジスト・パターン41を形成した
状態を示している。ここで、上記層間絶縁膜40として
は、たとえば平坦化特性に優れるBPSG(ホウ素リン
・シリケート・ガラス)膜が用いられる。
FIG. 14 shows a gate S on a silicon substrate 31.
After the iOx film 32 is formed, the offset SiOx film 36 and the word lines 35 are collectively patterned, and the sidewalls 37 are formed, a thin etching stopper film 3 is formed on the entire surface of the wafer.
9 shows a state in which the entire surface of the wafer is substantially flattened by the interlayer insulating film 40 and the resist pattern 41 is formed thereon. Here, as the interlayer insulating film 40, for example, a BPSG (boron phosphorus silicate glass) film having excellent planarization characteristics is used.

【0009】なお、上記層間絶縁膜40で一旦、基体の
表面を略平坦化しているのは、コンタクト・ホール43
やビット線引出し電極44をエッチングする際のマスク
となるレジスト・パターンを、フォトリソグラフィによ
り正確に形成するためである。
It is to be noted that the contact hole 43 is used to temporarily flatten the surface of the substrate by the interlayer insulating film 40.
This is because a resist pattern that will serve as a mask when the bit line extraction electrode 44 is etched is accurately formed by photolithography.

【0010】また、上記レジスト・パターン41の開口
42の開口径が上記ワード線35の配線間スペースより
も大きいのは、コンタクト・ホール43の重ね合わせず
れを見込んでいるためである。
The reason why the opening diameter of the opening 42 of the resist pattern 41 is larger than the inter-wiring space of the word line 35 is that the misalignment of the contact holes 43 is expected.

【0011】このようなウェハ構造においてコンタクト
・ホール43の形成を行う場合には、局部的な膜厚変動
の大きい上記層間絶縁膜40をエッチングするために過
剰なオーバーエッチングが必要となる。上記エッチング
停止膜39は、このオーバーエッチング時にオフセット
SiOx膜36とサイドウォール37を保護するために
不可欠の膜であり、通常はSixNy系材料膜を用いて
構成されている。
When the contact hole 43 is formed in such a wafer structure, excessive over-etching is required to etch the interlayer insulating film 40 having a large local variation in film thickness. The etching stop film 39 is an indispensable film for protecting the offset SiOx film 36 and the sidewalls 37 during this over-etching, and is usually composed of a SixNy-based material film.

【0012】しかし、一般にドライエッチングにおい
て、SiOxとSixNyとの間の選択エッチングを行
うことは困難である。これは、Si−O結合とSi−N
結合の原子間結合エネルギーの値が比較的近く、基本的
には同じエッチング種でエッチングが可能だからであ
る。しかも、BPSGのようなSiOx系の材料のエッ
チングには大きなイオン入射エネルギーを要し、基本的
に下地選択性の確保が難しい。かかる理由から、層間絶
縁膜40のエッチングをエッチング停止膜39上で完全
に停止させることは極めて難しく、通常は図15に示さ
れるように、オフセットSiOx膜36およびサイドウ
ォール37が大きく侵食されてしまう。
However, in dry etching, it is generally difficult to perform selective etching between SiOx and SixNy. This is Si-O bond and Si-N
This is because the interatomic bond energies of bonds are relatively close to each other, and basically the same etching species can be used for etching. Moreover, etching of a SiOx-based material such as BPSG requires a large ion incident energy, and it is basically difficult to secure the underlayer selectivity. For this reason, it is extremely difficult to completely stop the etching of the interlayer insulating film 40 on the etching stop film 39, and normally, as shown in FIG. 15, the offset SiOx film 36 and the sidewall 37 are greatly eroded. .

【0013】この問題を解決するためには、オフセット
絶縁膜36の膜厚を増大させれば良い様に思われるが、
実際にはこれ以上の膜厚増大は許容できないレベルに達
している。すなわち、上記オフセット絶縁膜36の膜厚
は、 (d)CVDによる成膜時の膜厚ばらつき (e)エッチバックによりサイドウォール37を形成す
る際の膜減り (f)層間絶縁膜40にコンタクト・ホール43を開口
する際のオーバーエッチングによる膜減り (g)上層配線44を被着させる直前の希フッ酸処理
(自然酸化膜の除去)時の膜減り 等のスケーリング・ファクターを見込んで設計される
が、現状でもその値がワード線35の膜厚を大幅に上回
っており、これ以上の段差の増大は許容できないのが実
情である。
In order to solve this problem, it seems that the film thickness of the offset insulating film 36 should be increased.
In reality, further increase in film thickness has reached an unacceptable level. That is, the film thickness of the offset insulating film 36 is (d) the film thickness variation during the film formation by CVD (e) the film thickness decreases when the sidewalls 37 are formed by etch back (f) the contact between the interlayer insulating film 40 and Film reduction due to over-etching when opening the hole 43 (g) Design is made in consideration of scaling factors such as film reduction during dilute hydrofluoric acid treatment (removal of natural oxide film) immediately before the upper layer wiring 44 is deposited However, even under the present circumstances, the value is much larger than the film thickness of the word line 35, and it is the actual situation that further increase of the step difference is unacceptable.

【0014】そこで本発明は、かかる問題を解決し、S
AC構造において中層配線と上層配線とを隔てる絶縁膜
の絶縁耐圧を確保することが可能な多層配線の形成方法
を提供することを目的とする。
Therefore, the present invention solves such a problem by
It is an object of the present invention to provide a method for forming a multi-layered wiring that can secure the dielectric strength of an insulating film that separates a middle layer wiring and an upper layer wiring in an AC structure.

【0015】[0015]

【課題を解決するための手段】上述のように、オフセッ
ト絶縁膜の膜厚増大が不可能である以上、SAC構造に
おける中層配線と上層配線との間の絶縁膜の耐圧向上
は、エッチング停止膜自身のエッチング耐性の向上を通
じて実現することが必要である。本発明では、かかる観
点から、エッチング停止膜として従来のような絶縁膜で
はなく、導電材料膜を用いる。半導体プロセスで用いら
れる導電材料膜は、典型的にはシリコン系材料やアルミ
ニウム系材料である。これらの膜は、通常SiOx、S
ixNy等からなる絶縁膜上でパターニングされること
からも明らかなように、絶縁膜に対して選択比を確保す
ることは十分に可能である。
As described above, since it is impossible to increase the film thickness of the offset insulating film, it is necessary to improve the withstand voltage of the insulating film between the middle layer wiring and the upper layer wiring in the SAC structure by improving the etching stop film. It is necessary to realize it by improving its own etching resistance. From this viewpoint, the present invention uses a conductive material film as the etching stop film instead of the conventional insulating film. The conductive material film used in the semiconductor process is typically a silicon-based material or an aluminum-based material. These films are usually SiOx, S
As is clear from the fact that patterning is performed on the insulating film made of ixNy or the like, it is possible to sufficiently secure the selection ratio with respect to the insulating film.

【0016】ただし、この導電材料膜は最終的に配線膜
として用いられる膜ではないので、エッチング停止膜と
しての役目を果たした後は、後工程で接続孔に被着され
る導電材料との間で導通しないような対策を施す必要が
ある。本発明ではこの対策として、 (h)エッチング停止膜の加工端面を絶縁性の封止パタ
ーンで被覆する (i)エッチング停止膜の加工端面近傍を絶縁膜に改質
する をいずれかを講ずる。
However, since this conductive material film is not a film that is finally used as a wiring film, after it has served as an etching stop film, it is separated from the conductive material that will be deposited in the connection hole in a later step. It is necessary to take measures to prevent electrical continuity. In the present invention, as a countermeasure against this, either (h) the processed end surface of the etching stop film is covered with an insulating sealing pattern. (I) The vicinity of the processed end surface of the etching stop film is modified into an insulating film.

【0017】対策(h)の具体的手法としては、絶縁膜
を基体の全面に堆積させた後、これを異方的にエッチバ
ックすることができる。これにより、封止パターンはサ
イドウォール状に形成されることになる。
As a concrete method of the measure (h), an insulating film may be deposited on the entire surface of the substrate and then anisotropically etched back. As a result, the sealing pattern is formed in a sidewall shape.

【0018】一方、対策(i)の具体的手法としては、 (i−1)改質を酸素雰囲気中でのアニールにより行う (i−2)改質を酸素のイオン注入により行う のいずれかがある。ただし、対策(i)を講ずる場合に
は、対策(h)の場合とは異なり、アニールやイオン注
入の影響を下層配線に及ばなせないことが必要となる。
そこで、エッチング停止膜の下に予め保護絶縁膜を成膜
しておき、アニールやイオン注入はこの保護絶縁膜を下
層配線の表面に残した状態で行う。改質を終了した後に
この保護絶縁膜を除去すると、接続孔が完成する。
On the other hand, as a concrete method of the countermeasure (i), either (i-1) reforming is performed by annealing in an oxygen atmosphere, or (i-2) reforming is performed by oxygen ion implantation. is there. However, when the measure (i) is taken, unlike the case of the measure (h), it is necessary that the lower layer wiring cannot be affected by annealing or ion implantation.
Therefore, a protective insulating film is formed in advance under the etching stop film, and annealing or ion implantation is performed with the protective insulating film left on the surface of the lower wiring. When the protective insulating film is removed after the modification is completed, the connection hole is completed.

【0019】[0019]

【発明の実施の形態】本発明では、SACの形成におい
て、層間絶縁膜として通常の絶縁膜、エッチング停止膜
として導電材料膜を用いることにより、従来の代表的な
膜の組み合わせであるSiOx(層間絶縁膜)/Six
Ny(エッチング停止膜)積層系に比べて高い選択比を
達成し、これによりオフセット絶縁膜、第1サイドウォ
ールの膜厚減少を防止するものである。ここで、中層配
線の側壁面に形成されるサイドウォールの表記に関して
「第1」の文字を冠してあるのは、上記対策(h)の具
体的手法として(全面堆積)+(エッチバック)を適用
した場合に形成される封止パターンが同じくサイドウォ
ール状となるため、これら両者を区別するためである。
なお、対策(i)を講ずる場合には、中層配線の側壁面
のサイドウォール以外に新たなサイドウォールは発生し
ないが、説明の便宜上、こちらのサイドウォールにも
「第1」を冠して称することにする。
In the present invention, in the formation of SAC, a conventional insulating film is used as an interlayer insulating film, and a conductive material film is used as an etching stop film. Insulation film) / Six
A high selection ratio is achieved as compared with the Ny (etching stop film) laminated system, thereby preventing a decrease in film thickness of the offset insulating film and the first sidewall. Here, the word "first" is attached to the notation of the side wall formed on the side wall surface of the middle layer wiring as a specific method of the above countermeasure (h): (overall deposition) + (etch back). This is because the encapsulation pattern formed in the case of is also sidewall-like, so that they are distinguished from each other.
Note that, when the measure (i) is taken, no new side wall is generated except the side wall of the side wall of the middle layer wiring, but for convenience of explanation, this side wall is also referred to as "first". I will decide.

【0020】上記層間絶縁膜は、SiOxもしくはSi
xNyの少なくともいずれかを用いて構成することがで
きる。これらの化合物は、必ずしも化学量論的組成を有
している必要はない。たとえば、SiOxに低誘電率化
を目的として所定量のFを含有させたSiOxFyを用
いても良い。
The interlayer insulating film is made of SiOx or Si.
It can be configured using at least one of xNy. These compounds do not necessarily have to have a stoichiometric composition. For example, SiOxFy in which a predetermined amount of F is contained in SiOx for the purpose of lowering the dielectric constant may be used.

【0021】一方、この層間絶縁膜に対してエッチング
選択比をとり得る導電性のエッチング停止膜としては、
たとえば不純物含有ポリシリコン膜,WSix膜,Si
OxNy膜等のシリコン系材料膜、あるいはAl−Si
合金,Al−Cu合金,Al−Si−Cu合金といった
Al系材料を用いることができる。
On the other hand, as a conductive etching stop film which can have an etching selection ratio with respect to this interlayer insulating film,
For example, impurity-containing polysilicon film, WSix film, Si
Silicon-based material film such as OxNy film or Al-Si
An Al-based material such as an alloy, an Al-Cu alloy, or an Al-Si-Cu alloy can be used.

【0022】なお、このエッチング停止膜もいずれは接
続孔内において選択的に除去される膜であるから、その
エッチング下地となるオフセット絶縁膜や第1サイドウ
ォールは、該エッチング停止膜のエッチング条件に対し
て耐性を有する膜でなければならない。したがって、オ
フセット絶縁膜や第1サイドウォールは、上記層間絶縁
膜と同様、SiOxもしくはSixNyの少なくともい
ずれかを用いて構成すると好適である。エッチング停止
膜の下に保護絶縁膜を設ける場合も、やはり同様の理由
により、SiOx,SixNyあるいはAlOxから選
ばれる少なくとも1種類の化合物を用いて構成すると好
都合である。
Since this etching stopper film is also a film that is selectively removed in the contact hole, the offset insulating film and the first sidewall, which serve as the etching base, are subject to the etching conditions for the etching stopper film. The film must be resistant to it. Therefore, it is preferable that the offset insulating film and the first sidewalls are made of at least one of SiOx and SixNy, like the interlayer insulating film. Also when the protective insulating film is provided below the etching stop film, it is convenient to use at least one compound selected from SiOx, SixNy, or AlOx for the same reason.

【0023】上記対策(i−1)で行われる酸素雰囲気
中でのアニールは、従来公知の手法により行うことがで
き、たとえばエッチング停止膜がシリコン系の材料であ
れば通常のパイロジェニック酸化を行うことができる。
一方、対策(i−2)で行われる酸素のイオン注入は、
異方性加工された加工端面を酸化する必要から、斜め回
転イオン注入により行うと好適である。
The annealing in the oxygen atmosphere, which is carried out in the above countermeasure (i-1), can be carried out by a conventionally known method. For example, if the etching stopper film is a silicon-based material, ordinary pyrogenic oxidation is carried out. be able to.
On the other hand, the oxygen ion implantation performed as the countermeasure (i-2) is
Since it is necessary to oxidize the anisotropically machined end face, it is preferable to perform the oblique rotation ion implantation.

【0024】[0024]

【実施例】以下、本発明の好ましい実地例について説明
する。
The preferred practical examples of the present invention will be described below.

【0025】実施例1 本実施例は、SRAMのメモリ・セルにおいて、2本の
ワード線の間でビット線引出し電極を基板にコンタクト
させる多層配線の形成プロセスに本発明を適用した例で
あり、不純物含有ポリシリコン膜をエッチング停止膜と
して用い、その加工端面をサイドウォール状の絶縁性封
止パターンで被覆した。本実施例のプロセスを、図1な
いし図9を参照しながら説明する。
Embodiment 1 This embodiment is an example in which the present invention is applied to a process of forming a multi-layer wiring in which a bit line extraction electrode is brought into contact with a substrate between two word lines in an SRAM memory cell, The impurity-containing polysilicon film was used as an etching stopper film, and its processed end face was covered with a sidewall-shaped insulating sealing pattern. The process of this embodiment will be described with reference to FIGS.

【0026】まず、図1に示されるように、予めウェル
形成や素子分離を行ったSi基板1の表面を熱酸化し、
厚さ約8nmのゲート酸化膜2を形成した。この熱酸化
は、たとえばH2 /O2 混合ガスを用い、850℃でパ
イロジェニック酸化を行うことにより形成した。続い
て、膜厚約70nmの不純物含有ポリシリコン膜3と膜
厚約70nmのWSix膜4の積層体であるW−ポリサ
イド(W−poly)膜を形成し、さらにこの上に減圧
CVDにより膜厚約170nmのオフセットSiOx膜
6を堆積させた。ここで、上記WSix膜4は、WF6
/SiCl22混合ガスを用い、680℃で減圧CV
Dを行うことにより成膜した。また、上記不純物含有ポ
リシリコン膜3は、SiH4 /PH3 混合ガスを用い、
550℃で減圧CVDを行って成膜したn+ 型アモルフ
ァスSi膜を、上述のWSix膜4のCVD時の熱負荷
により結晶粒成長させることにより形成した。
First, as shown in FIG. 1, the surface of the Si substrate 1 on which the wells have been formed and the elements have been separated in advance is thermally oxidized,
A gate oxide film 2 having a thickness of about 8 nm was formed. This thermal oxidation was formed by carrying out pyrogenic oxidation at 850 ° C. using a H 2 / O 2 mixed gas, for example. Subsequently, a W-polycide (W-poly) film, which is a laminated body of the impurity-containing polysilicon film 3 with a film thickness of about 70 nm and the WSix film 4 with a film thickness of about 70 nm, is formed, and the film thickness is further reduced by CVD under this condition. An offset SiOx film 6 of about 170 nm was deposited. Here, the WSix film 4 is formed of WF 6
/ SiCl 2 H 2 mixed gas, depressurized CV at 680 ° C
A film was formed by performing D. Further, the impurity-containing polysilicon film 3 uses a SiH 4 / PH 3 mixed gas,
The n + -type amorphous Si film formed by performing the low pressure CVD at 550 ° C. was formed by growing crystal grains by the heat load during the CVD of the above-mentioned WSix film 4.

【0027】次に、上記オフセットSiOx膜6上に図
示されないレジスト・マスクを形成し、該オフセットS
iOx膜6,上記WSix膜4,上記不純物含有ポリシ
リコン膜3を異方性エッチングした。この異方性エッチ
ングは、たとえば有磁場マイクロ波プラズマ・エッチン
グ装置とCl2 /O2 混合ガスを用い、これら3種類の
膜すべてについて共通条件で一括して行うことも可能で
あるが、それぞれの膜に最適なエッチング条件を順次切
り換えながら行っても良い。このエッチングにより、図
示されるように、オフセットSiOx膜6が同一パター
ンで積層されたワード線5を形成した。このワード線5
の線幅は約0.55μm、配線間スペースは約0.7μ
mである。
Next, a resist mask (not shown) is formed on the offset SiOx film 6, and the offset S is formed.
The iOx film 6, the WSix film 4, and the impurity-containing polysilicon film 3 were anisotropically etched. This anisotropic etching can be performed collectively under common conditions for all three types of films using, for example, a magnetic field microwave plasma etching device and a Cl 2 / O 2 mixed gas, but It may be performed by sequentially switching the optimum etching conditions for the film. By this etching, as shown in the figure, the word line 5 in which the offset SiOx film 6 was laminated in the same pattern was formed. This word line 5
Has a line width of about 0.55 μm and the space between wires is about 0.7 μm
m.

【0028】次に、上記オフセットSiOx膜6をマス
クとしてSi基板1にLDD領域形成用のAs+ の低濃
度イオン注入を行った。このときのイオン注入条件は、
たとえばイオン加速エネルギー20keV,ドース量6
×1013/cm2 とした。続いて、ウェハの全面に減圧
CVD法により膜厚約150nmのSiOx膜を形成し
た後、これを異方的にエッチバックした。これにより、
上記ワード線5およびオフセットSiOx膜6の側壁面
上に、図2に示されるような第1サイドウォール7を形
成した。次に、これら第1サイドウォール7とオフセッ
トSiOx膜6とをマスクとしてAs+ の高濃度イオン
注入(イオン加速エネルギー20keV,ドース量5×
1015/cm2 )を行い、さらに1050℃,10秒間
のRTA(ラピッド・サーマル・アニール)を行って不
純物(As)を活性化させ、LDD構造を有するソース
/ドレイン領域8を形成した。
Next, low-concentration As + ion implantation for LDD region formation was performed on the Si substrate 1 using the offset SiOx film 6 as a mask. The ion implantation conditions at this time are
For example, ion acceleration energy of 20 keV and dose of 6
It was set to × 10 13 / cm 2 . Subsequently, a SiOx film having a film thickness of about 150 nm was formed on the entire surface of the wafer by a low pressure CVD method, and this was anisotropically etched back. This allows
First sidewalls 7 as shown in FIG. 2 were formed on the side wall surfaces of the word lines 5 and the offset SiOx film 6. Next, using the first side wall 7 and the offset SiOx film 6 as a mask, high-concentration As + ion implantation (ion acceleration energy of 20 keV, dose amount of 5 ×) is performed.
10 15 / cm 2 ) and further RTA (Rapid Thermal Annealing) at 1050 ° C. for 10 seconds to activate the impurities (As) to form the source / drain regions 8 having the LDD structure.

【0029】次に、図3に示されるように、ウェハの全
面に薄くコンフォーマルなエッチング停止膜として不純
物含有ポリシリコン9を約150nmの厚さに成膜した
後、ウェハの全面を略平坦化するごとく厚い層間絶縁膜
10を堆積させた。この不純物含有ポリシリコン膜9の
成膜条件は、上述したW−poly膜の中の不純物含有
ポリシリコン膜3の成膜条件と同じとした。また、上記
層間絶縁膜10は、SiH4 /B26 /PH3 混合ガ
スを用い、400℃で常圧CVDを行うことにより50
0〜1000nmの厚さに堆積させたBPSG(ホウ素
リン・シリケート・ガラス)膜を、850℃,30分間
のアニール条件でリフローさせたものである。
Next, as shown in FIG. 3, impurity-containing polysilicon 9 is formed as a thin and conformal etching stop film on the entire surface of the wafer to a thickness of about 150 nm, and then the entire surface of the wafer is substantially flattened. Then, a thick interlayer insulating film 10 was deposited. The conditions for forming the impurity-containing polysilicon film 9 were the same as the conditions for forming the impurity-containing polysilicon film 3 in the W-poly film described above. Further, the interlayer insulating film 10 is formed by performing atmospheric pressure CVD at 400 ° C. using SiH 4 / B 2 H 6 / PH 3 mixed gas.
A BPSG (boron phosphorus silicate glass) film deposited to a thickness of 0 to 1000 nm was reflowed under an annealing condition of 850 ° C. for 30 minutes.

【0030】次に、図4に示されるように、フォトリソ
グラフィを行ってコンタクト・ホール・パターンに倣っ
た開口12を有するレジスト・パターン(PR)11を
層間絶縁膜10上に形成した。上記フォトリソグラフィ
は、一例として化学増幅系ポジ型フォトレジスト材料と
KrFエキシマ・レーザ・ステッパを用いて行ったが、
予め層間絶縁膜10の表面が略平坦化されていることに
よりレジスト塗膜の膜厚を基板面内にわたってほぼ均一
かつ比較的薄くすることができたため、解像特性は極め
て良好であった。
Next, as shown in FIG. 4, photolithography was performed to form a resist pattern (PR) 11 having an opening 12 following the contact hole pattern on the interlayer insulating film 10. The photolithography was performed using a chemically amplified positive photoresist material and a KrF excimer laser stepper as an example.
Since the surface of the interlayer insulating film 10 was substantially flattened in advance, the film thickness of the resist coating film could be made substantially uniform and relatively thin over the surface of the substrate, so that the resolution characteristics were extremely good.

【0031】次に、図5に示されるように、上記開口1
2内に表出する層間絶縁膜10を異方性エッチングし、
開口13を形成した。この異方性エッチングは、たとえ
ばマグネトロンRIE装置を用い、次のような条件 C48 流量 20 SCCM CO流量 150 SCCM Ar流量 150 SCCM 圧力 10 Pa RFソース・パワー 1000 W(13.56 MHz) 磁場強度 6.5 T ウェハ温度 10 ℃ で行った。このガス系は、層間絶縁膜10に対してはC
Oガスによる膜中からのO原子引き抜きによりエッチン
グ速度を上昇させる効果を示すが、O原子が供給されな
い不純物含有ポリシリコン膜9の露出面上ではCOガス
がF* (フッ素ラジカル)を捕捉するためにエッチング
速度を低下させる効果を示す。つまり、不純物含有ポリ
シリコン膜9に対する選択比が高いので、層間絶縁膜1
0の最大膜厚相当分をエッチングしても、エッチング停
止膜9が露出するとそれ以上はエッチングが進行しな
い。
Next, as shown in FIG.
The interlayer insulating film 10 exposed in 2 is anisotropically etched,
The opening 13 was formed. This anisotropic etching is performed by using, for example, a magnetron RIE apparatus under the following conditions: C 4 F 8 flow rate 20 SCCM CO flow rate 150 SCCM Ar flow rate 150 SCCM pressure 10 Pa RF source power 1000 W (13.56 MHz) magnetic field The strength was 6.5 T, and the wafer temperature was 10 ° C. This gas system is C for the interlayer insulating film 10.
Although there is an effect of increasing the etching rate by extracting O atoms from the film by the O gas, CO gas traps F * (fluorine radical) on the exposed surface of the impurity-containing polysilicon film 9 to which O atoms are not supplied. Shows the effect of lowering the etching rate. That is, since the selection ratio to the impurity-containing polysilicon film 9 is high, the interlayer insulating film 1
Even if the portion corresponding to the maximum film thickness of 0 is etched, if the etching stopper film 9 is exposed, the etching does not proceed any further.

【0032】さらに、図6に示されるように、開口13
の底面に露出したエッチング停止膜9をドライエッチン
グにより除去し、コンタクト・ホール14を完成した。
この異方性エッチングは、たとえばマグネトロンRIE
装置を用い、 SF6 流量 20 SCCM 圧力 20 Pa RFソース・パワー 800 W(13.56 MHz) 磁場強度 6.5 T ウェハ温度 10 ℃ なる条件で行った。エッチング停止膜にSixNy膜を
用いていた従来のプロセスでは、この時点でオフセット
SiOx膜6や第1サイドウォール7がしばしば侵食さ
れていた(図15参照。)。しかし、本実施例ではエッ
チング停止膜に不純物含有ポリシリコン膜9を用いたの
で、SiOx系材料膜に対して高い選択比が維持され、
かかる侵食はほとんど生じなかった。しかも、この不純
物含有ポリシリコン膜9の厚さは薄いため、オーバーエ
ッチング量も少なくて済み、下地のソース・ドレイン領
域8の侵食を全く問題の無いレベルに抑えることができ
た。なお、この時点では、導電性の不純物含有ポリシリ
コン膜9の加工端面9aが、まだコンタクト・ホール1
4内に露出した状態となっている。
Further, as shown in FIG.
The etching stop film 9 exposed on the bottom surface of the film was removed by dry etching to complete the contact hole 14.
This anisotropic etching is performed by, for example, magnetron RIE.
The apparatus was used under the conditions of SF 6 flow rate 20 SCCM pressure 20 Pa RF source power 800 W (13.56 MHz) magnetic field strength 6.5 T wafer temperature 10 ° C. In the conventional process using the SixNy film as the etching stopper film, the offset SiOx film 6 and the first sidewall 7 were often eroded at this point (see FIG. 15). However, in this embodiment, since the impurity-containing polysilicon film 9 is used as the etching stopper film, a high selection ratio is maintained for the SiOx-based material film,
Little such erosion occurred. Moreover, since the impurity-containing polysilicon film 9 is thin, the amount of overetching is small, and the erosion of the underlying source / drain regions 8 can be suppressed to a level without any problem. At this point, the processed end surface 9a of the conductive impurity-containing polysilicon film 9 is still in contact hole 1
4 is exposed.

【0033】そこで以下、この加工端面9aを被覆する
ための絶縁性封止パターンを形成する工程に入る。ま
ず、通常のO2 プラズマ・アッシングを行ってレジスト
・パターン11を除去した。続いて、図7に示されるよ
うに、TEOS(テトラエトキシシシラン)を原料ガス
とするプラズマCVDを行い、ウェハの全面に厚さ約5
0nmのSiOx膜15を堆積させた。さらに、上記S
iOx膜15を上述の層間絶縁膜10のエッチングと同
じ条件で異方的にエッチバックし、図8に示されるよう
なサイドウォール状の封止パターン15swを形成し
た。これで、エッチング停止膜と上層配線との導通が防
止される。
Therefore, the process for forming an insulating sealing pattern for covering the processed end surface 9a will be described below. First, ordinary O 2 plasma ashing was performed to remove the resist pattern 11. Subsequently, as shown in FIG. 7, plasma CVD using TEOS (tetraethoxysilane) as a source gas is performed to form a wafer with a thickness of about 5
A 0 nm SiOx film 15 was deposited. Further, the above S
The iOx film 15 was anisotropically etched back under the same conditions as the above-described etching of the interlayer insulating film 10 to form a sidewall-shaped sealing pattern 15sw as shown in FIG. This prevents conduction between the etching stop film and the upper wiring.

【0034】この後は、常法にしたがってビット線引出
し電極16の形成を行った。このビット線引出し電極1
6は、たとえばTi膜とTiN膜とをスパッタリング法
で順次積層したTi系バリヤメタルの上に、さらにAl
−1%Si膜14をスパッタリング法で積層した膜をパ
ターニングしたものである。
After that, the bit line extraction electrode 16 was formed by a conventional method. This bit line extraction electrode 1
6 is, for example, a Ti-based barrier metal in which a Ti film and a TiN film are sequentially stacked by a sputtering method, and further Al
This is a film obtained by patterning a film in which -1% Si film 14 is laminated by a sputtering method.

【0035】本発明では、オフセットSiOx膜6と第
1サイドウォール7が共に十分な厚さに維持されるの
で、ビット線引出し電極16とワード線5との間の絶縁
は良好である。なお、上記封止パターン15swには、
コンタクト・ホール14の断面形状をなだらかにする効
果もあり、これによりビット線引出し電極16のカバレ
ージが良好となり、SRAMの信頼性が向上した。
In the present invention, both the offset SiOx film 6 and the first sidewall 7 are maintained to have a sufficient thickness, so that the insulation between the bit line extraction electrode 16 and the word line 5 is good. The sealing pattern 15sw includes
This also has the effect of making the cross-sectional shape of the contact hole 14 gentle, which improves the coverage of the bit line extraction electrode 16 and improves the reliability of the SRAM.

【0036】実施例2 本実施例では、SRAMのメモリ・セルの形成プロセス
において、エッチング停止膜としてWSix膜を採用し
た。本プロセスは、不純物含有ポリシリコン膜9の代わ
りに厚さ約150nmのWSix膜17を用いた以外は
ほぼ実施例1で上述したプロセスと共通するので、以
下、要点のみ述べる。
Embodiment 2 In this embodiment, a WSix film is used as an etching stop film in the process of forming a memory cell of SRAM. Since this process is almost the same as the process described in the first embodiment except that the WSix film 17 having a thickness of about 150 nm is used instead of the impurity-containing polysilicon film 9, only the essential points will be described below.

【0037】まず、図5に示した層間絶縁膜10の異方
性エッチング工程において、WSix膜17に対する選
択性は良好である。
First, in the anisotropic etching process of the interlayer insulating film 10 shown in FIG. 5, the selectivity to the WSix film 17 is good.

【0038】続くWSix膜17のエッチングには、有
磁場マイクロ波プラズマ・エッチング装置を用い、一例
として Cl2 流量 50 SCCM O2 流量 10 SCCM 圧力 0.01 Pa マイクロ波パワー 1000 W(2.45 GHz) ソレノイド・コイル電流 21 A(上段) 5 A(下段) RFバイアス・パワー 20 W(2 MHz) ウェハ温度 −10 ℃ なる条件で行った。このエッチングにより、図6に示さ
れるようにコンタクト・ホール14が完成されるが、こ
のときのオフセットSiOx膜6や第1サイドウォール
7に対する選択性は良好であった。
In the subsequent etching of the WSix film 17, a magnetic field microwave plasma etching apparatus is used. As an example, Cl 2 flow rate 50 SCCM O 2 flow rate 10 SCCM pressure 0.01 Pa microwave power 1000 W (2.45 GHz) ) Solenoid coil current 21 A (upper stage) 5 A (lower stage) RF bias power 20 W (2 MHz) Wafer temperature -10 ° C. By this etching, the contact hole 14 is completed as shown in FIG. 6, but the selectivity with respect to the offset SiOx film 6 and the first sidewall 7 at this time was good.

【0039】上記のエッチングにより生じたWSix膜
17の加工端面17aは、実施例1と同様にサイドウォ
ール状の封止パターン15swで被覆し、最終的に図9
に示されるようなビット線引出し電極16を形成し、メ
モリ・セルを完成した。
The processed end surface 17a of the WSix film 17 formed by the above etching is covered with the sidewall-shaped sealing pattern 15sw as in the first embodiment, and finally, as shown in FIG.
The bit line lead-out electrode 16 as shown in (3) is formed to complete the memory cell.

【0040】実施例3 本実施例では、エッチング停止膜として不純物含有ポリ
シリコン膜9を用い、その加工端面9aの近傍を酸化ア
ニールによりSiOx系の絶縁膜に改質した。本実施例
のプロセスを図10ないし図13を参照しながら説明す
る。なお、これらの図面の符号は、前出の図1ないし図
9と一部共通である。
Example 3 In this example, the impurity-containing polysilicon film 9 was used as an etching stopper film, and the vicinity of the processed end face 9a was modified by oxidation annealing into a SiOx type insulating film. The process of this embodiment will be described with reference to FIGS. The reference numerals in these drawings are partially common to those in FIGS. 1 to 9 described above.

【0041】本実施例では、上記改質のための酸化処理
からソース・ドレイン領域8を保護するため、エッチン
グ停止膜である不純物含有ポリシリコン膜9の下層側
に、保護絶縁膜として厚さ約50nmのSiOx膜18
を成膜した。図10は、かかるウェハ上において、層間
絶縁膜10と不純物含有ポリシリコン膜9の異方性エッ
チングが順次終了し、レジスト・パターン11をアッシ
ングにより除去した状態を示している。この時点では、
不純物含有ポリシリコン膜9の加工端面9aが、開口1
9の内部に露出した状態となっている。
In this embodiment, in order to protect the source / drain regions 8 from the oxidation treatment for modification, a protective insulating film having a thickness of about 5 nm is formed below the impurity-containing polysilicon film 9 which is an etching stop film. 50 nm SiOx film 18
Was formed. FIG. 10 shows a state where anisotropic etching of the interlayer insulating film 10 and the impurity-containing polysilicon film 9 is sequentially completed on the wafer and the resist pattern 11 is removed by ashing. At this point,
The processed end surface 9a of the impurity-containing polysilicon film 9 has the opening 1
9 is exposed inside.

【0042】次に、このウェハをO2 /H2 雰囲気中で
アニールし、上記加工端面9aの近傍を酸化した。アニ
ール条件は一例として O2 流量 51 SCCM H2 流量 51 SCCM 温度 950 ℃ 時間 10 分 とした。このアニールにより、上記加工端面9aの近傍
は、図11に示されるように、SiOxからなる改質層
20に変化した。
Next, this wafer was annealed in an O 2 / H 2 atmosphere to oxidize the vicinity of the processed end surface 9a. As an example, the annealing conditions were O 2 flow rate 51 SCCM H 2 flow rate 51 SCCM temperature 950 ° C. time 10 minutes. By this annealing, the vicinity of the processed end surface 9a was changed to the modified layer 20 made of SiOx, as shown in FIG.

【0043】続いて、保護絶縁膜である薄いSiOx膜
18をフルオロカーボン系ガスを用いたドライエッチン
グにより選択的に除去し、図12に示されるようにコン
タクト・ホール21を完成した。さらに、ビット線引出
し電極22を形成し、図13に示されるようなメモリ・
セルを完成した。このメモリ・セルでは、実施例1およ
び実施例2で述べたようなサイドウォール状の封止パタ
ーン15swが存在しないものの、エッチング停止膜で
ある不純物含有ポリシリコン膜9と上層配線との間の絶
縁は、改質層20により確保された。
Subsequently, the thin SiOx film 18, which is a protective insulating film, is selectively removed by dry etching using a fluorocarbon-based gas to complete a contact hole 21 as shown in FIG. Further, a bit line lead-out electrode 22 is formed so that a memory cell as shown in FIG.
Completed the cell. In this memory cell, although the side wall-shaped sealing pattern 15sw as described in the first and second embodiments does not exist, the insulation between the impurity-containing polysilicon film 9 as the etching stop film and the upper wiring is not provided. Was secured by the modified layer 20.

【0044】実施例4 本実施例では、改質の他の手法として、O+ (酸素イオ
ン)の斜め回転イオン注入を適用した。
Example 4 In this example, oblique rotation ion implantation of O + (oxygen ion) was applied as another modification method.

【0045】ここでは、図11の段階で不純物含有ポリ
シリコン膜9の加工端面9aに対し、イオン注入をたと
えば、 イオン加速エネルギー 30keV ドース量 5×1015/cm2 注入角度 7゜ なる条件で行った。本実施例によっても同様に、不純物
含有ポリシリコン膜9の加工端面9aをSiOxからな
る改質層20に変化させることができた。
Here, at the stage of FIG. 11, ion implantation is performed on the processed end surface 9a of the impurity-containing polysilicon film 9 under the conditions of, for example, ion acceleration energy of 30 keV, dose amount of 5 × 10 15 / cm 2 and implantation angle of 7 °. It was Also in this example, similarly, the processed end surface 9a of the impurity-containing polysilicon film 9 could be changed to the modified layer 20 made of SiOx.

【0046】以上、4例の実施例を挙げたが、本発明は
これらの実施例に何ら限定されるものではない。たとえ
ば、エッチング停止膜としては不純物含有ポリシリコン
膜とWSix膜について説明したが、AlOx系材料も
同様に用いることができる。この他、サンプル・ウェハ
の構成、各膜の寸法、成膜方法、エッチング条件、アニ
ール条件、イオン注入条件等の細部は適宜変更・選択が
可能である。
Although the four examples have been described above, the present invention is not limited to these examples. For example, although the impurity-containing polysilicon film and the WSix film have been described as the etching stop film, an AlOx-based material can be used as well. In addition, details such as the structure of the sample wafer, the size of each film, the film forming method, the etching conditions, the annealing conditions, and the ion implantation conditions can be appropriately changed and selected.

【0047】[0047]

【発明の効果】以上の説明からも明らかなように、本発
明を適用すれば、SAC構造における中層配線と上層配
線との間の絶縁耐圧を確保することが可能となる。この
ため、接続孔の直径や配線スペース幅に依存せずに接続
孔を開口できるSACプロセスのメリットを活かして、
自由度の高い集積回路設計を行うことが可能となる。
As is apparent from the above description, by applying the present invention, it becomes possible to secure the dielectric strength voltage between the middle layer wiring and the upper layer wiring in the SAC structure. Therefore, taking advantage of the SAC process that can open the connection hole without depending on the diameter of the connection hole or the width of the wiring space,
It is possible to design an integrated circuit with a high degree of freedom.

【0048】本発明は、多層配線形成の精度向上を通
じ、メモリ・セルやゲート・アレイの占有面積の縮小を
推進し、これらの半導体デバイスの一層の高集積化、高
信頼化に大きく貢献するものである。
The present invention promotes the reduction of the occupied area of memory cells and gate arrays by improving the accuracy of multilayer wiring formation, and greatly contributes to higher integration and higher reliability of these semiconductor devices. Is.

【図面の簡単な説明】[Brief description of drawings]

【図1】本発明を2本のワード線の間でビット線引出し
電極の基板コンタクトをとるSRAMのメモリ・セルの
多層配線の形成に適用したプロセス例において、Si基
板上にゲート酸化膜を介してワード線とオフセット酸化
膜とを同一パターンで形成した状態を示す模式的断面図
である。
FIG. 1 is a process example in which the present invention is applied to the formation of a multilayer wiring of a memory cell of an SRAM in which a substrate contact of a bit line extraction electrode is provided between two word lines, and a gate oxide film is provided on a Si substrate. FIG. 6 is a schematic cross-sectional view showing a state in which a word line and an offset oxide film are formed in the same pattern.

【図2】図1のワード線とオフセット酸化膜の側壁面に
第1サイドウォールを形成した状態を示す模式的断面図
である。
FIG. 2 is a schematic cross-sectional view showing a state in which first sidewalls are formed on the sidewalls of the word line and the offset oxide film of FIG.

【図3】図2のウェハの全面にコンフォーマルなエッチ
ング停止膜を堆積させ、さらにウェハの表面を厚い層間
絶縁膜で略平坦化した状態を示す模式的断面図である。
3 is a schematic cross-sectional view showing a state in which a conformal etching stop film is deposited on the entire surface of the wafer of FIG. 2 and the surface of the wafer is substantially flattened with a thick interlayer insulating film.

【図4】図3の層間絶縁膜上にレジスト・パターンを形
成した状態を示す模式的断面図である。
4 is a schematic cross-sectional view showing a state in which a resist pattern is formed on the interlayer insulating film of FIG.

【図5】図4の層間絶縁膜を異方性エッチングした状態
を示す模式的断面図である。
5 is a schematic cross-sectional view showing a state in which the interlayer insulating film of FIG. 4 is anisotropically etched.

【図6】図5の開口の底面に露出するエッチング停止膜
を異方性エッチングにより除去し、コンタクト・ホール
を開口した状態を示す模式的断面図である。
FIG. 6 is a schematic cross-sectional view showing a state in which an etching stopper film exposed on the bottom surface of the opening of FIG. 5 is removed by anisotropic etching to open a contact hole.

【図7】図6のウェハの全面にコンフォーマルなSiO
x膜を堆積させた状態を示す模式的断面図である。
7 is a conformal SiO 2 over the entire surface of the wafer of FIG.
It is a typical sectional view showing the state where the x film was deposited.

【図8】図7のSiOx膜をエッチバックして封止パタ
ーンを形成した状態を示す模式的断面図である。
8 is a schematic cross-sectional view showing a state in which the SiOx film of FIG. 7 is etched back to form a sealing pattern.

【図9】コンタクト・ホールを被覆するビット線引出し
電極を形成した状態を示す模式的断面図である。
FIG. 9 is a schematic cross-sectional view showing a state in which a bit line extraction electrode covering a contact hole is formed.

【図10】本発明を2本のワード線の間でビット線引出
し電極の基板コンタクトをとるSRAMのメモリ・セル
の多層配線の形成に適用した他のプロセス例において、
層間絶縁膜とエッチング停止膜を異方性エッチングし、
保護絶縁膜を露出させた状態を示す模式的断面図であ
る。
FIG. 10 shows another process example in which the present invention is applied to the formation of a multilayer interconnection of a memory cell of an SRAM in which a substrate contact of a bit line extraction electrode is provided between two word lines,
Anisotropically etch the interlayer insulating film and the etching stop film,
It is a typical sectional view showing the state where a protective insulating film was exposed.

【図11】図10のエッチング停止膜の加工端面の近傍
を酸化処理により改質層に変化させた状態を示す模式的
断面図である。
11 is a schematic cross-sectional view showing a state in which the vicinity of the processed end surface of the etching stopper film of FIG. 10 is changed into a modified layer by an oxidation treatment.

【図12】図11の開口の底面に露出する保護絶縁膜を
異方性エッチングにより除去し、コンタクト・ホールを
開口した状態を示す模式的断面図である。
FIG. 12 is a schematic cross-sectional view showing a state in which a protective insulating film exposed on the bottom surface of the opening of FIG. 11 is removed by anisotropic etching and a contact hole is opened.

【図13】コンタクト・ホールを被覆するごとく上層配
線を形成した状態を示す模式的断面図である。
FIG. 13 is a schematic cross-sectional view showing a state in which an upper layer wiring is formed so as to cover a contact hole.

【図14】エッチング停止膜としてSixNy膜を形成
した従来のSRAMのメモリ・セルの多層配線の形成プ
ロセスにおいて、レジスト・パターニングまでが終了し
た状態を示す模式的断面図である。
FIG. 14 is a schematic cross-sectional view showing a state in which the resist patterning is completed in the process of forming the multilayer wiring of the memory cell of the conventional SRAM in which the SixNy film is formed as the etching stop film.

【図15】図14の層間絶縁膜をエッチングしてコンタ
クト・ホールを開口した結果、オフセットSiOx膜と
サイドウォールが侵食された状態を示す模式的断面図で
ある。
FIG. 15 is a schematic cross-sectional view showing a state in which the offset SiOx film and the sidewall are eroded as a result of etching the interlayer insulating film of FIG. 14 to open a contact hole.

【図16】図15のコンタクト・ホールを被覆するごと
くビット線引出し電極を形成した従来のセルフアライン
・コンタクトを示す模式的断面図である。
16 is a schematic cross-sectional view showing a conventional self-aligned contact in which a bit line extraction electrode is formed so as to cover the contact hole of FIG.

【符号の説明】[Explanation of symbols]

1 Si基板 5 ワード線 6 オフセットSiOx膜 7 第1サイドウォール(SiOx) 9 不純物含有ポリシリコン膜(エッチング停止膜) 9a (不純物含有ポリシリコン膜の)加工端面 10 層間絶縁膜(BPSG) 14,21 コンタクト・ホール 15 SiOx膜 15a 封止パターン 16,22 ビット線引出し電極 17 WSix膜(エッチング停止膜) 17a (WSix膜の)加工端面 18 SiOx膜(保護絶縁膜) 20 改質層(SiOx) 1 Si Substrate 5 Word Line 6 Offset SiOx Film 7 First Sidewall (SiOx) 9 Polysilicon Film Containing Impurities (Etching Stop Film) 9a Processed End Face (of Polysilicon Film Containing Impurities) 10 Interlayer Insulating Film (BPSG) 14, 21 Contact hole 15 SiOx film 15a Encapsulation pattern 16,22 Bit line extraction electrode 17 WSix film (etching stop film) 17a (processed end surface of WSix film) 18 SiOx film (protective insulating film) 20 Modified layer (SiOx)

Claims (11)

【特許請求の範囲】[Claims] 【請求項1】 隣接する2本の中層配線の配線間スペー
スにおいて下層配線と上層配線との間の導通をとる多層
配線の形成方法であって、 前記中層配線上にこれと共通パターンにてオフセット絶
縁膜を形成する第1工程と、 前記中層配線と前記オフセット絶縁膜からなるパターン
の側壁面に絶縁性の第1サイドウォールを形成する第2
工程と、 基体の全面を被覆して導電材料よりなるエッチング停止
膜をコンフォーマルに成膜する第3工程と、 前記エッチング停止膜上に層間絶縁膜を略平坦に成膜す
る第4工程と、 前記配線間スペースを包含する領域内で前記層間絶縁膜
を選択的に異方性エッチングする第5工程と、 前記領域内に露出したエッチング停止膜を選択的に除去
して接続孔を形成する第6工程と、 少なくとも前記エッチング停止膜の加工端面を絶縁性の
封止パターンで被覆する第7工程と、 前記接続孔を導電材料で被覆する第8工程とを有する多
層配線の形成方法。
1. A method of forming a multi-layered wiring for establishing continuity between a lower layer wiring and an upper layer wiring in an inter-wiring space between two adjacent middle layer wirings. A first step of forming an insulating film; and a second step of forming an insulating first sidewall on the side wall surface of the pattern formed of the intermediate wiring and the offset insulating film.
A third step of conformally forming an etching stopper film made of a conductive material to cover the entire surface of the substrate, and a fourth step of forming an interlayer insulating film on the etching stopper film substantially flatly, A fifth step of selectively anisotropically etching the interlayer insulating film in a region including the inter-wiring space; and a fifth step of selectively removing the etching stopper film exposed in the region to form a connection hole. A method for forming a multi-layer wiring comprising 6 steps, a 7th step of covering at least the processed end surface of the etching stop film with an insulating sealing pattern, and an 8th step of covering the connection hole with a conductive material.
【請求項2】 前記層間絶縁膜を酸化シリコン系化合物
もしくは窒化シリコン系化合物の少なくともいずれかを
用いて構成し、前記エッチング停止膜をシリコン系導電
材料もしくはアルミニウム系導電材料の少なくともいず
れかを用いて構成する請求項1記載の多層配線の形成方
法。
2. The interlayer insulating film is made of at least one of a silicon oxide compound and a silicon nitride compound, and the etching stop film is made of at least one of a silicon conductive material and an aluminum conductive material. The method for forming a multilayer wiring according to claim 1, which is configured.
【請求項3】 前記オフセット絶縁膜および第1サイド
ウォールを酸化シリコン系化合物もしくは窒化シリコン
系化合物の少なくともいずれかを用いて各々構成する請
求項2記載の多層配線の形成方法。
3. The method for forming a multilayer wiring according to claim 2, wherein the offset insulating film and the first sidewall are each formed of at least one of a silicon oxide compound and a silicon nitride compound.
【請求項4】 前記封止パターンは、前記第6工程を終
了後に基体の全面を被覆するごとく絶縁膜を成膜し、該
絶縁膜をエッチバックすることによりサイドウォール状
に形成する請求項1記載の多層配線の形成方法。
4. The sealing pattern is formed in a sidewall shape by forming an insulating film so as to cover the entire surface of the base after the sixth step and etching back the insulating film. A method for forming a multilayer wiring as described above.
【請求項5】 前記封止パターンは、酸化シリコン系化
合物もしくは窒化シリコン系化合物の少なくともいずれ
かを用いて構成する請求項4記載の多層配線の形成方
法。
5. The method for forming a multilayer wiring according to claim 4, wherein the sealing pattern is formed by using at least one of a silicon oxide compound and a silicon nitride compound.
【請求項6】 隣接する2本の中層配線の配線間スペー
スにおいて下層配線と上層配線との間の導通をとる多層
配線の形成方法であって、 前記中層配線上にこれと共通パターンにてオフセット絶
縁膜を形成する第1工程と、 前記中層配線と前記オフセット絶縁膜からなるパターン
の側壁面に絶縁性の第1サイドウォールを形成する第2
工程と、 基体の全面を被覆して保護絶縁膜を成膜する第3工程
と、 前記キャップ絶縁膜上に導電材料よりなるエッチング停
止膜をコンフォーマルに成膜する第4工程と、 前記エッチング停止膜上に層間絶縁膜を略平坦に成膜す
る第5工程と、 前記配線間スペースを包含する領域内で前記層間絶縁膜
を選択的に異方性エッチングする第6工程と、 前記領域内に露出したエッチング停止膜を選択的に除去
する第7工程と、 前記エッチング停止膜の加工端面近傍を絶縁膜に改質す
る第8工程と、 前記領域内に露出したキャップ絶縁膜を選択的に除去す
る第9工程と、 前記接続孔を導電材料で被覆する第10工程とを有する
多層配線の形成方法。
6. A method for forming a multi-layered wiring for establishing continuity between a lower layer wiring and an upper layer wiring in an inter-wiring space between two adjacent middle layer wirings. A first step of forming an insulating film; and a second step of forming an insulating first sidewall on the side wall surface of the pattern formed of the intermediate wiring and the offset insulating film.
Steps, a third step of forming a protective insulating film on the entire surface of the substrate, a fourth step of conformally forming an etching stop film made of a conductive material on the cap insulating film, and a step of stopping the etching. A fifth step of forming an interlayer insulating film on the film substantially flatly, a sixth step of selectively anisotropically etching the interlayer insulating film in a region including the inter-wiring space, and A seventh step of selectively removing the exposed etching stopper film, an eighth step of modifying the vicinity of the processed end surface of the etching stopper film into an insulating film, and a selective removal of the cap insulating film exposed in the region. And a tenth step of coating the connection hole with a conductive material.
【請求項7】 前記層間絶縁膜を酸化シリコン系化合物
もしくは窒化シリコン系化合物の少なくともいずれかを
用いて構成し、前記エッチング停止膜をシリコン系導電
材料もしくはアルミニウム系導電材料の少なくともいず
れかを用いて構成する請求項6記載の多層配線の形成方
法。
7. The interlayer insulating film is made of at least one of a silicon oxide-based compound and a silicon nitride-based compound, and the etching stop film is made of at least one of a silicon-based conductive material and an aluminum-based conductive material. The method for forming a multilayer wiring according to claim 6, which is configured.
【請求項8】 前記保護絶縁膜を酸化シリコン系化合
物,窒化シリコン系化合物,酸化アルミニウムから選ば
れる少なくとも1種類の化合物を用いて構成する請求項
7記載の多層配線の形成方法。
8. The method for forming a multilayer wiring according to claim 7, wherein the protective insulating film is made of at least one compound selected from silicon oxide compounds, silicon nitride compounds, and aluminum oxide.
【請求項9】 前記改質を酸化雰囲気中でのアニールに
より行う請求項7記載の多層配線の形成方法。
9. The method for forming a multilayer wiring according to claim 7, wherein the modification is performed by annealing in an oxidizing atmosphere.
【請求項10】 前記改質を前記加工端面への酸素のイ
オン注入により行う請求項7記載の多層配線の形成方
法。
10. The method for forming a multilayer wiring according to claim 7, wherein the modification is performed by implanting oxygen ions into the processed end surface.
【請求項11】 前記オフセット絶縁膜および第1サイ
ドウォールを酸化シリコン系化合物もしくは窒化シリコ
ン系化合物の少なくともいずれかを用いて各々構成する
請求項7記載の多層配線の形成方法。
11. The method for forming a multilayer wiring according to claim 7, wherein the offset insulating film and the first sidewall are each formed of at least one of a silicon oxide compound and a silicon nitride compound.
JP7204488A 1995-08-10 1995-08-10 Method of forming multilayer interconnection Withdrawn JPH0955424A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP7204488A JPH0955424A (en) 1995-08-10 1995-08-10 Method of forming multilayer interconnection

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP7204488A JPH0955424A (en) 1995-08-10 1995-08-10 Method of forming multilayer interconnection

Publications (1)

Publication Number Publication Date
JPH0955424A true JPH0955424A (en) 1997-02-25

Family

ID=16491363

Family Applications (1)

Application Number Title Priority Date Filing Date
JP7204488A Withdrawn JPH0955424A (en) 1995-08-10 1995-08-10 Method of forming multilayer interconnection

Country Status (1)

Country Link
JP (1) JPH0955424A (en)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100367077B1 (en) * 1999-01-22 2003-01-08 닛본 덴기 가부시끼가이샤 Method for forming semiconductor device
KR100369355B1 (en) * 1999-06-28 2003-01-24 주식회사 하이닉스반도체 Method for fabricating highly integrated semiconductor device
KR100523014B1 (en) * 1998-02-23 2005-10-19 소니 가부시끼 가이샤 Method for producing semiconductor device
JP2017005013A (en) * 2015-06-05 2017-01-05 東京エレクトロン株式会社 Semiconductor device manufacturing method

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100523014B1 (en) * 1998-02-23 2005-10-19 소니 가부시끼 가이샤 Method for producing semiconductor device
KR100367077B1 (en) * 1999-01-22 2003-01-08 닛본 덴기 가부시끼가이샤 Method for forming semiconductor device
KR100369355B1 (en) * 1999-06-28 2003-01-24 주식회사 하이닉스반도체 Method for fabricating highly integrated semiconductor device
JP2017005013A (en) * 2015-06-05 2017-01-05 東京エレクトロン株式会社 Semiconductor device manufacturing method

Similar Documents

Publication Publication Date Title
US6436805B1 (en) Local interconnect structures and methods for making the same
US9349687B1 (en) Advanced manganese/manganese nitride cap/etch mask for air gap formation scheme in nanocopper low-K interconnect
US6410984B1 (en) Conductive structure in an integrated circuit
US6215190B1 (en) Borderless contact to diffusion with respect to gate conductor and methods for fabricating
JPH09153545A (en) Semiconductor device and is manufacture
US6235627B1 (en) Semiconductor device and method for manufacturing the same
US6001726A (en) Method for using a conductive tungsten nitride etch stop layer to form conductive interconnects and tungsten nitride contact structure
US6175131B1 (en) Semiconductor device having a capacitor and an interconnect layer
JPH08203998A (en) Method of forming multilayer wiring
JP2001358214A (en) Semiconductor device and its manufacturing method
US6194302B1 (en) Integrated process flow to improve the electrical isolation within self aligned contact structure
JP2720796B2 (en) Method for manufacturing semiconductor device
US5397910A (en) Semiconductor integrated circuit device with wiring microstructure formed on gates and method of manufacturing the same
US5786637A (en) Interconnection with metal plug and reduced step
JPH0955424A (en) Method of forming multilayer interconnection
JP3534589B2 (en) Multilayer wiring device and method of manufacturing the same
KR100461334B1 (en) Contact hole formation method of semiconductor device
KR100307968B1 (en) Method of forming interlevel dielectric layers of semiconductor device provided with plug-poly
JP3348264B2 (en) Semiconductor integrated circuit device and method of manufacturing the same
KR20000000869A (en) Method for manufacturing semiconductor device
WO1998037583A1 (en) Method for manufacturing semiconductor device
JPH0982800A (en) Semiconductor integrated circuit device and manufacture thereof
JPH09275140A (en) Formation of contact hole in semiconductor device
JPH0547756A (en) Manufacture of semiconductor device
KR100739956B1 (en) Method of manufacturing a transistor in a semiconductor device

Legal Events

Date Code Title Description
A300 Application deemed to be withdrawn because no request for examination was validly filed

Free format text: JAPANESE INTERMEDIATE CODE: A300

Effective date: 20021105