KR19990045011A - Semiconductor device manufacturing method - Google Patents

Semiconductor device manufacturing method Download PDF

Info

Publication number
KR19990045011A
KR19990045011A KR1019980047170A KR19980047170A KR19990045011A KR 19990045011 A KR19990045011 A KR 19990045011A KR 1019980047170 A KR1019980047170 A KR 1019980047170A KR 19980047170 A KR19980047170 A KR 19980047170A KR 19990045011 A KR19990045011 A KR 19990045011A
Authority
KR
South Korea
Prior art keywords
forming
contact hole
silicide
gate electrode
interlayer film
Prior art date
Application number
KR1019980047170A
Other languages
Korean (ko)
Inventor
겐 이노우에
마사유끼 하마다
Original Assignee
가네꼬 히사시
닛뽕덴끼 가부시끼가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 가네꼬 히사시, 닛뽕덴끼 가부시끼가이샤 filed Critical 가네꼬 히사시
Publication of KR19990045011A publication Critical patent/KR19990045011A/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823475MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/665Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

반도체 장치 제조 방법에서, 소오스, 드레인 및 게이트 전극을 포함하는 소자가 실리콘 기판 상에 형성된다. 층간막이 상기 소자 상에 형성된다. 컨택홀이 층간막의 소자에 도달하도록 선택적으로 형성되므로써, 컨택홀의 저부에 있는 소자의 일부분을 노출시킨다. 상기 소자와 상기 층간막이 형성된 실리콘 기판이 가열된다. 컨택홀을 전도성 재료로 매립하므로써 배선 부재가 상기 소자의 일부분과 접촉하여 형성된다.In a semiconductor device manufacturing method, an element including a source, a drain, and a gate electrode is formed on a silicon substrate. An interlayer film is formed on the device. The contact hole is selectively formed to reach the device of the interlayer film, thereby exposing a portion of the device at the bottom of the contact hole. The silicon substrate on which the element and the interlayer film are formed is heated. Wiring members are formed in contact with a portion of the device by filling contact holes with a conductive material.

Description

반도체 장치 제조 방법Semiconductor device manufacturing method

본 발명은 실리콘 기판 상에 형성된 소자와 접촉하는 배선이 실리콘으로 이루어지는 반도체 장치 제조 방법에 관한 것이다.BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a method for manufacturing a semiconductor device in which wiring in contact with elements formed on a silicon substrate is made of silicon.

컨택 저항을 감소시키거나 폴리실리콘 게이트 전극의 저항을 감소시키기 위하여, 실리콘과 금속의 합금과 같은 실리사이드가 통상적으로 사용되어 왔다. 예를 들어, 소오스 및 드레인 형성 영역의 표면은 소오스 전극과 드레인 전극간 컨택 저항을 감소시키는 실리사이드로 도포된다.Silicides, such as alloys of silicon and metal, have been commonly used to reduce contact resistance or to reduce the resistance of polysilicon gate electrodes. For example, the surface of the source and drain formation region is coated with silicide that reduces the contact resistance between the source and drain electrodes.

실리사이드를 사용하는 MOSFET (금속 산화물 반도체 전계 효과 트랜지스터) 제조 방법을 간단히 설명한다.A method of manufacturing a MOSFET (metal oxide semiconductor field effect transistor) using silicide will be briefly described.

도 4a 에 도시한 바와 같이, 필드 산화막 (602) 이 소정의 간격으로 실리콘 기판 (601) 상에 형성된다. 필드 산화막 (602) 은 소자 형성 영역을 형성하도록 실리콘 기판 (601) 의 표면을 구획한다.As shown in Fig. 4A, field oxide films 602 are formed on the silicon substrate 601 at predetermined intervals. The field oxide film 602 partitions the surface of the silicon substrate 601 to form an element formation region.

트랜지스터의 임계 전압을 조정하기 위하여, B 가 실리콘 기판 (601) 의 각각의 소자 형성 영역에 이온 주입되어 불순물 영역 (603) 을 형성한다. 실리콘 기판 (601) 의 소자 형성 영역의 표면 상에 형성된 자연 산화막이 묽은 불산과 같은 산성 세정 용액으로 제거된 후, 도 4b 에 도시한 바와 같이 게이트 절연막 (604) 이 형성된다.In order to adjust the threshold voltage of the transistor, B is ion implanted into each element formation region of the silicon substrate 601 to form the impurity region 603. After the natural oxide film formed on the surface of the element formation region of the silicon substrate 601 is removed with an acidic cleaning solution such as dilute hydrofluoric acid, a gate insulating film 604 is formed as shown in Fig. 4B.

폴리실리콘은 CVD (화학 기상 증착) 에 의하여 게이트 절연막 (604) 상에 증착된다. 폴리실리콘이 전도성을 갖도록, P (인) 가 약 1020-3정도 첨가된다. 공지된 포토리스그래피에 의하여 형성된 레지스트 패턴을 마스크로 사용하여, HBr 또는 Cl 가스를 사용하는 건식 에칭에 의하여 폴리실리콘 및 게이트 절연막 (604) 이 선택적으로 제거되므로써, 도 4c 에 도시한 바와 같이 게이트 전극 (605) 이 형성된다.Polysilicon is deposited on the gate insulating film 604 by CVD (chemical vapor deposition). P (phosphorus) is added about 10 20 cm -3 so that the polysilicon is conductive. By using a resist pattern formed by known photolithography as a mask, the polysilicon and the gate insulating film 604 are selectively removed by dry etching using HBr or Cl gas, as shown in FIG. 4C. 605 is formed.

게이트 전극 (605) 의 양 측부의 불순물 영역 (603) 에 저농도 도핑 영역 (606 및 607) 을 형성하기 위하여 게이트 전극 (605) 을 마스크로 사용하여, P 가 이온 주입된다.P is ion implanted using the gate electrode 605 as a mask to form the lightly doped regions 606 and 607 in the impurity regions 603 on both sides of the gate electrode 605.

절연막이 게이트 전극 (605) 을 포함하는 실리콘 기판 (601) 상에 증착되고 수직 이방성 건식 에칭에 의하여 제거되므로써, 도 4d 에 도시한 바와 같이, 게이트 전극 (605) 의 측부 상에 측벽 (605a) 을 형성한다. 저농도 도핑 영역 (606 및 607) 에 소오스 (608) 및 드레인 (609) 을 각각 형성하기 위하여 게이트 전극 (605) 및 측벽 (605a) 을 마스크로 사용하여, As (비소) 가 이온 주입된다.As the insulating film is deposited on the silicon substrate 601 including the gate electrode 605 and removed by vertical anisotropic dry etching, as shown in FIG. 4D, the sidewall 605a is formed on the side of the gate electrode 605. Form. As (arsenic) is ion implanted using the gate electrode 605 and the sidewall 605a as a mask to form the source 608 and the drain 609 in the lightly doped regions 606 and 607, respectively.

상기 공정으로, LDD (저농도 도핑 드레인) 구조를 갖는 MOSFET 의 주요부를 제조한다. 그 후, MOSFET을 트랜지스터에 접속하는 배선은 다음과 같이 형성된다.In the above process, the main part of the MOSFET having the LDD (low concentration doped drain) structure is manufactured. After that, the wiring for connecting the MOSFET to the transistor is formed as follows.

특히, 코발트막이 게이트 전극 (605) 및 측벽 (605a) 을 포함하는 실리콘 기판 (601) 상에 증착되고 가열되므로써, 실리콘 표면이 코발트와 접촉하는 부분이 실리사이드화된다. 절연막등의 상부에 있는 미반응 코발트가 제거된 후, 결과로서 생긴 구조체가 재가열된다. 결과적으로, 도 4e 에 도시한 바와 같이, 게이트 전극 (605), 소오스 (608) 및 드레인 (609) 의 상부에 실리사이드층 (610) 이 형성된다.In particular, as the cobalt film is deposited and heated on the silicon substrate 601 including the gate electrode 605 and the sidewall 605a, the portion where the silicon surface contacts the cobalt is silicided. After the unreacted cobalt on the top of the insulating film or the like is removed, the resulting structure is reheated. As a result, as shown in FIG. 4E, the silicide layer 610 is formed on the gate electrode 605, the source 608, and the drain 609.

도 4f 에 도시한 바와 같이, 실리콘 산화 층간막 (611) 이 형성된다. 도 4g 에 도시한 바와 같이, 컨택홀 (613a 및 613b) 은, 레지스트 패턴 (612) 을 마스크로 사용하는 건식 에칭에 의해 소오스 (608) 및 드레인 (609) 상에 층간막 (611) 의 소정의 위치에서 실리사이드층 (610) 에 도달하도록 형성된다. 레지스트 패턴 (612) 이 제거된 후, 컨택홀 (613a 및 613b) 의 저부에 노출된 실리사이드층 (610) 의 표면은 묽은 불산 등으로 세정된다.As shown in FIG. 4F, a silicon oxide interlayer film 611 is formed. As shown in Fig. 4G, the contact holes 613a and 613b are formed by the predetermined etching of the interlayer film 611 on the source 608 and the drain 609 by dry etching using the resist pattern 612 as a mask. It is formed to reach the silicide layer 610 in position. After the resist pattern 612 is removed, the surface of the silicide layer 610 exposed at the bottom of the contact holes 613a and 613b is washed with dilute hydrofluoric acid or the like.

도 4h 에 도시한 바와 같이, P 가 선택적으로 도핑된 폴리실리콘이, 노출된 실리사이드층 (610) 상에 증착되어서 컨택홀 (613a 및 613b) 이 매립되어 플러그 (614) 가 형성된다. 도 4i 에 도시한 바와 같이, 다른 영역에서 실리사이드층 (610) 에 접속되는 플러그 (614) 가 게이트 전극 (605) 상에 형성된다.As shown in FIG. 4H, polysilicon selectively doped with P is deposited on the exposed silicide layer 610 so that contact holes 613a and 613b are embedded to form a plug 614. As shown in FIG. 4I, a plug 614 connected to the silicide layer 610 in another region is formed on the gate electrode 605.

도시하지 않았지만, 예를 들어, 소오스 전극 배선 및 드레인 전극 배선과 같은, 플러그 (614) 에 접속되는 텅스텐 실리사이드 배선이 형성된다.Although not shown, for example, a tungsten silicide wiring connected to the plug 614, such as source electrode wiring and drain electrode wiring, is formed.

그러나, 종래 방법에 의하면, MOSFET 은 내열성을 가져야 한다. 소오스/드레인과 접촉하는 플러그가 폴리실리콘으로 이루어지는 경우, 접속 저항은 플러그의 원래 저항보다 높아진다. 상기 경우에, 도 4h 에 도시한 바와 같이, 플러그 (614) 는 실리사이드층 (610) 을 통해 소오스 (608) 에 접속된다. 그러나, 실리사이드층 (610) 의 매개에 관계없이, 소오스와 플러그에 접속된 소오스 전극 배선의 저항은 증가한다.However, according to the conventional method, the MOSFET must have heat resistance. When the plug in contact with the source / drain is made of polysilicon, the connection resistance is higher than the plug's original resistance. In this case, as shown in FIG. 4H, the plug 614 is connected to the source 608 through the silicide layer 610. However, regardless of the medium of the silicide layer 610, the resistance of the source electrode wiring connected to the source and the plug increases.

본 발명의 목적은 실리콘 기판 상에 형성된 소자에 접속된 실리콘 배선의 저항을 감소시킬 수 있는 반도체 장치 제조 방법을 제공하는 것이다.An object of the present invention is to provide a semiconductor device manufacturing method capable of reducing the resistance of a silicon wiring connected to an element formed on a silicon substrate.

도 1a 내지 도 1i 는 각각, 본 발명의 제 1 실시예에 따르는 반도체 장치 제조의 단계를 나타내는 단면도.1A to 1I are cross-sectional views each illustrating the steps of manufacturing a semiconductor device according to the first embodiment of the present invention.

도 2 는 컨택홀의 컨택 저항과 직경간 관계를 나타내는 그래프.2 is a graph showing a relationship between a contact resistance and a diameter of a contact hole;

도 3a 내지 도 3i 는 각각, 본 발명의 제 2 실시예에 따르는 반도체 장치 제조의 단계를 나타내는 단면도.3A to 3I are cross-sectional views each illustrating the steps of manufacturing a semiconductor device according to the second embodiment of the present invention.

도 4a 내지 도 4i 는 각각, 종래 반도체 장치 제조의 단계를 나타내는 단면도.4A to 4I are cross-sectional views each illustrating steps of manufacturing a conventional semiconductor device.

※도면의 주요부분에 대한 부호의 설명※※ Explanation of symbols about main part of drawing ※

101 : 실리콘 기판101: silicon substrate

102 : 필드 산화막102: field oxide film

103 : 불순물 영역103: impurity region

104 : 게이트 절연막104: gate insulating film

105 : 게이트 전극105: gate electrode

105a : 측벽105a: sidewall

106, 107 : 저농도 도핑 영역106,107: low concentration doping region

108 : 소오스108: source

109 : 드레인109: drain

110 : 실리사이드층110: silicide layer

111 : 층간막111: interlayer film

112 : 레지스트 패턴112: resist pattern

113a, 113b : 컨택홀113a, 113b: Contact hole

114 : 플러그114: plug

상기 목적을 성취하기 위해, 본 발명에 따르면, 실리콘 기판 상에 소오스, 드레인 및 게이트 전극을 포함하는 소자를 형성하는 단계, 상기 소자 상에 층간막을 형성하는 단계, 상기 층간막의 상기 소자에 도달하도록 선택적으로 컨택홀을 형성하는 단계로서, 이에 의해 상기 컨택홀의 저부에 있는 상기 소자의 일부분이 노출되는 컨택홀을 형성하는 단계, 상기 소자와 상기 층간막이 형성된 상기 실리콘 기판을 가열하는 단계 및 상기 컨택홀을 전도성 재료로 매립하므로써 상기 소자의 일부분과 접촉하는 배선 부재를 형성하는 단계를 구비하는 반도체 장치 제조 방법이 제공된다.In order to achieve the above object, according to the present invention, forming a device comprising a source, a drain and a gate electrode on a silicon substrate, forming an interlayer film on the device, selective to reach the device of the interlayer film Forming a contact hole through which a portion of the device at the bottom of the contact hole is exposed, heating the silicon substrate on which the device and the interlayer film are formed, and forming the contact hole. There is provided a method of manufacturing a semiconductor device, the method comprising forming a wiring member in contact with a portion of the device by being embedded with a conductive material.

이하 첨부된 도면을 참조하여 본 발명을 상세히 설명한다.Hereinafter, the present invention will be described in detail with reference to the accompanying drawings.

제 1 실시예First embodiment

도 1a 내지 도 1i 는 본 발명의 제 1 실시예에 따르는 반도체 장치 제조 방법을 나타낸다.1A to 1I show a method of manufacturing a semiconductor device according to the first embodiment of the present invention.

도 1a 에 도시한 바와 같이, 필드 산화막 (102) 이 소정의 간격으로 실리콘 기판 (101) 상에 형성된다. 필드 산화막 (102) 은 소자 형성 영역을 형성하도록 실리콘 기판 (101) 의 표면을 구획한다.As shown in Fig. 1A, field oxide films 102 are formed on silicon substrate 101 at predetermined intervals. The field oxide film 102 partitions the surface of the silicon substrate 101 to form an element formation region.

트랜지스터의 임계 전압을 조정하기 위하여, B 가 실리콘 기판 (101) 의 각각의 소자 형성 영역에 이온 주입되어 불순물 영역 (103) 을 형성한다. 실리콘 기판 (101) 의 소자 형성 영역의 표면 상에 형성된 자연 산화막이 묽은 불산과 같은 산성 세정 용액으로 제거된 후, 도 1b 에 도시한 바와 같이 게이트 절연막 (104) 이 형성된다.In order to adjust the threshold voltage of the transistor, B is ion implanted into each element formation region of the silicon substrate 101 to form the impurity region 103. After the natural oxide film formed on the surface of the element formation region of the silicon substrate 101 is removed with an acidic cleaning solution such as dilute hydrofluoric acid, the gate insulating film 104 is formed as shown in Fig. 1B.

폴리실리콘이 CVD (화학 기상 증착) 에 의하여 게이트 절연막 (104) 상에 증착된다. 폴리실리콘이 전도성을 갖도록, P (인) 가 약 1020-3정도 첨가된다. 공지된 포토리스그래피에 의하여 형성된 레지스트 패턴을 마스크로 사용하여, HBr 또는 Cl 가스를 사용하는 건식 에칭에 의하여 폴리실리콘 및 게이트 절연막 (104) 이 선택적으로 제거되므로써, 도 1c 에 도시한 바와 같이 게이트 전극 (105) 이 형성된다.Polysilicon is deposited on the gate insulating film 104 by CVD (chemical vapor deposition). P (phosphorus) is added about 10 20 cm -3 so that the polysilicon is conductive. By using a resist pattern formed by known photolithography as a mask, the polysilicon and the gate insulating film 104 are selectively removed by dry etching using HBr or Cl gas, so that the gate electrode as shown in Fig. 1C. 105 is formed.

게이트 전극 (105) 의 양 측부의 불순물 영역 (103) 에 저농도 도핑 영역 (106 및 107) 을 형성하기 위하여 게이트 전극 (105) 을 마스크로 사용하여, P 가 이온 주입된다.P is implanted using the gate electrode 105 as a mask to form the lightly doped regions 106 and 107 in the impurity regions 103 on both sides of the gate electrode 105.

절연막이 게이트 전극 (105) 을 포함하는 실리콘 기판 (101) 상에 증착되고 수직 이방성 건식 에칭에 의하여 제거되므로써, 도 1d 에 도시한 바와 같이, 게이트 전극 (105) 의 측부 상에 측벽 (105a) 이 형성된다. 게이트 전극 (105) 및 측벽 (105a) 을 마스크로 사용하여 As (비소) 가 저농도 도핑 영역 (106 및 107) 에 이온 주입되므로써, 소오스 (108) 및 드레인 (109) 이 형성된다. 동시에, 또한 P (인) 가 게이트 전극 (105) 에 이온 주입된다.As the insulating film is deposited on the silicon substrate 101 including the gate electrode 105 and removed by vertical anisotropic dry etching, as shown in FIG. 1D, the sidewall 105a is formed on the side of the gate electrode 105. Is formed. As (arsenic) is ion implanted into the lightly doped regions 106 and 107 using the gate electrode 105 and sidewall 105a as a mask, a source 108 and a drain 109 are formed. At the same time, also P (phosphorus) is ion implanted into the gate electrode 105.

그 후, 게이트 전극 (105) 및 측벽 (105a) 을 포함하는 실리콘 기판 (101) 상에 코발트막이 15 ㎚ 정도의 두께로 증착되고, 약 500 내지 600 ℃로 가열된다 (rapid thermal annealing : RTA 처리). RTA 처리는 실리콘 표면이 코발트와 접촉하는 부분을 실리사이드화한다. 절연막등의 상부에 있는 미반응 코발트는 불산과 과산화수소 용액의 혼합액을 사용하여 습식 에칭된다. 그 후, 결과로서 생긴 구조체는 상기 어닐링 처리 온도보다 높은 온도에서 RTA 처리를 거친다.Thereafter, a cobalt film is deposited on the silicon substrate 101 including the gate electrode 105 and the sidewall 105a to a thickness of about 15 nm, and heated to about 500 to 600 ° C. (rapid thermal annealing: RTA treatment) . RTA treatment silicides the portion where the silicon surface contacts with cobalt. Unreacted cobalt on top of the insulating film is wet etched using a mixture of hydrofluoric acid and hydrogen peroxide solution. The resulting structure is then subjected to RTA treatment at a temperature higher than the annealing treatment temperature.

결과적으로, 도 1e 에 도시한 바와 같이, 게이트 전극 (105), 소오스 (108) 및 드레인 (109) 의 상부에 실리콘과 코발트의 합금으로 이루어진 실리사이드층 (110) 이 40 내지 50 ㎚ 정도의 두께로 형성된다.As a result, as shown in FIG. 1E, the silicide layer 110 made of an alloy of silicon and cobalt on the gate electrode 105, the source 108 and the drain 109 has a thickness of about 40 to 50 nm. Is formed.

도 1f 에 도시한 바와 같이, 실리콘 산화 층간막 (111) 이 실리사이드층 (110), 측벽 (105a) 및 필드 산화막 (102) 상에 형성된다. 도 1g 에 도시한 바와 같이, 컨택홀 (113a 및 113b) 은, 레지스트 패턴 (112) 을 마스크로 사용하는 건식 에칭에 의하여 소오스 (108) 및 드레인 (109) 상에 층간막 (111) 의 소정의 위치에서 실리사이드층 (110) 에 도달하도록 형성된다.As shown in FIG. 1F, a silicon oxide interlayer film 111 is formed on the silicide layer 110, the sidewalls 105a and the field oxide film 102. As shown in Fig. 1G, the contact holes 113a and 113b are formed by the predetermined etching of the interlayer film 111 on the source 108 and the drain 109 by dry etching using the resist pattern 112 as a mask. It is formed to reach the silicide layer 110 in position.

레지스트 패턴 (112) 이 제거된 후, 결과로서 생긴 구조체를 800 ℃로 10 초 동안 가열하기 위해 RTA 처리가 수행된다. 컨택홀 (113a 및 113b) 의 저부에 노출된 실리사이드층 (110) 의 표면은 묽은 불산 등으로 세정된다. 상기 가열은 예를 들어, 램프 어닐링 (lamp annealing) 에 의하여 수행된다.After the resist pattern 112 is removed, an RTA treatment is performed to heat the resulting structure to 800 ° C. for 10 seconds. The surface of the silicide layer 110 exposed at the bottom of the contact holes 113a and 113b is washed with dilute hydrofluoric acid or the like. The heating is carried out by lamp annealing, for example.

도 1h 에 도시한 바와 같이, P 가 선택적으로 도핑된 폴리실리콘이, 컨택홀 (113a 및 113b) 의 저부에 노출된 실리사이드층 (110) 상에 증착되어서 컨택홀 (113a 및 113b) 이 매립되어 플러그 (114) 가 형성된다. 도 1i 에 도시한 바와 같이, 또한 다른 영역에서 실리사이드층 (110) 에 접속되는 플러그 (114) 가 게이트 전극 (105) 상에 형성된다.As shown in FIG. 1H, polysilicon doped with P selectively is deposited on the silicide layer 110 exposed at the bottom of the contact holes 113a and 113b so that the contact holes 113a and 113b are buried and plugged. 114 is formed. As shown in FIG. 1I, a plug 114 is also formed on the gate electrode 105 which is connected to the silicide layer 110 in another region.

도시하지 않았지만, 예를 들어, 소오스 전극 배선 및 드레인 전극 배선과 같은, 플러그 (114) 에 접속되는 텅스텐 실리사이드 배선이 형성된다.Although not shown, for example, a tungsten silicide wiring connected to the plug 114, such as source electrode wiring and drain electrode wiring, is formed.

제 1 실시예에 따라, 컨택홀 (113a 및 113b) 이 형성된 후 어닐링이 수행되고, 실리사이드층 (110) 이 컨택홀 (113a 및 113b) 의 저부에서 노출된다. 어닐링과 세정을 하고, 실리사이드층 (110) 에 접속되는 플러그가 컨택홀 (113a 및 113b) 내부에 형성된다.According to the first embodiment, annealing is performed after the contact holes 113a and 113b are formed, and the silicide layer 110 is exposed at the bottom of the contact holes 113a and 113b. After annealing and cleaning, plugs connected to the silicide layer 110 are formed in the contact holes 113a and 113b.

도 2 에 도시한 바와 같이, 소오스 (108) 상의 컨택 저항을 측정한 경우 본 발명에 따라 어닐링한 경우의 저항이 종래 방법에 따라, 어닐링 하지 않은 경우의 저항보다 낮다는 것을 알게 된다.As shown in Fig. 2, when the contact resistance on the source 108 is measured, it is found that the resistance when annealed according to the present invention is lower than the resistance when not annealed according to the conventional method.

제 1 실시예에서, 코발트 실리사이드가 형성된다. 그러나, 본 발명은 이에 한정되지 않고 다른 고융점 금속의 실리사이드를 사용할 수 있다. 예를 들어, 티타늄 실리사이드에 의해서 동일한 효과가 얻어질 수 있다.In a first embodiment, cobalt silicide is formed. However, the present invention is not limited to this, and other high melting point silicides may be used. For example, the same effect can be obtained by titanium silicide.

제 2 실시예Second embodiment

이하, 본 발명의 제 2 실시예에 따르는 반도체 장치 제조 방법을 설명한다.Hereinafter, a method of manufacturing a semiconductor device according to the second embodiment of the present invention will be described.

도 3a 에 도시한 바와 같이, 필드 산화막 (402) 이 반도체 기판 (401) 상에 형성된다. 필드 산화막 (402) 에 의하여 구획되는 소자 형성 영역의 반도체 기판 (401) 의 표면이 노출된다.As shown in FIG. 3A, a field oxide film 402 is formed on the semiconductor substrate 401. The surface of the semiconductor substrate 401 in the element formation region partitioned by the field oxide film 402 is exposed.

트랜지스터의 임계 전압을 조정하기 위하여, B 가 반도체 기판 (401) 에 이온 주입되어 불순물 영역 (403) 이 형성된다. 노출된 표면 상에 형성된 자연 산화막이 묽은 불산과 같은 산성 세정 용액으로 제거된 후, 도 3b 에 도시한 바와 같이, 게이트 절연막 (404) 이 불순물 영역 (403) 에 형성된다.In order to adjust the threshold voltage of the transistor, B is ion implanted into the semiconductor substrate 401 to form the impurity region 403. After the natural oxide film formed on the exposed surface is removed with an acidic cleaning solution such as dilute hydrofluoric acid, a gate insulating film 404 is formed in the impurity region 403, as shown in FIG. 3B.

P (인) 가 약 1020-3정도 첨가된 폴리실리콘이 CVD에 의하여 증착된 후, 텅스텐 실리사이드가 폴리실리콘 상에 증착된다. 폴리실리콘 및 텅스텐 실리사이드는 공지된 포토리소그래피에 의하여 형성된 레지스트 패턴을 마스크로 사용하는 건식 에칭에 의하여 선택적으로 제거되므로써, 도 3c 에 도시한 바와 같이, 폴리실리콘막 (405a) 과 텅스텐 실리사이드막 (405b) 으로 이루어진 게이트 전극 (405) 이 형성된다.After polysilicon having P (phosphorus) added about 10 20 cm -3 is deposited by CVD, tungsten silicide is deposited on polysilicon. The polysilicon and tungsten silicide are selectively removed by dry etching using a resist pattern formed by known photolithography as a mask, so that the polysilicon film 405a and the tungsten silicide film 405b are shown in FIG. 3C. A gate electrode 405 made of is formed.

게이트 전극 (405) 의 양 측부의 불순물 영역 (403) 에 저농도 도핑 영역 (406 및 407) 을 형성하기 위하여 게이트 전극 (405) 을 마스크로 사용하여, P 가 이온 주입된다. 본 발명은 텅스텐 실리사이드에 한정되지 않고 다른 고융점 금속의 실리사이드를 사용할 수 있음에 주의해야 한다.P is ion implanted using the gate electrode 405 as a mask to form the lightly doped regions 406 and 407 in the impurity regions 403 on both sides of the gate electrode 405. It is to be noted that the present invention is not limited to tungsten silicide and that other high melting point silicides may be used.

절연막이 게이트 전극 (405) 을 포함하는 실리콘 기판 (401) 상에 증착되고 수직 이방성 건식 에칭에 의하여 소정량 제거되므로써, 도 3d 에 도시한 바와 같이, 게이트 전극 (405) 의 측부 상에 측벽 (405c) 이 형성된다. 저농도 도핑 영역 (406 및 407) 에 소오스 (408) 및 드레인 (409) 을 형성하기 위하여 게이트 전극 (405) 및 측벽 (405c) 을 마스크로 사용하여, As (비소) 가 이온 주입된다.As the insulating film is deposited on the silicon substrate 401 including the gate electrode 405 and removed a predetermined amount by vertical anisotropic dry etching, as shown in FIG. 3D, the sidewall 405c on the side of the gate electrode 405 is shown. ) Is formed. As (arsenic) is ion implanted using the gate electrode 405 and the sidewall 405c as a mask to form the source 408 and the drain 409 in the lightly doped regions 406 and 407.

도 3e 에 도시한 바와 같이, 실리콘 산화 층간막 (411) 이 소자를 포함하는 반도체 기판 (401) 상에 형성된다. 도 3f 에 도시한 바와 같이, 컨택홀 (413a 및 413b) 은, 레지스트 패턴 (412) 을 마스크로 사용하는 건식 에칭에 의해 층간막 (411) 의 소정의 위치에서 소오스 (408) 및 드레인 (409) 에 도달하도록 형성된다. 동시에, 도 3g 에 도시한 바와 같이, 컨택홀 (413c) 은, 층간막 (411) 의 소정의 위치에서 텅스텐 실리사이드막 (405b) 에 도달하도록 형성된다.As shown in Fig. 3E, a silicon oxide interlayer film 411 is formed on the semiconductor substrate 401 including the element. As shown in FIG. 3F, the contact holes 413a and 413b are formed by the source 408 and the drain 409 at predetermined positions of the interlayer film 411 by dry etching using the resist pattern 412 as a mask. Is formed to reach. At the same time, as shown in FIG. 3G, the contact hole 413c is formed to reach the tungsten silicide film 405b at a predetermined position of the interlayer film 411.

레지스트 패턴 (412) 이 제거된 후, 결과로서 생긴 구조체를 800 ℃로 10 초 동안 가열하기 위해 RTA 처리가 수행된다. 컨택홀 (413a 및 413b) 의 저부에 노출된 소오스 (408) 및 드레인 (409) 의 표면과 컨택홀 (413c) 의 저부에 노출된 텅스텐 실리사이드막 (405b) 의 표면은 묽은 불산 등으로 세정된다.After the resist pattern 412 is removed, an RTA treatment is performed to heat the resulting structure to 800 ° C. for 10 seconds. The surfaces of the source 408 and the drain 409 exposed to the bottom of the contact holes 413a and 413b and the surface of the tungsten silicide film 405b exposed to the bottom of the contact hole 413c are washed with dilute hydrofluoric acid or the like.

도 3h 에 도시한 바와 같이, P 가 선택적으로 도핑된 폴리실리콘이, 노출된 소오스 (408) 및 드레인 (409) 상에 증착되어서 컨택홀 (413a 및 413b) 이 매립되어 플러그 (414) 가 형성된다. 동시에, 도 3i 에 도시한 바와 같이, P-도핑된 폴리실리콘 플러그 (414) 가 텅스텐 실리사이드막 (405b) 에 접속되도록 게이트 전극 (405) 상의 플러그 (414) 내에 형성된다.As shown in FIG. 3H, polysilicon doped with P selectively is deposited on the exposed source 408 and drain 409 so that contact holes 413a and 413b are embedded to form plug 414. . At the same time, as shown in Fig. 3I, a P-doped polysilicon plug 414 is formed in the plug 414 on the gate electrode 405 to be connected to the tungsten silicide film 405b.

도시하지 않았지만, 예를 들어, 소오스 전극 배선 및 드레인 전극 배선과 같은, 플러그 (414) 에 접속되는 텅스텐 실리사이드 배선이 형성된다. 또한, 제 2 실시예에서, 제 1 실시예에서의 효과와 동일한 효과를 얻을 수 있다.Although not shown, for example, a tungsten silicide wiring connected to the plug 414, such as source electrode wiring and drain electrode wiring, is formed. In addition, in the second embodiment, the same effects as in the first embodiment can be obtained.

이상의 설명에 따르면, 본 발명은, 실리콘 배선의 전부 또는 일부와 소자의 소정의 영역간 저항을 낮게 억제할 수 있다.According to the above description, the present invention can suppress the resistance between all or a part of the silicon wiring and a predetermined region of the element to be low.

Claims (8)

실리콘 기판 (101 및 401) 상에 소오스, 드레인 및 게이트 전극을 포함하는 소자를 형성하는 단계,Forming an element comprising a source, a drain, and a gate electrode on the silicon substrates 101 and 401, 상기 소자 상에 층간막 (111 및 411) 을 형성하는 단계,Forming interlayer films 111 and 411 on the device, 상기 층간막의 상기 소자에 도달하도록 선택적으로 컨택홀 (113a, 113b 및 413c) 을 형성하는 단계로서, 이에 의해 상기 컨택홀의 저부에 있는 상기 소자의 일부분이 노출되는 컨택홀을 형성하는 단계,Selectively forming contact holes 113a, 113b and 413c to reach the device of the interlayer film, thereby forming a contact hole through which a portion of the device at the bottom of the contact hole is exposed; 상기 소자와 상기 층간막이 형성된 상기 실리콘 기판을 가열하는 단계, 및Heating the silicon substrate on which the device and the interlayer film are formed, and 상기 컨택홀을 전도성 재료로 매립하므로써 상기 소자의 일부분과 접촉하는 배선 부재 (114 및 414) 를 형성하는 단계를 구비하는 것을 특징으로 하는 반도체 장치 제조 방법.Forming a wiring member (114 and 414) in contact with a portion of the device by filling the contact hole with a conductive material. 제 1 항에 있어서, 상기 소자 상에 실리사이드 (110 및 405b) 를 형성하는 단계를 더 구비하고,The method of claim 1, further comprising forming silicides (110 and 405b) on the device, 상기 컨택홀을 형성하는 단계는 상기 실리사이드가 상기 저부에서 노출되는 각각에 상기 컨택홀을 형성하는 단계를 구비하고,The forming of the contact hole includes forming the contact hole in each of the silicide exposed at the bottom, 상기 배선 부재를 형성하는 단계는 상기 소자 상에 형성된 상기 실리사이드와 접촉하는 상기 배선 부재를 형성하는 단계를 구비하는 것을 특징으로 하는 반도체 장치 제조 방법.And forming the wiring member comprises forming the wiring member in contact with the silicide formed on the device. 제 1 항에 있어서, 상기 컨택홀을 형성하는 단계는 상기 소오스 및 드레인 전극 상의 상기 층간막내에 상기 컨택홀을 형성하는 단계를 구비하는 것을 특징으로 하는 반도체 장치 제조 방법.The method of claim 1, wherein the forming of the contact hole comprises forming the contact hole in the interlayer film on the source and drain electrodes. 제 1 항에 있어서, 상기 컨택홀을 형성하는 단계는 상기 게이트 전극상의 상기 층간막내에 상기 컨택홀을 형성하는 단계를 구비하는 것을 특징으로 하는 반도체 장치 제조 방법.The method of claim 1, wherein forming the contact hole comprises forming the contact hole in the interlayer film on the gate electrode. 제 1 항에 있어서, 상기 실리콘 기판을 가열한 후 불산을 함유한 세정 용액으로 상기 컨택홀의 저부를 세정하는 단계를 더 구비하는 것을 특징으로 하는 반도체 장치 제조 방법.2. The method of claim 1, further comprising: cleaning the bottom of the contact hole with a cleaning solution containing hydrofluoric acid after heating the silicon substrate. 제 1 항에 있어서, 상기 배선 부재는 플러그인 것을 특징으로 하는 반도체 장치 제조 방법.The method of claim 1, wherein the wiring member is a plug-in. 실리콘 기판 (101) 상에 소오스, 드레인, 게이트 전극을 포함하는 소자를 형성하는 단계,Forming an element including a source, a drain, and a gate electrode on the silicon substrate 101, 상기 드레인 및 소오스 상에 실리사이드 (110) 를 형성하는 단계,Forming silicide 110 on the drain and the source, 상기 실리사이드를 포함하는 상기 소자 상에 층간막 (111) 을 형성하는 단계,Forming an interlayer film 111 on the device comprising the silicide, 상기 층간막내에 상기 실리사이드에 도달하도록 선택적으로 컨택홀 (113a 및 113b) 을 형성하는 단계로서, 이에 의해 상기 컨택홀의 저부에 있는 상기 실리사이드가 노출되는 컨택홀을 형성하는 단계,Selectively forming contact holes 113a and 113b in the interlayer to reach the silicide, thereby forming a contact hole through which the silicide at the bottom of the contact hole is exposed; 상기 소자, 상기 실리사이드 및 상기 층간막이 형성된 상기 실리콘 기판을 가열하는 단계, 및Heating the silicon substrate on which the device, the silicide and the interlayer film are formed, and 상기 컨택홀을 전도성 실리콘으로 매립하므로써, 상기 소오스 및 드레인 전극 상에 형성된 상기 실리사이드와 접촉하는 플러그 (114) 를 형성하는 단계를 구비하는 것을 특징으로 하는 반도체 장치 제조 방법.Forming a plug (114) in contact with the silicide formed on the source and drain electrodes by filling the contact hole with conductive silicon. 실리콘 기판 (401) 상에 소오스, 드레인 및 게이트 전극을 포함하는 소자를 형성하는 단계,Forming an element including a source, a drain, and a gate electrode on the silicon substrate 401, 상기 게이트 전극 상에 실리사이드 (405b) 를 형성하는 단계,Forming a silicide 405b on the gate electrode, 상기 실리사이드를 포함하는 상기 소자 상에 층간막 (411) 을 형성하는 단계,Forming an interlayer film 411 on the device comprising the silicide, 상기 층간막내의 상기 게이트 전극에 도달하도록 선택적으로 컨택홀 (413c) 을 형성하는 단계로서, 이에 의해 상기 컨택홀의 저부에 있는 상기 게이트 전극이 노출되는 컨택홀을 형성하는 단계,Selectively forming a contact hole 413c to reach the gate electrode in the interlayer film, thereby forming a contact hole through which the gate electrode at the bottom of the contact hole is exposed; 상기 소자, 상기 실리사이드 및 상기 층간막이 형성된 상기 실리콘 기판을 가열하는 단계, 및Heating the silicon substrate on which the device, the silicide and the interlayer film are formed, and 상기 컨택홀을 전도성 실리콘으로 매립하므로써, 상기 게이트 전극 상에 형성된 상기 실리사이드와 접촉하는 플러그 (414) 를 형성하는 단계를 구비하는 것을 특징으로 하는 반도체 장치 제조 방법.Forming a plug (414) in contact with the silicide formed on the gate electrode by filling the contact hole with conductive silicon.
KR1019980047170A 1997-11-07 1998-11-04 Semiconductor device manufacturing method KR19990045011A (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP9305387A JPH11145283A (en) 1997-11-07 1997-11-07 Method for manufacturing semiconductor device
JP97-305387 1997-11-07

Publications (1)

Publication Number Publication Date
KR19990045011A true KR19990045011A (en) 1999-06-25

Family

ID=17944514

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019980047170A KR19990045011A (en) 1997-11-07 1998-11-04 Semiconductor device manufacturing method

Country Status (3)

Country Link
JP (1) JPH11145283A (en)
KR (1) KR19990045011A (en)
CN (1) CN1217572A (en)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000306860A (en) 1999-04-20 2000-11-02 Nec Corp Manufacture of semiconductor device
JP3626058B2 (en) 2000-01-25 2005-03-02 Necエレクトロニクス株式会社 Manufacturing method of semiconductor device

Also Published As

Publication number Publication date
JPH11145283A (en) 1999-05-28
CN1217572A (en) 1999-05-26

Similar Documents

Publication Publication Date Title
KR100476887B1 (en) Mos transistor with extended silicide layer of source/drain region and method of fabricating thereof
KR100445904B1 (en) Extended drain MOSFET(EDMOSFET) having the source field plate and method for fabricating the same
US6806126B1 (en) Method of manufacturing a semiconductor component
US5340761A (en) Self-aligned contacts with gate overlapped lightly doped drain (goldd) structure
KR100414735B1 (en) A semiconductor device and A method for forming the same
TWI389203B (en) Method of manufacturing semiconductor device
KR19980018188A (en) Method for Manufacturing Self Aligned POCl₃ for Submicron Microelectronics Applications Using Amorphized Polysilicon
TWI262561B (en) Method of forming ultra-shallow junction devices and its application in a memory device
JPH1098009A (en) Wiring structure of semiconductor element and manufacture
KR19990045011A (en) Semiconductor device manufacturing method
KR0170515B1 (en) A semiconductor device with a gold structure and a method of fabricating the same
KR19990018279A (en) MOSFET device for preventing gate-source-drain short caused by salicide and method for manufacturing same
JPH1064898A (en) Manufacturing method of semiconductor device
KR100209280B1 (en) Method for forming a contact of semiconductor device
KR100365409B1 (en) Method for forming a gate electrode in semiconductor device
US6521517B1 (en) Method of fabricating a gate electrode using a second conductive layer as a mask in the formation of an insulating layer by oxidation of a first conductive layer
KR100419068B1 (en) Method for manufacturing MOS transistor
KR101102775B1 (en) Method for manufacturing semiconductor device
KR100290890B1 (en) Method for fabricating semiconductor device
KR100613279B1 (en) MOS transistor and fabrication method thereof
KR100273323B1 (en) Semiconductor device and manufacturing method
KR100209929B1 (en) Method for manufacturing semiconductor device
JP2001203348A (en) Semiconductor device and manufacturing method
JPH09181306A (en) Semiconductor device and manufacture thereof
KR100228274B1 (en) Manufacturing method of a semiconductor device

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E601 Decision to refuse application