KR102636379B1 - Three-dimensional memory device and method - Google Patents

Three-dimensional memory device and method Download PDF

Info

Publication number
KR102636379B1
KR102636379B1 KR1020210027541A KR20210027541A KR102636379B1 KR 102636379 B1 KR102636379 B1 KR 102636379B1 KR 1020210027541 A KR1020210027541 A KR 1020210027541A KR 20210027541 A KR20210027541 A KR 20210027541A KR 102636379 B1 KR102636379 B1 KR 102636379B1
Authority
KR
South Korea
Prior art keywords
layer
region
isolation
back gate
bit line
Prior art date
Application number
KR1020210027541A
Other languages
Korean (ko)
Other versions
KR20220015304A (en
Inventor
멩-한 린
한-종 치아
셩-첸 왕
펭-청 양
유-밍 린
충-테 린
Original Assignee
타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 filed Critical 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Publication of KR20220015304A publication Critical patent/KR20220015304A/en
Application granted granted Critical
Publication of KR102636379B1 publication Critical patent/KR102636379B1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • H01L29/4011Multistep manufacturing processes for data storage electrodes
    • H01L29/40111Multistep manufacturing processes for data storage electrodes the electrodes comprising a layer which is used for its ferroelectric properties
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/20EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B51/00Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory transistors
    • H10B51/10Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory transistors characterised by the top-view layout
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/535Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including internal interconnections, e.g. cross-under constructions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41741Source or drain electrodes for field effect devices for vertical or pseudo-vertical devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41775Source or drain electrodes for field effect devices characterised by the proximity or the relative position of the source or drain electrode and the gate electrode, e.g. the source or drain electrode separated from the gate electrode by side-walls or spreading around or above the gate electrode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/516Insulating materials associated therewith with at least one ferroelectric layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66833Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a charge trapping gate insulator, e.g. MNOS transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6684Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a ferroelectric gate insulator
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/78391Field effect transistors with field effect produced by an insulated gate the gate comprising a layer which is used for its ferroelectric properties
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/792Field effect transistors with field effect produced by an insulated gate with charge trapping gate insulator, e.g. MNOS-memory transistors
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B51/00Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory transistors
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B51/00Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory transistors
    • H10B51/20Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory transistors characterised by the three-dimensional arrangements, e.g. with cells on different height levels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B51/00Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory transistors
    • H10B51/30Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory transistors characterised by the memory core region

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Semiconductor Memories (AREA)
  • Non-Volatile Memory (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Ultra Sonic Daignosis Equipment (AREA)

Abstract

일 실시형태에서, 디바이스는, 제1 방향으로 연장되는 워드 라인; 상기 워드 라인 측벽 상의 데이터 저장층; 데이터 저장층의 측벽 상의 채널층; 상기 채널층의 측벽 상의 백 게이트 격리물; 및 상기 채널층과 접촉하는 제1 메인 영역 및 상기 백 게이트 격리물에 의해 상기 채널층과 분리된 제1 확장 영역을 가지며, 상기 제1 방향에 수직인 제2 방향으로 연장되는 비트 라인을 포함한다.In one embodiment, the device includes a word line extending in a first direction; a data storage layer on the word line sidewall; a channel layer on the sidewall of the data storage layer; back gate isolation on sidewalls of the channel layer; and a bit line having a first main region in contact with the channel layer and a first extended region separated from the channel layer by the back gate isolator, and extending in a second direction perpendicular to the first direction. .

Figure R1020210027541
Figure R1020210027541

Description

삼차원 메모리 디바이스 및 방법{THREE-DIMENSIONAL MEMORY DEVICE AND METHOD}Three-dimensional memory device and method {THREE-DIMENSIONAL MEMORY DEVICE AND METHOD}

[우선권 주장 및 상호 참조][Priority Claims and Cross-References]

본 출원은 2020년 7월 30일에 출원된 미국 가출원 No. 63/058,619의 이익을 주장하며, 이 출원의 전체 내용이 여기에 참조로 편입된다.This application is a U.S. provisional application filed on July 30, 2020. No. 63/058,619, the entire contents of which are hereby incorporated by reference.

반도체 메모리는 예시로서 라디오, 텔레비전, 휴대폰 및 개인용 컴퓨팅 장치를 포함하는 전자 애플리케이션을 위한 집적 회로에 사용된다. 반도체 메모리에는 두 개의 주요 카테고리가 있다. 하나는 휘발성 메모리이고, 다른 하나는 비-휘발성 메모리이다. 휘발성 메모리에는 랜덤 액세스 메모리(RAM)가 포함되며, 이는 정적 랜덤 액세스 메모리(SRAM) 및 동적 랜덤 액세스 메모리(DRAM)의 두 개 하위 카테고리로 더 나눠질 수 있다. SRAM과 DRAM은 전원이 공급되지 않을 때 저장한 정보를 잃기 때문에 휘발성이다.Semiconductor memories are used in integrated circuits for electronic applications, including radios, televisions, cell phones, and personal computing devices, as examples. There are two main categories of semiconductor memory. One is volatile memory and the other is non-volatile memory. Volatile memory includes random access memory (RAM), which can be further divided into two subcategories: static random access memory (SRAM) and dynamic random access memory (DRAM). SRAM and DRAM are volatile because they lose the information they store when power is not supplied.

한편, 비-휘발성 메모리는 저장된 데이터를 유지할 수 있다. 비-휘발성 반도체 메모리의 한 유형은 강유전체 랜덤 액세스 메모리(ferroelectric random access memory)(FeRAM)이다. FeRAM의 장점은 빠른 쓰기/읽기 속도와 작은 크기이다.Meanwhile, non-volatile memory can retain stored data. One type of non-volatile semiconductor memory is ferroelectric random access memory (FeRAM). The advantages of FeRAM are fast write/read speeds and small size.

본 개시의 측면들은 첨부 도면과 함께 다음의 상세한 설명으로부터 가장 잘 이해된다. 업계의 표준 실무에 따라 다양한 피처들(features)이 일정 비율로 도시된 것은 아님에 주의하여야 한다. 실제로 설명의 명확성을 위해 다양한 피처들의 크기가 임의로 확대되거나 축소되어 있을 수 있다.
도 1a, 1b 및 1c는 메모리 어레이의 다양한 도면이다.
도 2 내지 19c는 일부 실시형태에 따른 메모리 어레이의 제조에서 중간 단계에 대한 다양한 도면이다.
도 20a 내지 20j는 일부 실시형태에 따른 메모리 어레이의 계단 구조물의 제조에서 중간 단계에 대한 도면이다.
도 21a 내지 21d는 일부 실시형태에 따른 메모리 어레이의 평면도이다.
도 22a 내지 22c는 일부 실시형태에 따른 메모리 어레이의 평면도이다.
도 23은 일부 실시형태에 따른 메모리 어레이의 단면도이다.
도 24는 일부 실시형태에 다른 반도체 디바이스의 단면도이다.
도 25 내지 27은 일부 다른 실시형태에 따른 메모리 어레이의 제조에서 중간 단계에 대한 다양한 도면이다.
Aspects of the present disclosure are best understood from the following detailed description in conjunction with the accompanying drawings. It should be noted that, in accordance with industry standard practice, various features are not drawn to scale. In fact, for clarity of explanation, the sizes of various features may be arbitrarily enlarged or reduced.
Figures 1A, 1B and 1C are various diagrams of a memory array.
2-19C are various diagrams of intermediate steps in the fabrication of a memory array according to some embodiments.
20A-20J are diagrams of intermediate steps in the fabrication of staircase structures of a memory array according to some embodiments.
Figures 21A-21D are top views of a memory array according to some embodiments.
Figures 22A-22C are top views of a memory array according to some embodiments.
Figure 23 is a cross-sectional view of a memory array according to some embodiments.
Figure 24 is a cross-sectional view of a semiconductor device according to some embodiments.
25-27 are various diagrams of intermediate steps in the fabrication of a memory array according to some other embodiments.

다음의 개시는 본 발명의 다양한 피처들을 구현하기 위한, 많은 다양한 실시형태 또는 실시예를 제공한다. 본 개시를 단순하게 하기 위해 구성요소 및 배열의 특정 실시예가 아래에 설명된다. 물론 이들은 단지 예시일 뿐이며 발명을 제한하려는 의도가 아니다. 예를 들면, 뒤따르는 설명에서 제1 피처를 제2 피처 위에(over) 또는 상에(on) 형성하는 것은, 제1 및 제2 피처가 직접 접촉하여 형성되는 실시형태를 포함할 수 있고, 또한 상기 제1 및 제2 피처가 직접 접촉하지 않도록 추가적인 피처가 상기 제1 피처와 제2 피처 사이에 형성될 수 있는 실시형태를 포함할 수도 있다. 또한, 본 개시는 다양한 실시예들에서 참조 번호 및/또는 문자를 반복할 수 있다. 이러한 반복은 단순성과 명료성을 위한 것이며 그 자체가 논의된 다양한 실시형태 및/또는 구성 간의 관계를 결정하는 것은 아니다.The following disclosure provides many different embodiments, or examples, for implementing various features of the invention. To simplify the disclosure, specific embodiments of components and arrangements are described below. Of course, these are just examples and are not intended to limit the invention. For example, in the description that follows, forming a first feature over or on a second feature may include embodiments in which the first and second features are formed in direct contact; and Embodiments may also include embodiments in which additional features may be formed between the first and second features such that the first and second features are not in direct contact. Additionally, the present disclosure may repeat reference numbers and/or letters in various embodiments. This repetition is for simplicity and clarity and does not by itself determine the relationships between the various embodiments and/or configurations discussed.

또한, "밑에(beneath)", "아래에(below)", "하부의(lower)", "위에(above)", "상부의(upper)" 등, 공간적으로 상대적인 용어들은 도면(들)에 도시된 바와 같이 하나의 요소 또는 피처의 다른 요소(들) 또는 피처(들)에 대한 관계를 설명하기 위한 설명의 편의를 위해 사용될 수 있다. 상기 공간적으로 상대적인 용어들은 도면에 도시된 방향(orientation)에 부가하여, 사용 또는 동작 중인 디바이스의 다른 방향들을 포함하도록 의도된다. 장치는 달리 배향(90도 회전되거나 다른 방향으로)될 수 있으며, 여기서 사용된 공간적으로 상대적인 설명어구(descriptors)는 그에 따라 유사하게 해석될 수 있다.Additionally, spatially relative terms such as “beneath,” “below,” “lower,” “above,” and “upper” are used in the drawing(s). As shown in , it may be used for convenience of explanation to explain the relationship of one element or feature to other element(s) or feature(s). The spatially relative terms are intended to include other orientations of the device in use or operation in addition to the orientation shown in the drawings. The device may be otherwise oriented (rotated 90 degrees or in another direction) and the spatially relative descriptors used herein may be interpreted similarly accordingly.

다양한 실시형태에 따르면, 3차원 메모리 어레이는 확장 영역(extension regions)이 구비된 소스 라인 및 비트 라인을 갖는 트랜지스터(예를 들면 프로그래머블(programmable) 박막 트랜지스터 (TFT))로 형성된다. 상기 확장 영역은 백 게이트(back gates) 역할을 한다. 트랜지스터의 데이터 저장층 및 채널층은 트랜지스터를 위한 백 게이트와 워드 라인 사이에 배치된다. 트랜지스터에 대한 쓰기 동작(예를 들어, 소거(erase) 또는 프로그래밍 동작) 동안 백 게이트는 채널층의 표면 전위(surface potential)를 제어(예를 들어, 감소)하는 것을 도모할 수 있다. 채널층의 표면 전위를 감소시키는 것은 메모리 어레이의 성능을 향상시킬 수 있다.According to various embodiments, a three-dimensional memory array is formed of transistors (eg, programmable thin film transistors (TFTs)) having source lines and bit lines provided with extension regions. The extended area serves as back gates. The data storage layer and channel layer of the transistor are disposed between the back gate for the transistor and the word line. During a write operation (e.g., erase or programming operation) on the transistor, the back gate may serve to control (e.g., reduce) the surface potential of the channel layer. Reducing the surface potential of the channel layer can improve the performance of the memory array.

도 1a, 1b 및 1c는 일부 실시형태에 따른 메모리 어레이(50)의 실시예를 도시한다. 도 1a는 메모리 어레이(50)의 일 부분의 실시예를 3차원 도면으로 도시하고; 도 1b는 메모리 어레이(50)의 회로도를 도시하고; 도 1c는 메모리 어레이(50)의 일 부분에 대한 평면도를 도시한다. 메모리 어레이(50)는 복수의 메모리 셀(52)을 포함하고, 이는 행(rows)과 열(columns)의 그리드(grid)로 배열될 수 있다. 메모리 셀(52)은 수직으로 더 적층되어 3차원 메모리 어레이를 제공함으로써 디바이스 밀도를 증가시킬 수 있다. 메모리 어레이(50)는 반도체 다이(die)의 백 엔드 오브 라인(back end of line, BEOL)에 배치될 수 있다. 예를 들어, 메모리 어레이(50)는 반도체 기판 상에 형성된 하나 이상의 능동 디바이스(예를 들어, 트랜지스터) 위와 같이 반도체 다이의 인터커넥트(interconnect)층에 배치될 수 있다.1A, 1B, and 1C show an example of a memory array 50 according to some embodiments. 1A shows an embodiment of a portion of memory array 50 in three-dimensional view; Figure 1B shows a circuit diagram of memory array 50; Figure 1C shows a top view of a portion of memory array 50. The memory array 50 includes a plurality of memory cells 52, which may be arranged in a grid of rows and columns. Memory cells 52 can be further stacked vertically to increase device density by providing a three-dimensional memory array. The memory array 50 may be placed at the back end of line (BEOL) of a semiconductor die. For example, memory array 50 may be disposed in an interconnect layer of a semiconductor die, such as above one or more active devices (e.g., transistors) formed on the semiconductor substrate.

일부 실시형태에서, 메모리 어레이(50)는 NOR 메모리 어레이 등과 같은 메모리 어레이이다. 각각의 메모리 셀(52)은 게이트 유전체로서 절연 메모리 필름(84)이 구비된 (TFT와 같은) 트랜지스터(54)를 포함할 수 있다. 일부 실시형태에서, 각각의 트랜지스터(54)의 게이트는 각각의 워드 라인(word line) 예를 들어, 전도성 라인(72))에 전기적으로 결합되고, 각각의 트랜지스터(54)의 제1 소스/드레인 영역은 각각의 비트 라인(bit line)(예를 들어, 전도성 라인(64B))에 전기적으로 결합되고, 각각의 트랜지스터(54)의 제2 소스/드레인 영역은 각각의 소스 라인(source line)(예를 들어, 전도성 라인(64S))에 전기적으로 결합되고, 이는 제2 소스/드레인 영역을 접지에 전기적으로 결합한다. 메모리 어레이(50)의 동일한 가로 행에 있는 메모리 셀(52)은 공통 워드 라인을 공유할 수 있고, 메모리 어레이(50)의 동일한 세로 열에 있는 메모리 셀(52)은 공통 소스 라인과 공통 비트 라인을 공유할 수 있다.In some embodiments, memory array 50 is a memory array, such as a NOR memory array. Each memory cell 52 may include a transistor 54 (such as a TFT) with an insulating memory film 84 as a gate dielectric. In some embodiments, the gate of each transistor 54 is electrically coupled to a respective word line (e.g., conductive line 72) and the first source/drain of each transistor 54. The region is electrically coupled to each bit line (e.g., conductive line 64B), and the second source/drain region of each transistor 54 is connected to each source line (e.g., conductive line 64B). For example, conductive line 64S), which electrically couples the second source/drain region to ground. Memory cells 52 in the same horizontal row of memory array 50 may share a common word line, and memory cells 52 in the same vertical column of memory array 50 may share a common source line and a common bit line. You can share it.

메모리 어레이(50)는 복수의 수직으로 적층된 전도성 라인(72)(예를 들어, 워드 라인)을 포함하고 전도성 라인들(72) 중 인접한 것들 사이에 유전체층(62)이 배치된다. 전도성 라인(72)은 하부 기판(도 1a 및 1b에 명시적으로 도시되지 않음)의 주된 표면에 평행한 방향(D1)으로 연장된다. 전도성 라인(72)은 하부 전도성 라인(72)이 상부 전도성 라인(72)의 끝 지점보다 길고 이를 지나 측방향으로(laterally) 연장되도록 하는 계단 구조물의 부분일 수 있다. 예를 들어, 도 1a에 도시된 바와 같이, 전도성 라인(72)의 다수의 적층된 층들은 최상단 전도성 라인(72)이 가장 짧고 최하부 전도성 라인(72)이 가장 긴 것으로 도시되어 있다. 전도성 라인(72)의 각각의 길이는 하부 기판을 향하는 방향으로 증가할 수 있다. 이러한 방식으로, 각각의 전도성 라인(72)의 일 부분이 메모리 어레이(200) 위에서부터 액세스 가능할 수 있고, 전도성 콘택트(66)(도 1c 참조)가 각각의 전도성 라인(72)의 노출된 부분에 형성될 수 있다. 전도성 콘택트(66)는 메모리 어레이(50)가 반도체 다이의 인터커넥트층에 배치되는 실시형태에서, 예를 들면 전도성 라인(72)의 노출된 부분을 위에 있는 인터커넥트층의 인터커넥트(68)(도 1c 참조)에 연결하는 비아(vias)일 수 있다.The memory array 50 includes a plurality of vertically stacked conductive lines 72 (e.g., word lines) and a dielectric layer 62 is disposed between adjacent ones of the conductive lines 72. Conductive lines 72 extend in a direction D 1 parallel to the main surface of the underlying substrate (not explicitly shown in FIGS. 1A and 1B). Conductive line 72 may be a portion of the step structure such that lower conductive line 72 is longer than and extends laterally beyond the end point of upper conductive line 72. For example, as shown in Figure 1A, multiple stacked layers of conductive lines 72 are shown with the topmost conductive line 72 being the shortest and the bottommost conductive line 72 being the longest. The length of each conductive line 72 may increase in a direction toward the underlying substrate. In this manner, a portion of each conductive line 72 may be accessible from above the memory array 200 and a conductive contact 66 (see FIG. 1C ) may be placed on the exposed portion of each conductive line 72. can be formed. In embodiments where the memory array 50 is disposed in an interconnect layer of a semiconductor die, conductive contacts 66 may, for example, connect exposed portions of conductive lines 72 to interconnects 68 of the overlying interconnect layer (see Figure 1C). ) may be vias connecting to.

메모리 어레이(50)는 복수의 전도성 라인(64B)(예를 들어, 비트 라인) 및 전도성 라인(64S)(예를 들어, 소스 라인)을 더 포함한다. 전도성 라인(64B, 64S)은 각각 전도성 라인(72)에 수직인 방향(D3)으로 연장될 수 있다. 격리 영역(74)이 전도성 라인들(64B) 및 전도성 라인들(64S) 중 인접한 것들 사이에 배치되고 이들을 격리한다. 전도성 라인(64B, 64S)의 쌍들은 교차하는 전도성 라인(72)과 함께 각 메모리 셀(52)의 경계를 정의하고, 격리 영역(76)이 전도성 라인(64B, 64S)의 인접한 쌍들 사이에 배치되고 이들을 격리한다. 일부 실시형태에서, 전도성 라인(64S)은 접지에 전기적으로 결합된다. 도 1a는 전도성 라인(64S)에 대한 전도성 라인(64B)의 특정 배치를 도시하였지만, 다른 실시형태에서는 전도성 라인(64B, 64S)의 배치가 거꾸로 될 수 있다고 이해되어야 한다.Memory array 50 further includes a plurality of conductive lines 64B (e.g., bit lines) and conductive lines 64S (e.g., source lines). The conductive lines 64B and 64S may each extend in a direction D 3 perpendicular to the conductive line 72 . Isolation region 74 is disposed between and isolates adjacent ones of conductive lines 64B and 64S. Pairs of conductive lines 64B, 64S define the boundaries of each memory cell 52 with intersecting conductive lines 72, and an isolation region 76 is disposed between adjacent pairs of conductive lines 64B, 64S. and isolate them. In some embodiments, conductive line 64S is electrically coupled to ground. 1A illustrates a particular arrangement of conductive line 64B relative to conductive line 64S, it should be understood that in other embodiments the arrangement of conductive lines 64B and 64S may be reversed.

메모리 어레이(50)는 또한 반도체층(82)을 포함할 수 있다. 반도체층(82)은 메모리 셀(52)의 트랜지스터(54)를 위한 채널 영역을 제공할 수 있다. 예를 들어, 적절한 전압(예를 들어, 대응하는 트랜지스터(54)의 각각의 문턱 전압(threshold voltage)(Vth)보다 더 높은 전압)이 대응하는 전도성 라인(72)을 통해 인가될 때, 전도성 라인(72)과 교차하는 반도체층(82)의 영역은 전도성 라인(64B)으로부터 전도성 라인(64S)으로(예를 들어, 화살표(56)로 표시된 방향으로) 전류가 흐르는 것을 허용할 수 있다.Memory array 50 may also include a semiconductor layer 82. Semiconductor layer 82 may provide a channel region for transistor 54 of memory cell 52. For example, when an appropriate voltage (e.g., a voltage higher than the respective threshold voltage (V th ) of the corresponding transistor 54) is applied via the corresponding conductive line 72, the conduction The region of semiconductor layer 82 that intersects line 72 may allow current to flow from conductive line 64B to conductive line 64S (e.g., in the direction indicated by arrow 56).

메모리 필름(84)은 전도성 라인(72)과 반도체층(82) 사이에 배치되고, 메모리 필름(84)은 트랜지스터(54)를 위한 게이트 유전체를 제공할 수 있다. 일부 실시형태에서, 메모리 필름(84)은 하프늄 산화물, 하프늄 지르코늄 산화물, 실리콘 도핑된 하프늄 산화물 등과 같은 강유전체 물질(ferroelectric material)을 포함한다. 따라서, 메모리 어레이(50)는 강유전체 랜덤 액세스 메모리(FERAM) 어레이라고도 할 수 있다. 대안적으로, 메모리 필름(84)은 2개의 실리콘 산화물층 사이에 하나의 실리콘 질화물층(예를 들어, 산화물-질화물-산화물(ONO) 구조), 상이한 강유전체 물질, 상이한 유형의 메모리층(예를 들어, 비트를 저장할 수 있음) 등을 포함하는 다층 구조물일 수 있다.Memory film 84 is disposed between conductive line 72 and semiconductor layer 82, and memory film 84 may provide a gate dielectric for transistor 54. In some embodiments, memory film 84 includes a ferroelectric material, such as hafnium oxide, hafnium zirconium oxide, silicon doped hafnium oxide, etc. Accordingly, the memory array 50 may also be referred to as a ferroelectric random access memory (FERAM) array. Alternatively, the memory film 84 may include one silicon nitride layer between two silicon oxide layers (e.g., an oxide-nitride-oxide (ONO) structure), a different ferroelectric material, a different type of memory layer (e.g. For example, it may be a multi-layer structure including bits).

메모리 필름(84)이 강유전체 물질을 포함하는 실시형태에서, 메모리 필름(84)은 2개의 상이한 방향 중 하나로 분극(polarized)될 수 있고, 분극 방향은 메모리 필름(84)에 걸쳐 적절한 전압 디퍼렌셜(voltage differential)을 인가하여 적절한 전기장을 생성함으로써 변할 수 있다. 분극은 상대적으로 국지화될 수 있고(예를 들어, 일반적으로 메모리 셀(52)의 각 경계 내에 포함됨), 메모리 필름(84)의 연속적인 영역은 복수의 메모리 셀(52)을 가로질러 연장될 수 있다. 메모리 필름(84)의 특정 영역의 분극 방향에 따라, 대응하는 트랜지스터(54)의 문턱 전압이 변하고, 디지털 값(예를 들어, 0 또는 1)이 저장될 수 있다. 예를 들어, 메모리 필름(84)의 일 영역이 제1 전기 분극 방향(electrical polarization direction)을 갖는 경우, 대응하는 트랜지스터(54)는 상대적으로 낮은 문턱 전압을 가질 수 있고, 메모리 필름(84)의 상기 영역이 제2 전기 분극 방향을 갖는 경우 대응하는 트랜지스터(54)는 상대적으로 높은 문턱 전압을 가질 수 있다. 두 문턱 전압 사이의 차이는 문턱 전압 시프트(threshold voltage shift)로 지칭될 수 있다. 더 큰 문턱 전압 시프트는 대응하는 메모리 셀(52)에 저장된 디지털 값을 판독하는 것을 더 쉽게(예를 들어, 오류 발생 가능성이 적음) 만든다.In embodiments where the memory film 84 includes a ferroelectric material, the memory film 84 may be polarized in one of two different directions, with the polarization direction being determined by an appropriate voltage differential across the memory film 84. It can be changed by applying a differential to create an appropriate electric field. The polarization may be relatively localized (e.g., generally contained within each boundary of memory cells 52), and a continuous region of memory film 84 may extend across a plurality of memory cells 52. there is. Depending on the polarization direction of a specific region of the memory film 84, the threshold voltage of the corresponding transistor 54 changes, and a digital value (eg, 0 or 1) may be stored. For example, when one region of the memory film 84 has a first electrical polarization direction, the corresponding transistor 54 may have a relatively low threshold voltage, and the corresponding transistor 54 may have a relatively low threshold voltage of the memory film 84. When the region has a second electrical polarization direction, the corresponding transistor 54 may have a relatively high threshold voltage. The difference between two threshold voltages may be referred to as a threshold voltage shift. A larger threshold voltage shift makes it easier (e.g., less error-prone) to read the digital value stored in the corresponding memory cell 52.

이러한 실시형태에서 메모리 셀(52)에 대한 쓰기 동작을 수행하기 위해, 쓰기 전압(write voltage)이 메모리 셀(52)에 대응하는 메모리 필름(84)의 일 부분에 걸쳐 인가된다. 쓰기 전압은 예를 들면, 대응하는 전도성 라인(72)(예를 들어, 워드 라인) 및 대응하는 전도성 라인(64B, 64S)(예를 들어, 비트 라인/소스 라인)에 적절한 전압을 인가함으로써 인가될 수 있다. 메모리 필름(84)의 상기 부분에 걸쳐 쓰기 전압을 인가함으로써, 메모리 필름(84)의 상기 영역의 분극 방향이 변경될 수 있다. 결과적으로, 대응하는 트랜지스터(54)의 해당 문턱 전압은 로우(low) 문턱 전압에서 하이(high) 문턱 전압으로 또는 그 반대로 스위칭될 수 있고, 디지털 값이 메모리 셀(52)에 저장될 수 있다. 전도성 라인(72)이 전도성 라인(64B, 64S)을 교차하기 때문에, 개개의 메모리 셀(52)이 쓰기 동작을 위해 선택될 수 있다.To perform a write operation on memory cell 52 in this embodiment, a write voltage is applied over a portion of memory film 84 corresponding to memory cell 52. The write voltage is applied, for example, by applying an appropriate voltage to the corresponding conductive line 72 (e.g., word line) and the corresponding conductive line 64B, 64S (e.g., bit line/source line). It can be. By applying a write voltage across this portion of memory film 84, the polarization direction of this region of memory film 84 can be changed. As a result, the corresponding threshold voltage of the corresponding transistor 54 can be switched from a low threshold voltage to a high threshold voltage or vice versa, and a digital value can be stored in the memory cell 52. Because conductive line 72 intersects conductive lines 64B and 64S, individual memory cells 52 may be selected for a write operation.

이러한 실시형태에서 메모리 셀(52)에 대한 읽기 동작을 수행하기 위해, 읽기 전압(read voltage)(로우 문턱 전압과 하이 문턱 전압 사이의 전압)이 대응하는 전도성 라인(72)(예를 들어, 워드 라인)에 인가된다. 메모리 필름(84)의 대응하는 영역의 분극 방향에 따라, 메모리 셀(52)의 트랜지스터(54)는 턴온되거나 턴온되지 않을 수 있다. 그 결과, 전도성 라인(64B)은 전도성 라인(64S)(예를 들어, 접지에 결합된 소스 라인)을 통해 방전되거나 방전되지 않을 수 있고, 메모리 셀(52)에 저장된 디지털 값이 결정될 수 있다. 전도성 라인(72)이 전도성 라인(64B, 64S)과 교차하기 때문에, 개개의 메모리 셀(52)이 읽기 동작을 위해 선택될 수 있다.To perform a read operation on memory cell 52 in this embodiment, a read voltage (a voltage between a low threshold voltage and a high threshold voltage) is connected to the corresponding conductive line 72 (e.g., a word line). Depending on the polarization direction of the corresponding region of memory film 84, transistor 54 of memory cell 52 may or may not be turned on. As a result, conductive line 64B may or may not discharge through conductive line 64S (e.g., a source line coupled to ground), and the digital value stored in memory cell 52 may be determined. Because conductive line 72 intersects conductive lines 64B and 64S, individual memory cells 52 may be selected for a read operation.

도 1a는 이후의 도면들에서 사용되는 메모리 어레이(50)의 참조 단면들을 더 도시한다. 참조 단면 B-B'는 전도성 라인(72)의 길이방향 축을 따라, 그리고 방향(D1), 예를 들면 트랜지스터(54)의 전류 흐름 방향에 평행하게 있다. 단면 C-C'는 단면 B-B'에 수직이고 방향(D2), 예를 들면 전도성 라인(72)의 길이방향 축에 수직이다. 후속 도면들은 명확성을 위해 이들 참조 단면을 참조한다.Figure 1A further shows reference cross-sections of memory array 50 used in subsequent figures. The reference cross section B-B' lies along the longitudinal axis of the conductive line 72 and parallel to the direction D 1 , for example the direction of current flow in the transistor 54 . Section C-C' is perpendicular to section B-B' and is perpendicular to the direction D 2 , for example the longitudinal axis of the conductive line 72 . The subsequent drawings refer to these reference sections for clarity.

도 2 내지 19c는 일부 실시형태에 따른 메모리 어레이(50)의 제조에서 중간 단계의 도면이다. 메모리 어레이(50)의 각 메모리 셀(52)은 트랜지스터(54)를 포함한다(도 19b 및 19c 참조). 도 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18 및 19a는 3차원 도면이다. 도 19b는 도 1a의 참조 단면 B-B'를 따라 도시된 단면도이다. 도 19c는 도 1a의 참조 단면 C-C'를 따라 도시된 단면도이다. 메모리 어레이(50)의 일 부분이 도시되어 있다. 워드 라인의 계단 배열(도 1a 참조)과 같은 일부 피처는 설명의 명확성을 위해 일부 도면에는 도시되어 있지 않다.2-19C are diagrams of intermediate steps in the fabrication of memory array 50 according to some embodiments. Each memory cell 52 of memory array 50 includes a transistor 54 (see FIGS. 19B and 19C). Figures 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18 and 19a are three-dimensional drawings. FIG. 19B is a cross-sectional view taken along reference cross section B-B' of FIG. 1A. FIG. 19C is a cross-sectional view taken along reference cross-section C-C' of FIG. 1A. A portion of memory array 50 is shown. Some features, such as the staircase arrangement of word lines (see Figure 1A), are not shown in some figures for clarity of explanation.

도 2에서, 기판(102)이 제공된다. 기판(102)은 벌크 반도체, 세미컨덕터-온-인슐레이터(semiconductor-on-insulator, SOI) 기판 등과 같은 반도체 기판일 수 있고, (예를 들어, p-타입 또는 n-타입 도펀트로) 도핑되거나 도핑되지 않을 수 있다. 기판(102)은 실리콘 웨이퍼와 같은 웨이퍼일 수 있다. 일반적으로 SOI 기판은 절연체층 상에 형성된 반도체 물질의 층이다. 상기 절연체층은 예를 들어, 매립 산화물(buried oxide, BOX)층, 실리콘 산화물층 등일 수 있다. 절연체층은 기판, 일반적으로 실리콘 또는 유리 기판 상에 제공된다. 다층(multi-layered) 또는 그래디언트(gradient) 기판과 같은 다른 기판도 사용될 수 있다. 일부 실시형태에서, 기판(102)의 반도체 물질은 실리콘; 게르마늄; 실리콘 탄화물, 갈륨 비화물, 갈륨 인화물, 인듐 인화물, 인듐 비화물 및/또는 인듐 안티몬화물을 포함하는 화합물 반도체; 실리콘 게르마늄, 갈륨 비화물 인화물, 알루미늄 인듐 비화물, 알루미늄 갈륨 비화물, 갈륨 인듐 비화물, 갈륨 인듐 인화물 및/또는 갈륨 인듐 비화물 인화물을 포함하는 혼정 반도체; 또는 이들의 조합을 포함할 수 있다. 기판(102)은 유전체 물질을 포함할 수 있다. 예를 들어, 기판(102)은 유전체층일 수 있거나, 반도체 기판 상에 유전체층을 포함할 수 있다. 기판(102)에 허용되는 유전체 물질은 실리콘 산화물 또는 알루미늄 산화물과 같은 산화물; 실리콘 질화물과 같은 질화물; 실리콘 탄화물과 같은 탄화물; 기타; 또는 실리콘 산화질화물, 실리콘 산화탄화물, 실리콘 탄화질화물, 실리콘 산화탄화질화물 등과 같은 이들의 조합을 포함한다. 일부 실시형태에서, 기판(102)은 실리콘 탄화물로 형성된다.In Figure 2, a substrate 102 is provided. Substrate 102 may be a semiconductor substrate, such as a bulk semiconductor, semiconductor-on-insulator (SOI) substrate, etc., and may be doped (e.g., with a p-type or n-type dopant) or doped. It may not work. Substrate 102 may be a wafer, such as a silicon wafer. Typically, an SOI substrate is a layer of semiconductor material formed on an insulator layer. For example, the insulating layer may be a buried oxide (BOX) layer, a silicon oxide layer, or the like. The insulator layer is provided on a substrate, typically a silicon or glass substrate. Other substrates such as multi-layered or gradient substrates may also be used. In some embodiments, the semiconductor material of substrate 102 is silicon; germanium; compound semiconductors including silicon carbide, gallium arsenide, gallium phosphide, indium phosphide, indium arsenide and/or indium antimonide; mixed crystal semiconductors including silicon germanium, gallium arsenide phosphide, aluminum indium arsenide, aluminum gallium arsenide, gallium indium arsenide, gallium indium phosphide and/or gallium indium arsenide phosphide; Or it may include a combination thereof. Substrate 102 may include dielectric material. For example, substrate 102 may be a dielectric layer or may include a dielectric layer on a semiconductor substrate. Acceptable dielectric materials for substrate 102 include oxides such as silicon oxide or aluminum oxide; nitrides such as silicon nitride; carbides such as silicon carbide; etc; or combinations thereof such as silicon oxynitride, silicon oxycarbide, silicon carbonitride, silicon oxycarbonitride, etc. In some embodiments, substrate 102 is formed of silicon carbide.

다층 스택(104)이 기판(102) 위에 형성된다. 다층 스택(104)은 교번하는(alternating) 유전체층(106) 및 희생층(108)을 포함한다. 유전체층(106)은 제1 유전체 물질로 형성되고, 희생층(108)은 제2 유전체 물질로 형성된다. 유전체 물질은 기판(102)의 후보 유전체 물질로부터 각각 선택될 수 있다. 다층 스택(104)은 후속 처리에서 패터닝될 것이다. 따라서, 유전체층(106) 및 희생층(108)의 유전체 물질은 모두 기판(102) 물질의 에칭으로부터 높은 에칭 선택비(etching selectivity)를 갖는다. 패터닝된 유전체층(106)은 후속적으로 형성되는 트랜지스터들을 분리하는 데 사용될 것이다. 패터닝된 희생층(108)은 또한 더미(dummy)층으로도 지칭될 수 있고, 후속 처리에서 트랜지스터에 대한 워드 라인으로 선택적으로 대체될 것이다. 따라서, 희생층(108)의 제2 유전체 물질은 또한 유전체층(106)의 제1 유전체 물질의 에칭으로부터 높은 에칭 선택비를 갖는다. 기판(102)이 실리콘 탄화물로 형성되는 실시형태에서, 유전체층(106)은 실리콘 산화물로 형성되고, 희생층(108)은 실리콘 질화물로 형성될 수 있다. 서로 허용 가능한 에칭 선택비를 갖는 유전체 물질의 다른 조합이 사용될 수도 있다.A multilayer stack 104 is formed over substrate 102 . Multilayer stack 104 includes alternating dielectric layers 106 and sacrificial layers 108. The dielectric layer 106 is formed of a first dielectric material, and the sacrificial layer 108 is formed of a second dielectric material. The dielectric material may each be selected from candidate dielectric materials for substrate 102. Multilayer stack 104 will be patterned in subsequent processing. Accordingly, the dielectric materials of dielectric layer 106 and sacrificial layer 108 both have high etching selectivity from etching of substrate 102 material. Patterned dielectric layer 106 will be used to isolate subsequently formed transistors. The patterned sacrificial layer 108 may also be referred to as a dummy layer and will optionally be replaced with a word line for the transistor in subsequent processing. Accordingly, the second dielectric material of sacrificial layer 108 also has a high etch selectivity from etching the first dielectric material of dielectric layer 106. In embodiments where substrate 102 is formed of silicon carbide, dielectric layer 106 may be formed of silicon oxide and sacrificial layer 108 may be formed of silicon nitride. Other combinations of dielectric materials with mutually acceptable etch selectivity may also be used.

다층 스택(104)의 각 층은 화학적 기상 증착(CVD), 원자층 퇴적(ALD) 등과 같은 허용 가능한 퇴적 프로세스에 의해 형성될 수 있다. 각 층의 두께는 약 40 nm 내지 약 50 nm 범위일 수 있다. 일부 실시형태에서, 유전체층(106)은 희생층(108)과 상이한 두께로 형성된다. 예를 들어, 희생층(108)은 유전체층(106)보다 더 두꺼운 두께로 형성될 수 있다. 도시된 실시형태에서, 다층 스택(104)은 5개의 유전체층(106) 및 4개의 희생층(108)을 포함한다. 다층 스택(104)이 다른 수량의 유전체층(106) 및 희생층(108)을 포함할 수 있는 것이 이해될 것이다. 다층 스택(104)은 약 1000 nm 내지 약 10000 nm 범위의 전체 높이(H1)를 가질 수 있다. Each layer of multilayer stack 104 may be formed by any acceptable deposition process, such as chemical vapor deposition (CVD), atomic layer deposition (ALD), etc. The thickness of each layer may range from about 40 nm to about 50 nm. In some embodiments, dielectric layer 106 is formed at a different thickness than sacrificial layer 108. For example, the sacrificial layer 108 may be formed to be thicker than the dielectric layer 106. In the depicted embodiment, multilayer stack 104 includes five dielectric layers 106 and four sacrificial layers 108. It will be appreciated that the multilayer stack 104 may include different quantities of dielectric layers 106 and sacrificial layers 108. Multilayer stack 104 may have an overall height H 1 ranging from about 1000 nm to about 10000 nm.

아래에서 더 상세히 논의되는 바와 같이, 도 3 내지 10은 트랜지스터의 일부 피처를 형성하기 위해 다중-패터닝 프로세스가 사용되는 프로세스를 도시한다. 상기 다중-패터닝 프로세스는 2중 패터닝 프로세스, 4중 패터닝 프로세스 등일 수 있다. 도 3 내지 10은 2중 패터닝 프로세스를 예시한다. 2중 패터닝 프로세스에서, 트렌치(110A)(도 3 참조)가 제1 에칭 프로세스로 다층 스택(104)의 부분에 패터닝되고, 트랜지스터의 제1 서브세트를 위한 피처가 상기 트렌치(110A)에 형성된다. 그 다음 트렌치(110B)(도 7 참조)가 제2 에칭 프로세스로 다층 스택(104)의 다른 부분에 패터닝되고, 트랜지스터의 제2 서브세트를 위한 피처가 트렌치(110B)에 형성된다. 다중-패터닝 프로세스로 트랜지스터의 피처를 형성하는 것은 각 패터닝 프로세스가 낮은 패턴 밀도로 수행되는 것을 허용하며, 이는 메모리 어레이(50)가 충분한 메모리 셀 밀도를 갖도록 여전히 허용하면서 결함을 줄이는 데 도움이 될 수 있다. 또한, 다중-패터닝 프로세스로 트랜지스터의 피처를 형성하는 것은 다층 스택(104)의 각각의 패터닝된 부분이 지나치게 큰 종횡비(aspect ratio)를 갖는 것을 방지하여 결과적인 메모리 어레이의 구조적 안정성을 개선할 수 있게 해준다. 아래에서 더 자세히 논의되는 바와 같이(도 25 내지 27 참조), 트랜지스터의 일부 피처를 형성하기 위해 단일 패터닝 프로세스가 사용될 수도 있다.As discussed in more detail below, Figures 3-10 illustrate a process in which a multi-patterning process is used to form some features of a transistor. The multi-patterning process may be a double patterning process, a quadruple patterning process, etc. 3-10 illustrate a double patterning process. In a double patterning process, trenches 110A (see Figure 3) are patterned in a portion of multilayer stack 104 with a first etch process, and features for a first subset of transistors are formed in trenches 110A. . Trench 110B (see FIG. 7) is then patterned in another portion of multilayer stack 104 with a second etch process, and features for a second subset of transistors are formed in trench 110B. Forming the features of the transistor with a multi-patterning process allows each patterning process to be performed at a low pattern density, which can help reduce defects while still allowing the memory array 50 to have sufficient memory cell density. there is. Additionally, forming the transistor features with a multi-patterning process can prevent each patterned portion of the multilayer stack 104 from having an excessively large aspect ratio, thereby improving the structural stability of the resulting memory array. I do it. As discussed in more detail below (see FIGS. 25-27), a single patterning process may be used to form some features of the transistor.

도 3에서, 트렌치(110A)가 다층 스택(104)에 패터닝된다. 예시된 실시형태에서, 트렌치(110A)는 다층 스택(104)을 통해 연장되고 기판(102)을 노출한다. 다른 실시형태에서, 트렌치(110A)는 다층 스택(104)의 일부 층을 통해 연장되지만 모든 층을 통해 연장되지는 않는다. 트렌치(110A)는 허용 가능한 포토리소그래피 및 예를 들면 다층 스택(104)에 대해 선택적인 에칭 프로세스(예를 들어, 유전체층(106) 및 희생층(108)의 유전체 물질을 기판(102)의 물질보다 빠른 속도로 선택적으로 제거함)와 같은 에칭 기술을 사용하여 패터닝될 수 있다. 에칭은 반응성 이온 에칭(reactive ion etch, RIE), 중성 빔 에칭(neutral beam etch, NBE) 등 또는 이들의 조합과 같은 임의의 허용 가능한 에칭 프로세스일 수 있다. 에칭은 이방성(anisotropic)일 수 있다. 기판(102)이 실리콘 탄화물로 형성되고, 유전체층(106)이 실리콘 산화물로 형성되고, 희생층(108)이 실리콘 질화물로 형성되는 실시형태에서, 트렌치(110A)는 수소(H2) 또는 산소(O2) 가스와 혼합된 불소계 가스(예: C4F6)를 사용하는 건식 에칭에 의해 형성될 수 있다. 패터닝 후에, 다층 스택(104)의 각각의 부분들은 각각의 트렌치들(110A)의 사이에 배치된다. 다층 스택(104)의 각 부분은 제2 방향(D2)으로 폭(W1)을 가지며(도 1a 및 1b 참조), 이는 약 50 nm 내지 약 500 nm 범위일 수 있다. 또한, 다층 스택(104)의 각 부분은 제2 방향(D2)으로 이격 거리(S1)만큼 분리되어 있고, 이는 약 50 nm 내지 약 200 nm 범위에 있을 수 있다.In Figure 3, trenches 110A are patterned in multilayer stack 104. In the illustrated embodiment, trench 110A extends through multilayer stack 104 and exposes substrate 102. In another embodiment, trench 110A extends through some but not all layers of multilayer stack 104. Trench 110A may be formed by an acceptable photolithography and etching process, e.g., selective for multilayer stack 104 (e.g., by removing the dielectric material of dielectric layer 106 and sacrificial layer 108 over the material of substrate 102). They can be patterned using etching techniques such as selective removal at high speeds. The etching may be any acceptable etching process such as reactive ion etch (RIE), neutral beam etch (NBE), etc., or a combination thereof. The etching may be anisotropic. In embodiments in which substrate 102 is formed of silicon carbide, dielectric layer 106 is formed of silicon oxide, and sacrificial layer 108 is formed of silicon nitride, trench 110A is formed of hydrogen (H 2 ) or oxygen ( It can be formed by dry etching using a fluorine-based gas (eg, C 4 F 6 ) mixed with O 2 ) gas. After patterning, each portion of multilayer stack 104 is placed between respective trenches 110A. Each portion of the multilayer stack 104 has a width W 1 in the second direction D 2 (see FIGS. 1A and 1B), which may range from about 50 nm to about 500 nm. Additionally, each portion of the multilayer stack 104 is separated by a separation distance S 1 in the second direction D 2 , which may range from about 50 nm to about 200 nm.

도 4에서, 트렌치(110A)는 측벽 리세스(112A)를 형성하도록 확장된다. 구체적으로, 트렌치(110A)에 의해 노출된 희생층(108)의 측벽 부분은 트렌치(110A)에 의해 노출된 유전체층(106)의 측벽 부분으로부터 리세스되어 측벽 리세스(112A)를 형성한다. 희생층(108)의 측벽이 일직선인 것으로 도시되어 있지만, 측벽은 오목하거나 볼록할 수 있다. 측벽 리세스(112A)는 희생층(108)의 물질에 대해 선택적인 것(예를 들어, 희생층(108)의 물질을 유전체층(106) 및 기판(102)의 물질(들)보다 빠른 속도로 선택적으로 제거함)과 같은 허용 가능한 에칭 프로세스에 의해 형성될 수 있다. 에칭은 등방성일 수 있다. 기판(102)이 실리콘 탄화물로 형성되고, 유전체층(106)이 실리콘 산화물로 형성되고, 희생층(108)이 실리콘 질화물로 형성되는 실시형태에서, 트렌치(110A)는 인산(H3PO4)을 사용하는 습식 에칭에 의해 확장될 수 있다. 다른 실시형태에서, 희생층(108)의 물질에 선택적인 건식 에칭이 사용될 수 있다.In Figure 4, trench 110A is expanded to form sidewall recess 112A. Specifically, the sidewall portion of the sacrificial layer 108 exposed by the trench 110A is recessed from the sidewall portion of the dielectric layer 106 exposed by the trench 110A to form the sidewall recess 112A. Although the sidewalls of sacrificial layer 108 are shown as being straight, the sidewalls may be concave or convex. Sidewall recess 112A is selective to the material of sacrificial layer 108 (e.g., moves the material of sacrificial layer 108 at a faster rate than the material(s) of dielectric layer 106 and substrate 102. can be formed by an acceptable etching process, such as selective removal). The etching may be isotropic. In an embodiment in which substrate 102 is formed of silicon carbide, dielectric layer 106 is formed of silicon oxide, and sacrificial layer 108 is formed of silicon nitride, trench 110A is formed of phosphoric acid (H 3 PO 4 ). It can be expanded by using wet etching. In other embodiments, selective dry etching of the material of sacrificial layer 108 may be used.

형성 후, 측벽 리세스(112A)는 제2 방향(D2)(도 1a 및 1b 참조)으로 깊이(D4)를 가지며, 유전체층(106)의 측벽을 지나 연장된다. 측벽 리세스(112A)가 원하는 깊이(D4)에 도달한 후 측벽 리세스(112A)의 에칭을 중지하기 위해 시간제한 에칭(timed etch) 프로세스가 사용될 수 있다. 예를 들어, 측벽 리세스(112A)는 약 10 nm 내지 약 60 nm 범위의 깊이(D4)를 가질 수 있다. 측벽 리세스(112A)를 형성하는 것은 희생층(108)의 폭을 약 5 % 내지 약 25 % 감소시킬 수 있다. 이전 실시예에 연속하여, 에칭 후에 희생층(108)은 제2 방향(D2)으로 폭(W2)을 가질 수 있으며, 이는 약 50 nm 내지 약 450 nm 범위 내 일 수 있다.After formation, sidewall recess 112A has a depth D 4 in the second direction D 2 (see FIGS. 1A and 1B ) and extends past the sidewall of dielectric layer 106 . A timed etch process may be used to stop etching of sidewall recess 112A after sidewall recess 112A reaches a desired depth D 4 . For example, sidewall recess 112A may have a depth D 4 ranging from about 10 nm to about 60 nm. Forming sidewall recess 112A may reduce the width of sacrificial layer 108 by about 5% to about 25%. Continuing with the previous embodiment, after etching, the sacrificial layer 108 may have a width W 2 in the second direction D 2 , which may range from about 50 nm to about 450 nm.

도 5에서, 전도성 피처(114A)(예를 들어, 금속 라인)가 측벽 리세스(112A)에 형성되어, 희생층(108)의 제1 부분을 대체하기 위한 프로세스를 완료한다. 전도성 피처(114A)는 각각 시드(seed)층, 접착제(glue)층, 배리어(barrier)층, 확산(diffusion)층, 충전(fill)층 등과 같은 하나 이상의 층을 포함할 수 있다. 일부 실시형태에서, 전도성 피처(114A)는 각각 시드층(114AS)(또는 배리어층) 및 메인층(114AM)을 포함한다. 각각의 시드층(114AS)은 해당 측벽 리세스(112A) 내에 위치된 대응하는 메인층(114AM)의 3개 측면(예를 들어, 상부 표면, 측벽 및 하부 표면)을 따라 연장된다. 시드층(114AS)은 티타늄 질화물, 탄탈룸 질화물, 몰리브데넘 질화물, 지르코늄 질화물, 하프늄 질화물 등과 같은 금속 질화물과 같이, 후속 퇴적된 물질의 성장을 돕거나 접착을 돕기 위해 사용될 수 있는 제1 전도성 물질로 형성된다. 메인층(114AM)은 텅스텐, 루테늄, 몰리브데넘, 코발트, 알루미늄, 니켈, 구리, 은, 금, 이들의 합금 등과 같은 금속과 같이, 제2 전도성 물질로 형성될 수 있다. 시드층(114AS)의 물질은 유전체층(106)의 물질에 대해 양호한 접착성을 갖는 물질이고, 메인층(114AM)의 물질은 시드층(114AS)의 물질에 대해 양호한 접착성을 갖는 물질이다. 유전체층(106)이 실리콘 산화물과 같은 산화물로 형성되는 실시형태에서, 시드층(114AS)은 티타늄 질화물 또는 탄탈룸 질화물로 형성될 수 있고, 메인층(114AM)은 텅스텐으로 형성될 수 있다. 시드층(114AS) 및 메인층(114AM)의 물질은 화학적 기상 증착(CVD), 원자층 퇴적(ALD) 등과 같은 허용 가능한 퇴적 프로세스에 의해 형성될 수 있다. 건식 에칭(예를 들어, 반응성 이온 에칭(reactive ion etch, RIE), 중성 빔 에칭(neutral beam etch, NBE) 등), 습식 에칭 등 또는 이들의 조합과 같은 허용 가능한 에칭 프로세스가, 유전체층(106)의 측벽 및 기판(102)의 상부 표면으로부터 과잉 물질을 제거하기 위해 수행될 수 있다. 상기 에칭은 이방성일 수 있다. 각각의 전도성 피처(114A)는 희생층(108)과 유사한 전체 두께를 가질 수 있고(도 2에 대해 위에서 설명됨), 측벽 리세스(112A)의 깊이(D4)와 유사한 전체 폭을 가질 수 있다(도 4에 대해 위에서 설명됨). 각각의 시드층(114AS)은 약 1 nm 내지 약 10 nm 범위의 두께를 가질 수 있고, 각각의 메인층(114AM)은 약 15 nm 내지 약 35 nm 범위의 두께를 가질 수 있으며, 시드층(114AS)의 두께는 메인층(114AM)의 두께보다 더 작다.5, a conductive feature 114A (e.g., a metal line) is formed in sidewall recess 112A, completing the process to replace the first portion of sacrificial layer 108. Each conductive feature 114A may include one or more layers, such as a seed layer, glue layer, barrier layer, diffusion layer, fill layer, etc. In some embodiments, conductive features 114A include seed layer 114A S (or barrier layer) and main layer 114A M , respectively. Each seed layer 114A S extends along three sides (eg, top surface, side wall, and bottom surface) of a corresponding main layer 114A M located within a corresponding sidewall recess 112A. The seed layer 114A S is a first conductive material that can be used to aid the growth or adhesion of a subsequently deposited material, such as a metal nitride such as titanium nitride, tantalum nitride, molybdenum nitride, zirconium nitride, hafnium nitride, etc. is formed by The main layer 114A M may be formed of a second conductive material, such as a metal such as tungsten, ruthenium, molybdenum, cobalt, aluminum, nickel, copper, silver, gold, and alloys thereof. The material of the seed layer 114A S is a material having good adhesion to the material of the dielectric layer 106, and the material of the main layer 114A M is a material having good adhesion to the material of the seed layer 114A S. am. In embodiments where dielectric layer 106 is formed of an oxide, such as silicon oxide, seed layer 114A S may be formed of titanium nitride or tantalum nitride, and main layer 114A M may be formed of tungsten. The materials of seed layer 114A S and main layer 114A M may be formed by any acceptable deposition process, such as chemical vapor deposition (CVD), atomic layer deposition (ALD), etc. An acceptable etch process, such as dry etch (e.g., reactive ion etch (RIE), neutral beam etch (NBE), etc.), wet etch, etc., or a combination thereof, may be used to form the dielectric layer 106. This may be performed to remove excess material from the sidewalls and top surface of the substrate 102. The etching may be anisotropic. Each conductive feature 114A may have an overall thickness similar to sacrificial layer 108 (described above with respect to FIG. 2) and an overall width similar to the depth D 4 of sidewall recess 112A. (described above for Figure 4). Each seed layer 114A S may have a thickness ranging from about 1 nm to about 10 nm, and each main layer 114A M may have a thickness ranging from about 15 nm to about 35 nm, and the seed layer The thickness of (114A S ) is smaller than the thickness of the main layer (114A M ).

도 6에서, 메모리 필름(116A), 반도체층(118A), 백 게이트 격리물(back gate isolators)(120A) 및 격리 영역(122A)이 트렌치(110A)에 형성된다. 반도체층(118A) 및 백 게이트 격리물(120A)은 메모리 필름(116A) 위에 형성된다. 격리 영역(122A)은 반도체층(118A)을 통하여 연장되어, 방향(D2)을 따라 수평으로 인접한 트랜지스터의 반도체층(118A)을 분리한다(도 1a 및 1b 참조). 도시된 실시형태에서, 격리 영역(122A)은 메모리 필름(116A) 위에 형성된다. 다른 실시형태에서, 격리 영역(122A)은 또한 메모리 필름(116A) 및 기판(102)을 통하여 연장되어, 방향(D2)을 따라 수평으로 인접한 트랜지스터의 메모리 필름(116A)을 분리한다.In Figure 6, memory film 116A, semiconductor layer 118A, back gate isolators 120A, and isolation region 122A are formed in trench 110A. Semiconductor layer 118A and back gate isolator 120A are formed over memory film 116A. Isolation region 122A extends through semiconductor layer 118A, separating semiconductor layers 118A of horizontally adjacent transistors along direction D 2 (see FIGS. 1A and 1B). In the depicted embodiment, isolation region 122A is formed over memory film 116A. In another embodiment, isolation region 122A also extends through memory film 116A and substrate 102 to separate memory films 116A of horizontally adjacent transistors along direction D 2 .

메모리 필름(116A)의 부분들은 트랜지스터를 위한 데이터 저장층을 제공하고 반도체층(118A)의 부분들은 트랜지스터를 위한 채널 영역을 제공한다. 아래에서 더 상세히 설명되는 바와 같이, 백 게이트 격리물(120A)은 패터닝되고 트랜지스터의 T형 소스/드레인 영역을 형성하는 것을 도모하기 위해 사용될 것이다. T형 소스/드레인 영역은 메인 영역과 확장 영역을 갖는다. 백 게이트 격리물(120A)은 메인 소스/드레인 영역이 반도체층(118A)과 접촉할 수 있도록 패터닝되지만, 소스/드레인 확장 영역은 채널 영역을 제공하는 반도체층(118A)의 부분과 분리되어 채널 영역의 단락(shorting)을 방지한다. 소스/드레인 확장 영역은 쓰기 동작 동안 반도체층(118)(특히, 워드 라인(114) 말단에 있는(distal) 반도체층(118) 부분)의 표면 전위를 제어(예를 들어, 감소)하는 것을 도모하는 백 게이트로서 작용할 수 있다. 따라서 쓰기 작업을 위한 윈도우(window)가 넓어질 수 있다.Portions of memory film 116A provide a data storage layer for the transistor and portions of semiconductor layer 118A provide a channel region for the transistor. As described in more detail below, back gate isolation 120A will be patterned and used to facilitate forming the T-shaped source/drain regions of the transistor. The T-type source/drain area has a main area and an extended area. Back gate isolator 120A is patterned such that the main source/drain region is in contact with semiconductor layer 118A, but the source/drain extension region is separate from the portion of semiconductor layer 118A that provides the channel region. Prevents shorting. The source/drain extension regions serve to control (e.g., reduce) the surface potential of the semiconductor layer 118 (particularly the portion of the semiconductor layer 118 distal to the word line 114) during a write operation. It can act as a back gate. Therefore, the window for writing operations can be widened.

메모리 필름(116A)은 디지털 값을 저장하기 위해 허용 가능한 물질로 형성된다. 일부 실시형태에서, 메모리 필름(116A)은 하프늄 지르코늄 산화물(HfZrO); 지르코늄 산화물(ZrO); 란타넘(La), 실리콘(Si), 알루미늄(Al) 등으로 도핑된 하프늄 산화물(HfO); 도핑되지 않은 하프늄 산화물(HfO); 기타와 같은 고-유전상수(high-k) 강유전체 물질로 형성된다. 일부 실시형태에서, 메모리 필름(116A)은 실리콘 질화물, 실리콘 산화물, 실리콘 산화질화물 등과 같은 하나 이상의 저-유전상수(low-k) 유전체 물질을 포함한다. 메모리 필름(116A)의 물질은 ALD, CVD, 물리적 기상 증착(PVD) 등과 같은 허용 가능한 퇴적 프로세스에 의해 형성될 수 있다. 일부 실시형태에서, 메모리 필름(116A)은 ALD에 의해 퇴적된 HfZrO로 형성된다.Memory film 116A is formed of a material acceptable for storing digital values. In some embodiments, memory film 116A is made of hafnium zirconium oxide (HfZrO); zirconium oxide (ZrO); Hafnium oxide (HfO) doped with lanthanum (La), silicon (Si), aluminum (Al), etc.; Undoped hafnium oxide (HfO); It is formed of high-k ferroelectric materials such as others. In some embodiments, memory film 116A includes one or more low-k dielectric materials such as silicon nitride, silicon oxide, silicon oxynitride, etc. The material of memory film 116A may be formed by any acceptable deposition process such as ALD, CVD, physical vapor deposition (PVD), etc. In some embodiments, memory film 116A is formed from HfZrO deposited by ALD.

반도체층(118A)은 인듐 갈륨 아연 산화물(indium gallium zinc oxide, IGZO), 인듐 주석 산화물(indium tin oxide, ITO), 인듐 갈륨 아연 주석 산화물(indium gallium zinc tin oxide, IGZTO), 아연 산화물(ZnO), 폴리실리콘, 비정질 실리콘 등과 같은 트랜지스터를 위한 채널 영역을 제공하기 위해 허용 가능한 물질로 형성된다. 반도체층(118A)의 물질은 ALD, CVD, PVD 등과 같은 허용 가능한 퇴적 프로세스에 의해 형성될 수 있다. 일부 실시형태에서, 반도체층(118A)은 ALD에 의해 퇴적된 IGZTO로 형성된다.The semiconductor layer 118A is made of indium gallium zinc oxide (IGZO), indium tin oxide (ITO), indium gallium zinc tin oxide (IGZTO), and zinc oxide (ZnO). , formed of an acceptable material to provide a channel region for a transistor, such as polysilicon, amorphous silicon, etc. The material of semiconductor layer 118A may be formed by any acceptable deposition process such as ALD, CVD, PVD, etc. In some embodiments, semiconductor layer 118A is formed from IGZTO deposited by ALD.

백 게이트 격리물(120A)은 채널 영역을 제공하는 반도체층(118A)의 부분으로부터 후속적으로 형성되는 소스/드레인 확장 영역을 전기적으로 절연하기 위해 허용 가능한 물질로 형성된다. 일부 실시형태에서, 백 게이트 격리물(120A)은 유전체 물질로 형성된다. 백 게이트 격리물(120A)에 허용되는 유전체 물질은 실리콘 산화물 또는 알루미늄 산화물과 같은 산화물; 실리콘 질화물과 같은 질화물; 실리콘 탄화물과 같은 탄화물; 기타; 또는 실리콘 산화질화물, 실리콘 산화탄화물, 실리콘 탄화질화물, 실리콘 산화탄화질화물 등과 같은 이들의 조합을 포함한다. 백 게이트 격리물(120A)의 물질은 ALD, CVD, 유동성(flowable) CVD(FCVD) 등과 같은 허용 가능한 퇴적 공정에 의해 형성될 수 있다. 일부 실시형태에서, 백 게이트 격리물(120A)은 ALD에 의해 퇴적된 알루미늄 산화물과 같은 산화물로 형성된다.Back gate isolation 120A is formed of an acceptable material to electrically isolate the subsequently formed source/drain extension region from the portion of semiconductor layer 118A that provides the channel region. In some embodiments, back gate isolation 120A is formed of a dielectric material. Acceptable dielectric materials for back gate isolation 120A include oxides such as silicon oxide or aluminum oxide; nitrides such as silicon nitride; carbides such as silicon carbide; etc; or combinations thereof such as silicon oxynitride, silicon oxycarbide, silicon carbonitride, silicon oxycarbonitride, etc. The material of back gate isolation 120A may be formed by any acceptable deposition process such as ALD, CVD, flowable CVD (FCVD), etc. In some embodiments, back gate isolation 120A is formed of an oxide, such as aluminum oxide, deposited by ALD.

격리 영역(122A)은 하부의 메모리 필름(116A)을 보호하고 전기적으로 절연하기 위해 허용 가능한 물질로 형성된다. 격리 영역(122A)에 허용되는 유전체 물질은 실리콘 산화물 또는 알루미늄 산화물과 같은 산화물; 실리콘 질화물과 같은 질화물; 실리콘 탄화물과 같은 탄화물; 기타; 또는 실리콘 산화질화물, 실리콘 산화탄화물, 실리콘 탄화질화물, 실리콘 산화탄화질화물 등과 같은 이들의 조합을 포함한다. 격리 영역(122)의 물질은 ALD, CVD, 유동성 CVD(FCVD) 등과 같은 허용 가능한 퇴적 공정에 의해 형성될 수 있다. 격리 영역(122A) 및 백 게이트 격리물(120A)은 격리 영역(122A)의 물질이 백 게이트 격리물(120A) 물질의 에칭으로부터 높은 에칭 선택비를 갖도록 서로 다른 유전체 물질로 형성된다. 일부 실시형태에서, 격리 영역(122A)은 FCVD에 의해 퇴적된 실리콘 산화물과 같은 산화물로 형성된다.Isolation area 122A is formed of an acceptable material to protect and electrically insulate the underlying memory film 116A. Allowable dielectric materials for isolation region 122A include oxides such as silicon oxide or aluminum oxide; nitrides such as silicon nitride; carbides such as silicon carbide; etc; or combinations thereof such as silicon oxynitride, silicon oxycarbide, silicon carbonitride, silicon oxycarbonitride, etc. The material of isolation region 122 may be formed by any acceptable deposition process such as ALD, CVD, flowable CVD (FCVD), etc. Isolation region 122A and back gate isolation 120A are formed of different dielectric materials such that the material of isolation region 122A has a high etch selectivity from etching of back gate isolation 120A material. In some embodiments, isolation region 122A is formed of an oxide, such as silicon oxide deposited by FCVD.

메모리 필름(116A), 반도체층(118A), 백 게이트 격리물(120A) 및 격리 영역(122A)은 퇴적, 에칭 및 평탄화의 조합에 의해 형성될 수 있다. 예를 들어, 터널링층이 다층 스택(104) 상에 및 트렌치(110A) 내에(예를 들어, 전도성 피처(114A)의 측벽 및 유전체층(106)의 측벽 상에) 컨포멀하게(conformally) 퇴적된다. 그 다음 반도체층이 상기 터널링층 상에 컨포멀하게 퇴적될 수 있다. 그 다음 유전체층이 상기 반도체층 상에 컨포멀하게 퇴적될 수 있다. 그 다음, 유전체층은 에칭 정지층으로 상기 터널링층을 사용하는 이방성 에칭과 같이, 적절한 에칭 프로세스에 의해 패터닝될 수 있다. 그 다음, 반도체층은 에칭 마스크로 상기 패터닝된 유전체층을 사용하는 이방성 에칭과 같이, 적절한 에칭 프로세스에 의해 패터닝될 수 있다. 그 다음, 절연 물질이 트렌치(110A)의 나머지 부분에(예를 들어, 상기 패터닝된 반도체층, 패터닝된 유전체층 및 터널링층의 노출된 부분 상에) 컨포멀하게 퇴적될 수 있다. 그 다음 제거 프로세스가 최상부 유전체층(106)/희생층(108) 위의 과잉 물질을 제거하기 위해 여러 층들에 적용된다. 상기 제거 프로세스는 화학적 기계적 연마(CMP), 에치-백(etch-back), 이들의 조합 등과 같은 평탄화 프로세스일 수 있다. 트렌치(110A)에 남아 있는 터널링층, 반도체층, 유전체층 및 절연 물질의 부분들은 각각 메모리 필름(116A), 반도체층(118A), 백 게이트 격리물(120A) 및 격리 영역(122A)을 형성한다. 평탄화 프로세스는 메모리 필름(116A), 반도체층(118A), 백 게이트 격리물(120A), 격리 영역(122A) 및 최상부 유전체층(106)/희생층(108)의 상부 표면이 평탄화 프로세스 후에 (프로세스 편차 내에서) 동일 평면에 있도록, 최상부 유전체층(106)/희생층(108)을 노출한다.Memory film 116A, semiconductor layer 118A, back gate isolator 120A, and isolation region 122A may be formed by a combination of deposition, etching, and planarization. For example, a tunneling layer is deposited conformally on multilayer stack 104 and within trench 110A (e.g., on the sidewalls of conductive feature 114A and the sidewalls of dielectric layer 106). . A semiconductor layer can then be conformally deposited on the tunneling layer. A dielectric layer can then be conformally deposited on the semiconductor layer. The dielectric layer can then be patterned by a suitable etch process, such as an anisotropic etch using the tunneling layer as an etch stop layer. The semiconductor layer can then be patterned by a suitable etch process, such as an anisotropic etch using the patterned dielectric layer as an etch mask. An insulating material may then be conformally deposited over the remaining portion of trench 110A (eg, over exposed portions of the patterned semiconductor layer, patterned dielectric layer, and tunneling layer). A removal process is then applied to the various layers to remove excess material above the top dielectric layer 106/sacrificial layer 108. The removal process may be a planarization process such as chemical mechanical polishing (CMP), etch-back, a combination thereof, etc. The portions of the tunneling layer, semiconductor layer, dielectric layer, and insulating material remaining in trench 110A form memory film 116A, semiconductor layer 118A, back gate isolator 120A, and isolation region 122A, respectively. The planarization process is such that the upper surfaces of memory film 116A, semiconductor layer 118A, back gate isolation 120A, isolation region 122A, and top dielectric layer 106/sacrificial layer 108 are formed after the planarization process (process variation). The top dielectric layer 106/sacrificial layer 108 is exposed so that it is coplanar (within the dielectric layer).

선택적으로, 격리 영역(122A)은 또한 메모리 필름(116A) 및 기판(102)을 통해 연장되도록 형성될 수 있다. 아래에서 더 상세히 설명되는 바와 같이, 일부 실시형태에서, 메모리 어레이(50)는 다른 반도체 디바이스에 내장된다. 구체적으로, 메모리 어레이(50)는 반도체 디바이스의 인터커넥트 구조물에 형성될 수 있다. 이러한 실시형태에서, 격리 영역(122A)의 절연 물질을 퇴적하기 전에 메모리 필름(116A) 및 기판(102)을 통해 개구가 형성될 수 있다. 격리 영역(122A)의 부분들은 트랜지스터의 소스/드레인 영역으로 후속적으로 대체되어, 상기 소스/드레인 영역이 메모리 어레이(50)의 아래에 있는 인터커넥트 구조물의 금속화층에 연결된다. 적절한 에칭 프로세스가 반도체층(118A) 및 백 게이트 격리물(120A)을 에칭 마스크로 사용하여 메모리 필름(116A) 및 기판(102) 상에 수행될 수 있다. 에칭 프로세스는 메모리 필름(116A) 및 기판(102)에 대해 선택적이다(예를 들어, 메모리 필름(116A) 및 기판(102)의 물질(들)을 반도체층(118A) 및 백 게이트 격리물(120A)의 물질보다 빠른 속도로 선택적으로 제거함). 에칭은 이방성일 수 있다. 일부 실시형태에서, 에칭 프로세스는 다중 에칭을 포함한다. 예를 들어, 제1 에칭이 메모리 필름(116A)을 통해 개구를 연장하기 위해 수행될 수 있고, 제2 에칭이 기판(102)을 통해 개구를 연장하기 위해 수행될 수 있다. 개구가 형성된 후, 격리 영역(122A)이 위에서 설명한 것과 유사한 방식으로 형성될 수 있다.Optionally, isolation region 122A may also be formed to extend through memory film 116A and substrate 102. As described in more detail below, in some embodiments, memory array 50 is embedded in another semiconductor device. Specifically, the memory array 50 may be formed in an interconnect structure of a semiconductor device. In this embodiment, an opening may be formed through memory film 116A and substrate 102 prior to depositing the insulating material of isolation region 122A. Portions of isolation region 122A are subsequently replaced with the source/drain regions of the transistor, connecting the source/drain regions to the metallization layer of the interconnect structure underlying memory array 50. A suitable etch process may be performed on memory film 116A and substrate 102 using semiconductor layer 118A and back gate isolation 120A as an etch mask. The etch process is selective to the memory film 116A and the substrate 102 (e.g., to remove the material(s) of the memory film 116A and the substrate 102 from the semiconductor layer 118A and the back gate isolation 120A. ) is selectively removed at a faster rate than the substances in ). The etching may be anisotropic. In some embodiments, the etching process includes multiple etches. For example, a first etch may be performed to extend the opening through memory film 116A and a second etch may be performed to extend the opening through substrate 102. After the opening is formed, isolation area 122A may be formed in a similar manner as described above.

도 7에서, 트렌치(110B)가 다층 스택(104)에 패터닝된다. 예시된 실시형태에서, 트렌치(110B)는 다층 스택(104)을 통해 연장되고 기판(102)을 노출한다. 다른 실시형태에서, 트렌치(110B)는 다층 스택(104)의 일부 층을 통해 연장되지만 모든 층을 통해 연장되지는 않는다. 트렌치(110B)는 다층 스택(104)에 대해 선택적인 에칭 프로세스(예를 들어, 기판(102)의 물질보다 유전체층(106) 및 희생층(108)의 유전체 물질을 더 빠른 속도로 선택적으로 제거함)를 이용하는 등, 허용 가능한 포토리소그래피 및 에칭 기술을 사용하여 패터닝될 수 있다. 상기 에칭은 임의의 허용 가능한 에칭 프로세스일 수 있고, 일부 실시형태에서는 트렌치(110A)를 형성하는 데 사용되는 에칭과 유사할 수 있다(도 3에 대해 위에서 논의됨).In Figure 7, trenches 110B are patterned in multilayer stack 104. In the illustrated embodiment, trench 110B extends through multilayer stack 104 and exposes substrate 102. In another embodiment, trench 110B extends through some but not all layers of multilayer stack 104. Trench 110B is subjected to an etch process that is selective to multilayer stack 104 (e.g., selectively removes dielectric material in dielectric layer 106 and sacrificial layer 108 at a faster rate than material in substrate 102). It can be patterned using acceptable photolithography and etching techniques, such as using . The etch may be any acceptable etch process, and in some embodiments may be similar to the etch used to form trench 110A (discussed above with respect to FIG. 3).

패터닝 후에, 다층 스택(104)의 각각의 부분은 트렌치(110A, 110B)의 각 쌍 사이에 배치된다. 다층 스택(104)의 각각의 부분은 제2 방향(D2)(도 1a 및 1b 참조)으로 폭(W3)을 가지며, 이는 약 50 nm 내지 약 500 nm 범위일 수 있다. 또한, 다층 스택(104)의 각 부분은 제2 방향(D2)으로 이격 거리(S2)만큼 떨어져 있고, 이는 약 50 nm 내지 약 200 nm 범위에 있을 수 있다. 트렌치(110B)를 패터닝할 때 정렬 불량(misalignment)이 발생할 수 있다. 정렬 불량이 발생하는 경우, 다층 스택(104)의 패터닝된 부분이 모두 동일한 폭(W3)을 갖지는 않는다. 정렬 불량이 발생하지 않은 경우, 다층 스택(104)의 패터닝된 부분은 동일한 폭(W3)을 갖는다.After patterning, each portion of multilayer stack 104 is placed between each pair of trenches 110A and 110B. Each portion of the multilayer stack 104 has a width W 3 in the second direction D 2 (see FIGS. 1A and 1B), which may range from about 50 nm to about 500 nm. Additionally, each portion of the multilayer stack 104 is spaced apart by a distance S 2 in the second direction D 2 , which may range from about 50 nm to about 200 nm. Misalignment may occur when patterning the trench 110B. If misalignment occurs, the patterned portions of the multilayer stack 104 do not all have the same width W 3 . If misalignment does not occur, the patterned portion of the multilayer stack 104 has the same width W 3 .

도 8에서, 트렌치(110B)가 측벽 리세스(112B)를 형성하도록 확장된다. 구체적으로, 희생층(108)의 나머지 부분은 측벽 리세스(112B)를 형성하기 위해 제거된다. 따라서, 측벽 리세스(112B)는 전도성 피처(114A)의 측벽(예를 들어, 시드층(114AS)의 측벽)을 노출시킨다. 측벽 리세스(112B)는 희생층(108)의 물질에 대해 선택적인 것과 같은 허용 가능한 에칭 프로세스에 의해 형성될 수 있다(예를 들어, 희생층(108)의 물질을 유전체층(106) 및 기판(102)의 물질(들)보다 빠른 속도로 선택적으로 제거함). 상기 에칭은 임의의 허용 가능한 에칭 프로세스일 수 있고, 일부 실시형태에서는 측벽 리세스(112A)를 형성하는 데 사용되는 에칭과 유사할 수 있다(도 4에 대해 위에서 논의됨).In Figure 8, trench 110B is expanded to form sidewall recess 112B. Specifically, the remaining portion of sacrificial layer 108 is removed to form sidewall recess 112B. Accordingly, sidewall recess 112B exposes the sidewall of conductive feature 114A (e.g., the sidewall of seed layer 114A S ). Sidewall recess 112B may be formed by an acceptable etch process, such as one that is selective to the material of sacrificial layer 108 (e.g., selectively etching the material of sacrificial layer 108 to dielectric layer 106 and substrate ( Selectively removed at a faster rate than the substance(s) of 102). The etching may be any acceptable etching process, and in some embodiments may be similar to the etching used to form sidewall recess 112A (discussed above with respect to FIG. 4).

형성 후에, 측벽 리세스(112B)는 제2 방향(D2)(도 1a 및 1b 참조)으로 깊이(D5)를 가지고, 유전체층(106)의 측벽을 지나 연장된다. 측벽 리세스(112B)가 원하는 깊이(D5)에 도달한 후 측벽 리세스(112B)의 에칭을 중지하기 위해 시간제한 에칭 프로세스가 사용될 수 있다. 위에 언급된 바와 같이, 트렌치(110B)를 패터닝할 때 정렬 불량이 발생할 수 있다. 정렬 불량이 발생하는 경우 깊이(D5)는 깊이(D4)(도 4에 대해 위에서 설명됨)와 다르다(예를 들어, 더 크거나 작음). 정렬 불량이 발생하지 않는 경우, 깊이(D5)는 깊이(D4)와 유사하다.After formation, sidewall recess 112B extends past the sidewall of dielectric layer 106 with depth D 5 in second direction D 2 (see FIGS. 1A and 1B). A timed etch process may be used to stop etching of sidewall recess 112B after sidewall recess 112B reaches a desired depth D 5 . As mentioned above, misalignment may occur when patterning trench 110B. When misalignment occurs the depth D 5 is different (eg, larger or smaller) than the depth D 4 (described above with respect to FIG. 4 ). If no misalignment occurs, depth D 5 is similar to depth D 4 .

도 9에서, 전도성 피처(114B)가 측벽 리세스(112B)에 형성되어, 희생층(108)의 제2 부분을 대체하기 위한 프로세스를 완료한다. 전도성 피처(114B)는 전도성 피처(114A)의 동일한 후보 물질 그룹에서 선택된 물질로 형성될 수 있고, 이는 전도성 피처(114A)의 물질을 형성하기 위한 동일한 후보 방법 그룹으로부터 선택된 방법을 사용하여 형성될 수 있다. 전도성 피처(114A) 및 전도성 피처(114B)는 동일한 물질로 형성될 수 있거나, 상이한 물질을 포함할 수 있다. 일부 실시형태에서, 전도성 피처(114B)는 각각 시드층(114BS)(또는 배리어층) 및 메인층(114BM)을 포함한다. 시드층(114BS) 및 메인층(114BM)은 각각 시드층(114AS) 및 메인층(114AM)과 유사한 두께를 가질 수 있다. 일부 실시형태에서, 시드층(114AS) 및 시드층(114BS)은 유사한 물질로 형성되며, 이 경우 시드층(114AS) 및 시드층(114BS)은 형성 중에 병합되어 그들 사이에 식별가능한 계면이 존재하지 않을 수 있다. 다른 실시형태에서, 시드층(114AS) 및 시드층(114BS)은 상이한 물질로 형성되고, 이 경우 시드층(114AS) 및 시드층(114BS)은 형성 중에 병합되지 않아 그들 사이에 식별가능한 계면이 존재할 수 있다. 전술한 바와 같이, 정렬 불량이 트렌치(110B)를 패터닝할 때 발생할 수 있다. 정렬 불량이 발생하는 경우, 메인층(114AM)은 제2 방향(D2)을 따라 메인층(114BM)과 상이한 폭을 갖는다(도 1a 및 1b 참조). 정렬 불량이 발생하지 않는 경우, 메인층(114AM)은 제2 방향(D2)을 따라 메인층(114BM)과 동일한 폭을 갖는다. 각각의 시드층(114AS, 114BS)의 부분들은 메인층(114AM)과 메인층(114BM) 사이에 측방향으로 배치된다.9, conductive features 114B are formed in sidewall recess 112B, completing the process to replace the second portion of sacrificial layer 108. Conductive feature 114B may be formed from a material selected from the same group of candidate materials for conductive feature 114A, which may be formed using a method selected from the same group of candidate methods for forming the material of conductive feature 114A. there is. Conductive features 114A and conductive features 114B may be formed from the same material or may include different materials. In some embodiments, conductive features 114B include seed layer 114B S (or barrier layer) and main layer 114B M , respectively. The seed layer 114B S and the main layer 114B M may have thicknesses similar to those of the seed layer 114A S and the main layer 114A M , respectively. In some embodiments, seed layer 114A S and seed layer 114B S are formed of similar materials, in which case seed layer 114A S and seed layer 114B S are merged during formation with no distinguishable material between them. The interface may not exist. In other embodiments, the seed layer 114A S and the seed layer 114B S are formed of different materials, in which case the seed layer 114A S and the seed layer 114B S are not merged during formation so that there is no distinction between them. Possible interfaces may exist. As previously discussed, misalignment may occur when patterning trench 110B. When misalignment occurs, the main layer 114A M has a different width from the main layer 114B M along the second direction D 2 (see FIGS. 1A and 1B). When misalignment does not occur, the main layer 114A M has the same width as the main layer 114B M along the second direction D 2 . Portions of each seed layer 114A S , 114B S are laterally disposed between the main layer 114A M and the main layer 114B M.

전도성 피처(114A) 및 전도성 피처(114B)는 집합적으로 메모리 어레이(50)의 워드 라인(114)으로 지칭된다. 전도성 피처(114A) 및 전도성 피처(114B)의 인접한 쌍은 서로 물리적으로 접촉하고 서로 전기적으로 결합된다. 따라서, 전도성 피처(114A, 114B)의 각 쌍은 단일의 워드 라인(114)으로서 기능한다.Conductive features 114A and conductive features 114B are collectively referred to as word lines 114 of memory array 50. Adjacent pairs of conductive features 114A and 114B are in physical contact with each other and electrically coupled to each other. Accordingly, each pair of conductive features 114A, 114B functions as a single word line 114.

도 10에서, 메모리 필름(116B), 반도체층(118B), 백 게이트 격리물(120B) 및 격리 영역(122B)이 트렌치(110B)에 형성된다. 반도체층(118B) 및 백 게이트 격리물(120B)은 메모리 필름(116B) 위에 형성된다. 격리 영역(122B)은 반도체층(118B)을 통해 연장되어, 방향(D2)(도 1a 및 1b 참조)을 따라 수평으로 인접한 트랜지스터의 반도체층(118B)을 분리한다. 예시된 실시형태에서, 격리 영역(122B)은 메모리 필름(116B) 위에 형성된다. 다른 실시형태에서, 격리 영역(122B)은 또한 메모리 필름(116B) 및 기판(102)을 통해 연장되어, 방향(D2)을 따라 수평으로 인접한 트랜지스터의 메모리 필름(116B)을 분리한다.In Figure 10, memory film 116B, semiconductor layer 118B, back gate isolator 120B, and isolation region 122B are formed in trench 110B. Semiconductor layer 118B and back gate isolator 120B are formed over memory film 116B. Isolation region 122B extends through semiconductor layer 118B, separating the semiconductor layers 118B of horizontally adjacent transistors along direction D 2 (see FIGS. 1A and 1B). In the illustrated embodiment, isolation region 122B is formed over memory film 116B. In another embodiment, isolation region 122B also extends through memory film 116B and substrate 102 to separate memory films 116B of horizontally adjacent transistors along direction D 2 .

메모리 필름(116B)은 메모리 필름(116A)의 동일한 후보 물질 그룹에서 선택된 물질로 형성될 수 있으며, 메모리 필름(116A)의 물질을 형성하기 위한 동일한 후보 방법 그룹에서 선택된 방법을 사용하여 형성될 수 있다. 메모리 필름(116A) 및 메모리 필름(116B)은 동일한 물질로 형성될 수 있거나 상이한 물질을 포함할 수 있다. 메모리 필름(116A) 및 메모리 필름(116B)은 집합적으로 메모리 필름(116)으로 지칭된다. 메모리 필름(116)의 두께는 약 2 nm 내지 약 20 nm 범위일 수 있다.Memory film 116B may be formed from a material selected from the same group of candidate materials for memory film 116A, and may be formed using a method selected from the same group of candidate methods for forming materials for memory film 116A. . Memory film 116A and memory film 116B may be formed of the same material or may include different materials. Memory film 116A and memory film 116B are collectively referred to as memory film 116. The thickness of memory film 116 may range from about 2 nm to about 20 nm.

반도체층(118B)은 반도체층(118A)의 동일한 후보 물질 그룹에서 선택된 물질로 형성될 수 있으며, 반도체층(118A)의 물질을 형성하기 위한 동일한 후보 방법 그룹에서 선택된 방법을 사용하여 형성될 수 있다. 반도체층(118A) 및 반도체층(118B)은 동일한 물질로 형성될 수 있거나 상이한 물질을 포함할 수 있다. 반도체층(118A) 및 반도체층(118B)은 집합적으로 반도체층(118)으로 지칭된다. 반도체층(118)의 두께는 약 9 nm 내지 약 11 nm 범위일 수 있다.Semiconductor layer 118B may be formed of a material selected from the same group of candidate materials for semiconductor layer 118A, and may be formed using a method selected from the same group of candidate methods for forming materials of semiconductor layer 118A. . Semiconductor layer 118A and semiconductor layer 118B may be formed of the same material or may include different materials. Semiconductor layer 118A and semiconductor layer 118B are collectively referred to as semiconductor layer 118. The thickness of semiconductor layer 118 may range from about 9 nm to about 11 nm.

백 게이트 격리물(120B)은 백 게이트 격리물(120A)의 동일한 후보 물질 그룹에서 선택된 물질로 형성될 수 있으며, 이는 백 게이트 격리물(120A)의 물질을 형성하기 위한 동일한 후보 방법 그룹에서 선택된 방법을 사용하여 형성될 수 있다. 백 게이트 격리물(120A) 및 백 게이트 격리물(120B)은 동일한 물질로 형성될 수 있거나 상이한 물질을 포함할 수 있다. 백 게이트 격리물(120a) 및 백 게이트 격리물(120B)은 집합적으로 백 게이트 격리물(120)로 지칭된다. 백 게이트 격리물(120)의 두께는 약 1 nm 내지 약 20 nm 범위일 수 있다.Back gate isolator 120B may be formed from a material selected from the same group of candidate materials as back gate isolator 120A, which may be formed from a method selected from the same group of candidate methods for forming the material of back gate isolator 120A. It can be formed using . Back gate isolation 120A and back gate isolation 120B may be formed of the same material or may include different materials. Back gate isolation 120a and back gate isolation 120B are collectively referred to as back gate isolation 120. The thickness of back gate isolation 120 may range from about 1 nm to about 20 nm.

격리 영역(122B)은 격리 영역(122A)의 동일한 후보 물질 그룹에서 선택된 물질로 형성될 수 있으며, 이는 격리 영역(122A)의 물질을 형성하기 위한 동일한 후보 방법 그룹에서 선택된 방법을 사용하여 형성될 수 있다. 격리 영역(122A) 및 격리 영역(122B)은 동일한 물질로 형성될 수 있거나 상이한 물질을 포함할 수 있다. 격리 영역(122B) 및 백 게이트 격리물(120B)은 격리 영역(122B)의 물질이 백 게이트 격리물(120B) 물질의 에칭으로부터 높은 에칭 선택비를 갖도록 서로 상이한 유전체 물질로 형성된다. 격리 영역(122A) 및 격리 영역(122B)은 집합적으로 격리 영역(122)으로 지칭된다. 격리 영역(122)의 두께는 약 42 nm 내지 약 192 nm 범위일 수 있다.Isolation region 122B may be formed from a material selected from the same group of candidate materials as isolation region 122A, which may be formed using a method selected from the same group of candidate methods for forming materials of isolation region 122A. there is. Isolation region 122A and isolation region 122B may be formed of the same material or may include different materials. Isolation region 122B and back gate isolation 120B are formed of different dielectric materials such that the material of isolation region 122B has a high etch selectivity from etching of back gate isolation 120B material. Isolation area 122A and isolation area 122B are collectively referred to as isolation area 122. The thickness of isolation region 122 may range from about 42 nm to about 192 nm.

메모리 필름(116B), 반도체층(118B), 백 게이트 격리물(120B) 및 격리 영역(122B)은 퇴적, 에칭 및 평탄화의 조합에 의해 형성될 수 있다. 예를 들어, 메모리 필름(116B), 반도체층(118B), 백 게이트 격리물(120B) 및 격리 영역(122B)은 메모리 필름(116A), 반도체층(118A), 백 게이트 격리물(120A) 및 격리 영역(122A)을 형성하는 데 사용되는 것과 유사한 단계(도 6에 대해 위에서 설명됨)에 의해 형성될 수 있다.Memory film 116B, semiconductor layer 118B, back gate isolator 120B, and isolation region 122B may be formed by a combination of deposition, etching, and planarization. For example, memory film 116B, semiconductor layer 118B, back gate isolator 120B, and isolation region 122B may include memory film 116A, semiconductor layer 118A, back gate isolator 120A, and It may be formed by steps similar to those used to form isolation region 122A (described above with respect to FIG. 6).

아래에서 더 상세히 설명되는 바와 같이, 도 11 내지 도 18은 격리 영역(122)의 부분들이 트랜지스터의 나머지 피처로 대체되는 프로세스를 도시한다. 구체적으로, 격리 영역(122)의 부분들은 격리 영역(142)(도 16 참조) 및 비트 라인(146B) 및 소스 라인(146S)(도 18 참조)으로 대체된다. 격리 영역(122)의 나머지 부분들은 방향(D1)(도 1a 및 1b 참조)을 따라 수평으로 인접한 트랜지스터의 피처들을 분리한다. 비트 라인(146B) 및 소스 라인(146S)은 또한 트랜지스터의 소스/드레인 영역으로 작용한다. 격리 영역(122)의 상기 부분들을 대체하는 프로세스 동안, 백 게이트 격리물(120)이 패터닝된다. 패터닝된 백 게이트 격리물(120)은 비트 라인(146B)/소스 라인(146S)의 부분들이 쓰기 동작 동안 백 게이트(back gates)로서도 작용하도록 허용한다.As described in more detail below, Figures 11-18 illustrate the process by which portions of isolation region 122 are replaced with the remaining features of the transistor. Specifically, portions of isolation region 122 are replaced with isolation region 142 (see Figure 16) and bit line 146B and source line 146S (see Figure 18). The remaining portions of isolation region 122 separate features of horizontally adjacent transistors along direction D 1 (see FIGS. 1A and 1B). Bit line 146B and source line 146S also serve as source/drain regions of the transistor. During the process of replacing the portions of isolation region 122, back gate isolation 120 is patterned. Patterned back gate isolation 120 allows portions of bit line 146B/source line 146S to also act as back gates during write operations.

도 11에서, 격리 영역(122)의 부분들이 개구(130)를 형성하기 위해 제거된다. 개구(130)는 격리 영역(122)에 대해 선택적인 에칭 프로세스(예를 들어, 격리 영역(122)의 물질을 메모리 필름(116) 및 백 게이트 격리물(120)의 물질보다 더 빠른 속도로 선택적으로 제거함)로 형성될 수 있다. 에칭은 반응성 이온 에칭(RIE), 중성 빔 에칭(NBE) 등 또는 이들이 조합과 같은 임의의 허용 가능한 에칭 프로세스일 수 있다. 에칭은 이방성일 수 있다. 격리 영역(122)이 실리콘 산화물로 형성된 실시형태에서, 개구(130)는 암모니아(NH3) 및 불화 수소(HF) 가스를 사용하는 건식 에칭에 의해 격리 영역(122)을 통하여 형성될 수 있으며, 이는 개구(130)의 패턴을 갖는 에칭 마스크를 사용하여 수행될 수 있다.11, portions of isolation area 122 are removed to form opening 130. Aperture 130 is capable of performing an etch process selective to isolation region 122 (e.g., selectively removing material of isolation region 122 at a faster rate than material of memory film 116 and back gate isolation 120). can be formed as (removed). The etching may be any acceptable etching process such as reactive ion etching (RIE), neutral beam etching (NBE), etc., or a combination thereof. The etching may be anisotropic. In embodiments where isolation region 122 is formed of silicon oxide, openings 130 may be formed through isolation region 122 by dry etching using ammonia (NH 3 ) and hydrogen fluoride (HF) gas; This can be done using an etch mask with a pattern of openings 130.

도 12에서, 희생 영역(132)이 개구(130) 내에 형성된다. 희생 영역(132)은 유전체 물질과 같은 희생 물질로 형성되며, 이는 후속 처리에서 비트 라인 및 소스 라인으로 대체될 것이다. 따라서, 희생 영역(132)의 유전체 물질은 메모리 필름(116), 반도체층(118) 및 백 게이트 격리물(120) 물질의 에칭으로부터 높은 에칭 선택비를 갖는다. 희생 영역(132)에 허용되는 유전체 물질은 실리콘 산화물 또는 알루미늄 산화물과 같은 산화물; 실리콘 질화물과 같은 질화물; 실리콘 탄화물과 같은 탄화물; 기타; 또는 실리콘 산화질화물, 실리콘 산화탄화물, 실리콘 탄화질화물, 실리콘 산화탄화질화물 등과 같은 이들의 조합을 포함한다. 희생 영역(132)의 물질은 ALD, CVD, 유동성 CVD(FCVD) 등과 같은 허용 가능한 퇴적 공정에 의해 형성될 수 있다. 일부 실시형태에서, 희생 영역(132)은 CVD에 의해 퇴적된 실리콘 질화물과 같은 질화물로 형성된다. 최상부 유전체층(106)/희생층(108) 위의 과잉 물질을 제거하기 위해, 제거 프로세스가 희생 영역(132)의 물질에 적용될 수 있다. 상기 제거 프로세스는 화학적 기계적 연마(CMP), 에치-백(etch-back), 이들의 조합 등과 같은 평탄화 프로세스일 수 있다. 평탄화 프로세스는 희생 영역(132) 및 최상부 유전체층(106)/희생층(108)의 상부 표면들이 평탄화 프로세스 후에 (프로세스 편차 내에서) 동일 평면이 되도록 최상부 유전체층(106)/희생층(108)을 노출시킨다.12, a sacrificial region 132 is formed within the opening 130. The sacrificial region 132 is formed of a sacrificial material, such as a dielectric material, which will be replaced by the bit line and source line in subsequent processing. Accordingly, the dielectric material of sacrificial region 132 has a high etch selectivity from etch of memory film 116, semiconductor layer 118, and back gate isolation 120 material. Acceptable dielectric materials for sacrificial region 132 include oxides such as silicon oxide or aluminum oxide; nitrides such as silicon nitride; carbides such as silicon carbide; etc; or combinations thereof such as silicon oxynitride, silicon oxycarbide, silicon carbonitride, silicon oxycarbonitride, etc. The material of sacrificial region 132 may be formed by any acceptable deposition process such as ALD, CVD, flowable CVD (FCVD), etc. In some embodiments, sacrificial region 132 is formed of a nitride, such as silicon nitride deposited by CVD. To remove excess material above top dielectric layer 106/sacrificial layer 108, a removal process may be applied to the material of sacrificial region 132. The removal process may be a planarization process such as chemical mechanical polishing (CMP), etch-back, a combination thereof, etc. The planarization process exposes the top dielectric layer 106/sacrificial layer 108 such that the top surfaces of the sacrificial region 132 and the top dielectric layer 106/sacrificial layer 108 are coplanar (within process variations) after the planarization process. I order it.

도 13에서, 백 게이트 격리물(120) 및 희생 영역(132)이 개구(136)를 형성하도록 패터닝된다. 개구(136)는 백 게이트 격리물(120) 및 희생 영역(132)에 대해 선택적인 에칭 프로세스로 형성될 수 있다(예를 들어, 반도체층(118) 및/또는 메모리 필름(116)의 물질보다 빠른 속도로 백 게이트 격리물(120) 및 희생 영역(132)의 물질을 선택적으로 제거함). 에칭은 반응성 이온 에칭(RIE), 중성 빔 에칭(NBE) 등, 또는 이들의 조합과 같은 임의의 허용 가능한 에칭 프로세스일 수 있다. 에칭은 이방성일 수 있다. 백 게이트 격리물(120)이 알루미늄 산화물로 형성되고 희생 영역(132)이 실리콘 질화물로 형성되는 실시형태에서, 개구(136)는 수소(H2) 또는 산소(O2) 가스와 혼합된 불소계 가스(예를 들어, C4F6)를 사용하는 건식 에칭에 의해 백 게이트 격리물(120) 및 희생 영역(132)을 관통하여 형성될 수 있으며, 이는 개구(136)의 패턴을 갖는 에칭 마스크를 사용하여 수행될 수 있다. 13, back gate isolator 120 and sacrificial region 132 are patterned to form openings 136. Openings 136 may be formed with an etch process selective to the back gate isolator 120 and sacrificial region 132 (e.g., greater than the material of semiconductor layer 118 and/or memory film 116). Selectively removes material from the back gate isolator 120 and sacrificial area 132 at high rates). The etching may be any acceptable etching process such as reactive ion etching (RIE), neutral beam etching (NBE), etc., or a combination thereof. The etching may be anisotropic. In embodiments in which the back gate isolation 120 is formed of aluminum oxide and the sacrificial region 132 is formed of silicon nitride, the opening 136 is formed of a fluorine-based gas mixed with hydrogen (H 2 ) or oxygen (O 2 ) gas. (e.g., C 4 F 6 ) may be formed through the back gate isolation 120 and sacrificial region 132 by dry etching using an etch mask with a pattern of openings 136. It can be done using

도 14에서, 희생 영역(132)의 추가 물질이 희생 영역(132)을 재형성하기 위해 개구(136)에 재퇴적(redeposited)된다. 이에 따라 각각의 희생 영역(132)은 제1 부분(132A) 및 제2 부분(132B)을 갖는다. 전술한 바와 같이, 희생 영역(132)은 후속 처리에서 비트 라인 및 소스 라인으로 대체될 것이며, 비트 라인/소스 라인은 메인 영역 및 확장 영역을 가질 것이다. 희생 영역(132)의 제1 부분(132A)은 개구(136)를 형성할 때 제거되지 않은 희생 물질의 부분에 대응하며, 비트 라인/소스 라인의 확장 영역으로 대체될 것이다. 희생 영역(132)의 제2 부분(132B)은 개구(136)에 재퇴적된 희생 물질의 부분에 대응하고, 비트 라인/소스 라인의 메인 영역으로 대체될 것이다. 희생 영역(132)의 부분(132A, 132B)은 재퇴적 동안 병합되어 그들 사이에 식별 가능한 계면이 존재하지 않을 수 있다.In FIG. 14 , additional material of sacrificial region 132 is redeposited in opening 136 to reform sacrificial region 132 . Accordingly, each sacrificial area 132 has a first part 132A and a second part 132B. As described above, the sacrificial area 132 will be replaced by a bit line and source line in subsequent processing, and the bit line/source line will have a main area and an extended area. The first portion 132A of sacrificial region 132 corresponds to the portion of sacrificial material that was not removed when forming opening 136 and will be replaced with an extended region of the bit line/source line. The second portion 132B of sacrificial region 132 corresponds to the portion of sacrificial material redeposited in opening 136 and will be replaced with the main region of the bit line/source line. Portions 132A, 132B of sacrificial region 132 may merge during redeposition so that no discernible interface exists between them.

도 15에서, 격리 영역을 위한 개구(140)가 희생 영역(132)을 통해 형성된다. 개구(140)는 희생 영역(132)을 후속 처리에서 비트 라인 및 소스 라인으로 대체될 부분들로 분할한다. 개구(140)는 희생 영역(132)에 대해 선택적인 에칭 프로세스로 형성될 수 있다(예를 들어, 희생 영역(132)의 물질을 메모리 필름(116)의 물질보다 더 빠른 속도로 선택적으로 제거함). 에칭은 반응성 이온 에칭(RIE), 중성 빔 에칭(NBE) 등, 또는 이들의 조합과 같은 임의의 허용 가능한 에칭 프로세스일 수 있다. 에칭은 이방성일 수 있다. 희생 영역(132)이 실리콘 질화물로 형성되는 실시형태에서, 개구(140)는 수소(H2) 또는 산소(O2) 가스와 혼합된 불소계 가스(예: C4F6)를 사용하는 건식 에칭에 의해 희생 영역(132)을 통해 형성될 수 있고, 이는 개구(140)의 패턴을 갖는 에칭 마스크로 수행된다.15, an opening 140 for an isolation area is formed through sacrificial area 132. Opening 140 divides sacrificial area 132 into portions that will be replaced by bit lines and source lines in subsequent processing. Openings 140 may be formed in an etch process selective to sacrificial region 132 (e.g., selectively removing material in sacrificial region 132 at a faster rate than material in memory film 116). . The etching may be any acceptable etching process such as reactive ion etching (RIE), neutral beam etching (NBE), etc., or a combination thereof. The etching may be anisotropic. In embodiments where sacrificial region 132 is formed of silicon nitride, openings 140 are dry etched using a fluorine-based gas (e.g., C 4 F 6 ) mixed with hydrogen (H 2 ) or oxygen (O 2 ) gas. It can be formed through the sacrificial region 132 by , which is performed with an etching mask having a pattern of openings 140.

반도체층(118)은 수평으로 인접한 트랜지스터의 반도체층들(118)이 방향(D1)(도 1a 및 1b 참조)을 따라 분할되도록 처리 동안 패터닝된다. 아래에서 더 상세히 설명되는 바와 같이, 반도체층(118)은 분할된 반도체층들(118)의 원하는 폭에 따라, 처리 동안 여러 단계 중 하나에서 패터닝될 수 있다. 이 실시형태에서, 반도체층들(118)은 희생 영역(132)/개구(140)의 패터닝과 함께 동시에 패터닝된다(도 15 참조). 다른 실시형태에서, 반도체층들(118)은 백 게이트 격리물(120)/개구(136)의 패터닝(도 13 참조)과 함께 동시에 패터닝된다. 또 다른 실시형태에서, 반도체층들(118)은 백 게이트 격리물(120)/개구(136)의 패터닝(도 13 참조) 후에 그러나 개구(136)에 희생 영역(132) 물질의 재퇴적(도 14 참조) 또는 희생 영역(132)/개구(140)의 패터닝(도 15 참조) 전에, 별도의 단계에서 패터닝된다, 그들이 개별적으로 패터닝될 때, 반도체층들(118)은 반도체층(118)에 선택적인 에칭 프로세스로 패터닝될 수 있다(예를 들어, 반도체층(118)의 물질을 메모리 필름(116)의 물질보다 더 빠른 속도로 선택적으로 제거함). 에칭은 반응성 이온 에칭(RIE), 중성 빔 에칭(NBE) 등, 또는 이들의 조합과 같은 임의의 허용 가능한 에칭 프로세스일 수 있다. 에칭은 이방성일 수 있다. 반도체층(118)이 IGZTO로 형성되는 실시형태에서, 반도체층(118)은 Cl2, BCl3, CF4, SF6 등을 사용하는 건식 에칭에 의해 패터닝될 수 있다.Semiconductor layer 118 is patterned during processing such that semiconductor layers 118 of horizontally adjacent transistors are divided along direction D 1 (see FIGS. 1A and 1B). As described in more detail below, semiconductor layer 118 may be patterned at one of several stages during processing, depending on the desired width of the divided semiconductor layers 118. In this embodiment, semiconductor layers 118 are patterned simultaneously with patterning of sacrificial region 132/opening 140 (see Figure 15). In another embodiment, the semiconductor layers 118 are patterned simultaneously with the patterning of the back gate isolator 120/opening 136 (see FIG. 13). In another embodiment, the semiconductor layers 118 are formed after patterning of the back gate isolator 120/opening 136 (see Figure 13) but by redeposition of sacrificial region 132 material in the opening 136 (see Figure 13). 14) or in a separate step prior to the patterning of the sacrificial region 132/opening 140 (see FIG. 15). When they are individually patterned, the semiconductor layers 118 are It may be patterned with a selective etch process (e.g., selectively removing material of semiconductor layer 118 at a faster rate than material of memory film 116). The etching may be any acceptable etching process such as reactive ion etching (RIE), neutral beam etching (NBE), etc., or a combination thereof. The etching may be anisotropic. In embodiments where semiconductor layer 118 is formed of IGZTO, semiconductor layer 118 may be patterned by dry etching using Cl 2 , BCl 3 , CF 4 , SF 6 , etc.

도 16에서, 격리 영역(142)이 개구(140)에 형성된다. 이에 따라 격리 영역(142)은 희생 영역(132)을 통해 연장된다. 격리 영역(142)은 격리 영역(122)의 동일한 후보 물질 그룹에서 선택된 물질로 형성될 수 있고, 이는 격리 영역(122) 물질을 형성하기 위한 동일한 후보 방법 그룹으로부터 선택된 방법을 사용하여 형성될 수 있다. 격리 영역(122) 및 격리 영역(142)은 동일한 물질로 형성될 수 있거나 다른 물질을 포함할 수 있다. 일부 실시형태에서, 격리 영역(142)은 CVD에 의해 퇴적된 실리콘 산화물로 형성된다. 격리 영역(142)을 형성하기 위한 실시예로서, 절연 물질이 개구(140)에 형성된다. 그 다음 제거 프로세스가 최상부 유전체층(106)/워드 라인(114) 위의 과잉 절연 물질을 제거하기 위해 여러 층에 적용된다. 제거 프로세스는 화학적 기계적 연마(CMP), 에치-백, 이들의 조합 등과 같은 평탄화 프로세스일 수 있다. 남아 있는 절연 물질이 개구(140)에 격리 영역(142)을 형성한다.16, an isolation region 142 is formed in the opening 140. Accordingly, the isolation area 142 extends through the sacrificial area 132. Isolation region 142 may be formed from a material selected from the same group of candidate materials for isolation region 122, which may be formed using a method selected from the same group of candidate methods for forming isolation region 122 materials. . Isolation region 122 and isolation region 142 may be formed of the same material or may include different materials. In some embodiments, isolation region 142 is formed from silicon oxide deposited by CVD. In an embodiment for forming the isolation region 142 , an insulating material is formed in the opening 140 . A removal process is then applied to the various layers to remove excess insulating material above the top dielectric layer 106/word line 114. The removal process may be a planarization process such as chemical mechanical polishing (CMP), etch-back, combinations thereof, etc. The remaining insulating material forms an isolation region 142 in the opening 140 .

도 17에서, 희생 영역(132)이 개구(144)를 형성하기 위해 제거된다. 개구(144)는 희생 영역(132)에 대해 선택적인 에칭 프로세스로 형성될 수 있다(예를 들어, 희생 영역(132)의 물질을 격리 영역(142), 백 게이트 격리물(120), 격리 영역(122), 반도체층(118) 및 메모리 필름(116)의 물질보다 빠른 속도로 선택적으로 제거함). 에칭은 등방성(isotropic)일 수 있다. 희생 영역(132)이 실리콘 질화물로 형성되는 실시형태에서, 개구(144)는 인산(H3PO4)을 사용하는 습식 에칭에 의해 형성될 수 있다. 다른 실시형태에서, 희생 영역(132)의 물질에 대해 선택적인 건식 에칭이 사용될 수 있다.17, sacrificial area 132 is removed to form opening 144. Openings 144 may be formed in an etch process selective to sacrificial region 132 (e.g., removing material from sacrificial region 132 to isolation region 142, back gate isolation 120, and isolation region 142). (122), which is selectively removed at a faster rate than the material of the semiconductor layer 118 and the memory film 116). The etching may be isotropic. In embodiments where sacrificial region 132 is formed of silicon nitride, opening 144 may be formed by wet etching using phosphoric acid (H 3 PO 4 ). In other embodiments, selective dry etching of the material of sacrificial region 132 may be used.

도 18에서, (비트 라인(146B) 및 소스 라인(146S)을 포함하는) 전도성 라인이 개구(144)에 형성된다. 비트 라인(146B) 및 소스 라인(146S)은 전도성 필라(pillars)이며, 비트 라인 필라 및 소스 라인 필라로 지칭될 수도 있다. 각각의 트랜지스터는 비트 라인(146B) 및 소스 라인(146S)을 포함하고, 격리 영역(122)이 비트 라인(146B)과 소스 라인(146S) 사이에 배치된다. 이 실시형태에서, 비트 라인(146B)/소스 라인(146S)은 반도체층(118)을 통해 연장된다. 다른 실시형태에서, 비트 라인(146B)/소스 라인(146S)은 또한 메모리 필름(116) 및 기판(102)을 통해 연장된다.18, conductive lines (including bit line 146B and source line 146S) are formed in opening 144. Bit line 146B and source line 146S are conductive pillars and may also be referred to as bit line pillars and source line pillars. Each transistor includes a bit line 146B and a source line 146S, and an isolation region 122 is disposed between the bit line 146B and the source line 146S. In this embodiment, bit line 146B/source line 146S extends through semiconductor layer 118. In another embodiment, bit line 146B/source line 146S also extends through memory film 116 and substrate 102.

비트 라인(146B)/소스 라인(146S)을 형성하기 위한 실시예로서, 확산 배리어층, 접착층 등과 같은 라이너(liner) 및 메인층이 개구(144)에 형성된다. 라이너는 티타늄, 티타늄 질화물, 탄탈룸, 탄탈룸 질화물 등과 같은 전도성 물질로 형성될 수 있으며, 원자층 퇴적(ALD), 화학적 기상 증착(CVD), 물리적 기상 증착(PVD) 등과 같은 컨포멀한 퇴적 프로세스에 의해 퇴적될 수 있다. 일부 실시형태에서, 라이너는 접착층을 포함할 수 있고 접착층의 적어도 일 부분은 확산 배리어층을 형성하도록 처리될 수 있다. 메인층은 텅스텐, 코발트, 루테늄, 알루미늄, 니켈, 구리, 구리 합금, 은, 금 등과 같은 전도성 물질로 형성될 수 있으며, ALD, CVD, PVD 등에 의해 퇴적될 수 있다. 일부 실시형태에서, 비트 라인(146B)/소스 라인(146S)은 티타늄 질화물로 형성된 라이너 및 텅스텐으로 형성된 메인층을 포함한다. 그 다음 제거 프로세스가 최상부 유전체층(106)/워드 라인(114) 위의 비트 라인(146B)/소스 라인(146S)의 과잉 물질(들)을 제거하기 위해 여러 층에 적용된다. 제거 프로세스는 화학적 기계적 연마(CMP), 에치-백(etch-back), 이들의 조합 등과 같은 평탄화 프로세스일 수 있다. 개구(144) 내의 남아 있는 물질(들)은 비트 라인(146B)/소스 라인(146S)을 형성한다. 평탄화 프로세스는 비트 라인(146B)/소스 라인(146S), 격리 영역(142), 격리 영역(122), 백 게이트 격리물(120), 반도체층(118), 메모리 필름(116) 및 최상부 유전체층(106)/워드 라인(114)의 상부 표면들이 평탄화 프로세스 후에 (프로세스 편차 내에서) 동일 평면에 있도록, 최상부 유전체층(106)/워드 라인(114)을 노출시킨다.As an example for forming the bit line 146B/source line 146S, a liner such as a diffusion barrier layer, an adhesive layer, etc., and a main layer are formed in the opening 144. Liners can be formed from conductive materials such as titanium, titanium nitride, tantalum, tantalum nitride, etc. by conformal deposition processes such as atomic layer deposition (ALD), chemical vapor deposition (CVD), physical vapor deposition (PVD), etc. may be deposited. In some embodiments, the liner can include an adhesive layer and at least a portion of the adhesive layer can be treated to form a diffusion barrier layer. The main layer may be formed of a conductive material such as tungsten, cobalt, ruthenium, aluminum, nickel, copper, copper alloy, silver, gold, etc., and may be deposited by ALD, CVD, PVD, etc. In some embodiments, bit line 146B/source line 146S includes a liner formed of titanium nitride and a main layer formed of tungsten. A removal process is then applied to the various layers to remove excess material(s) of bit line 146B/source line 146S above top dielectric layer 106/word line 114. The removal process may be a planarization process such as chemical mechanical polishing (CMP), etch-back, combinations thereof, etc. The remaining material(s) within opening 144 forms bit line 146B/source line 146S. The planarization process includes the bit line 146B/source line 146S, isolation region 142, isolation region 122, back gate isolation 120, semiconductor layer 118, memory film 116, and top dielectric layer ( The top dielectric layer 106/word line 114 is exposed such that the top surfaces of 106)/word line 114 are coplanar (within process variations) after the planarization process.

비트 라인(146B)/소스 라인(146S)은 각각 평면도에서 T형 단면을 갖는다. 구체적으로, 비트 라인(146B)은 반도체층(118)의 측벽을 따라 연장되는 메인 영역(146BM)을 가지며, 백 게이트 격리물(120)의 측벽을 따라 연장되는 확장 영역(146BE)을 가진다. 유사하게, 소스 라인(146S)은 반도체층(118)의 측벽을 따라 연장되는 메인 영역(146SM)을 가지며, 백 게이트 격리물(120)의 측벽을 따라 연장되는 확장 영역(146SE)을 가진다. 확장 영역(146BE, 146SE) 및 격리 영역(122)은 각각 제2 방향(D2)(도 1a 및 1b 참조)으로 동일한 폭을 갖는다. 패터닝된 백 게이트 격리물(120)은 메인 영역(146BM, 146SM)이 반도체층(118)과 접촉하도록 허용하지만, 확장 영역(146BE, 146SE)은 채널 영역을 제공하는 반도체층(118)의 부분으로부터 분리된 상태를 유지한다. 따라서, 확장 영역(146BE, 146SE)은 채널 영역을 단락(shorting)시키지 않고 백 게이트로서 작용할 수 있다.Bit line 146B/source line 146S each has a T-shaped cross-section in plan view. Specifically, bit line 146B has a main region 146B M extending along a sidewall of semiconductor layer 118 and an extended region 146B E extending along a sidewall of back gate isolation 120. . Similarly, source line 146S has a main region 146S M extending along the sidewall of semiconductor layer 118 and an extended region 146S E extending along the sidewall of back gate isolation 120. . The extended areas 146B E and 146S E and the isolation area 122 each have the same width in the second direction D 2 (see FIGS. 1A and 1B). Patterned back gate isolator 120 allows main regions 146B M , 146S M to contact semiconductor layer 118, while extended regions 146B E , 146S E provide channel regions. ) and remain separated from the part of the part. Accordingly, the extension areas 146B E and 146S E can function as a back gate without shorting the channel area.

도 19a, 19b 및 19c에서, 인터커넥트 구조물(160)이 중간 구조물 위에 형성된다. 인터커넥트 구조물(160)은 예를 들면, 유전체 물질(164) 내의 금속화 패턴(162)을 포함할 수 있다(도 19a에는 도시되지 않음, 도 19b 및 19c 참조). 유전체 물질(164)은 저-유전상수(low-k, LK) 또는 극저-유전상수(extra low-k, ELK) 유전체 물질의 하나 이상의 층과 같은 하나 이상의 유전체층을 포함할 수 있다. 금속화 패턴(162)은 유전체 물질(164)에 형성된 금속 인터커넥트(예를 들어, 전도성 라인(162L), 전도성 비아(162V) 등)일 수 있다. 인터커넥트 구조물(160)은 단일 다마신(damascene) 프로세스, 이중 다마신 프로세스 등과 같은 다마신 프로세스에 의해 형성될 수 있다. 인터커넥트 구조물(160)의 금속화 패턴(162)은 비트 라인(146B)/소스 라인(146S)에 전기적으로 연결되고, 트랜지스터(54)를 상호연결하여 기능적인 메모리를 형성한다.19A, 19B and 19C, an interconnect structure 160 is formed over the intermediate structure. Interconnect structure 160 may include, for example, a metallization pattern 162 in dielectric material 164 (not shown in FIG. 19A, see FIGS. 19B and 19C). Dielectric material 164 may include one or more dielectric layers, such as one or more layers of low-k (LK) or extra low-k (ELK) dielectric material. Metallization pattern 162 may be a metal interconnect (e.g., conductive line 162L, conductive via 162V, etc.) formed in dielectric material 164. Interconnect structure 160 may be formed by a damascene process, such as a single damascene process, a dual damascene process, etc. Metallization pattern 162 of interconnect structure 160 is electrically connected to bit line 146B/source line 146S and interconnects transistors 54 to form functional memory.

전술한 바와 같이, 유전체층(106) 및 워드 라인(114)은 계단 구조물로 형성될 수 있다. 유전체층(106) 및 워드 라인(114)은 인터커넥트 구조물(160)의 형성 이전에 임의의 적절한 단계에서 계단 구조물을 형성하도록 패터닝될 수 있다. 인터커넥트 구조물(160)을 형성하는 것은 각각의 워드 라인(114)의 노출된 부분에 연결되는 전도성 콘택트(contacts)를 형성하는 것을 포함한다.As described above, the dielectric layer 106 and the word line 114 may be formed as a step structure. Dielectric layer 106 and word lines 114 may be patterned to form a step structure at any suitable step prior to formation of interconnect structure 160. Forming interconnect structure 160 includes forming conductive contacts connected to exposed portions of each word line 114 .

도 20a 내지 20j는 일부 실시형태에 따른 메모리 어레이(50)의 계단 구조물의 제조에 있어서 중간 단계의 도면이다. 도 20a 내지 20j는 도 1a에 도시된 참조 단면 B-B'를 따라 도시된 단면도이다. 메모리 필름(116), 반도체층(118), 백 게이트 격리물(120) 등과 같은 트랜지스터의 일부 피처(도 6 내지 19c 참조)는 예시의 명확성을 위해 도시되지 않았다. 도 20a 내지 20j에서, 다층 스택(104)은 희생층(108)이 워드 라인(114)으로 대체된 후에 계단 구조물을 형성하도록 패터닝된다. 도시된 프로세스는 다른 적절한 처리 단계에서 수행될 수 있음이 이해되어야 한다.20A-20J are diagrams of intermediate steps in the fabrication of the staircase structure of memory array 50 according to some embodiments. FIGS. 20A to 20J are cross-sectional views taken along the reference cross-section B-B' shown in FIG. 1A. Some features of the transistor (see FIGS. 6-19C), such as memory film 116, semiconductor layer 118, back gate isolation 120, etc., are not shown for clarity of illustration. 20A-20J, multilayer stack 104 is patterned to form a staircase structure after sacrificial layer 108 is replaced with word lines 114. It should be understood that the depicted process may be performed in other suitable processing steps.

도 20a에서, 마스크(202)가 다층 스택(104) 위에 형성된다. 이 처리 단계에서, 다층 스택(104)은 (위에서 설명된 유전체층(106)과 같은) 교번하는 유전체층(204)(204A, 204B, 204C, 204D로 표시됨) 및 (위에서 설명된 워드 라인(114)과 같은) 전도성 층(206)(206A, 206B, 206C로 표시됨)을 포함한다. 마스크(202)는 포토레지스트 등일 수 있으며, 스핀-온 기술 등에 의해 형성될 수 있다.In Figure 20A, a mask 202 is formed over the multilayer stack 104. In this processing step, the multilayer stack 104 is comprised of alternating dielectric layers 204 (designated 204A, 204B, 204C, 204D) (such as dielectric layer 106 described above) and word lines 114 (described above). the same) and a conductive layer 206 (designated 206A, 206B, 206C). The mask 202 may be made of photoresist, etc., and may be formed by spin-on technology, etc.

도 20b에서, 마스크(202)는 영역(210A)에서의 다층 스택(104)을 노출시키고 다층 스택(104)의 나머지 부분은 마스킹하도록 패터닝된다. 예를 들어, 다층 스택(104)의 최상층(예를 들어, 유전체층(204D))은 영역(210A)에서 노출될 수 있다. 마스크(202)는 허용 가능한 포토리소그래피 기술을 사용하여 패터닝될 수 있다.In Figure 20B, mask 202 is patterned to expose multilayer stack 104 in area 210A and mask the remaining portion of multilayer stack 104. For example, the top layer of multilayer stack 104 (e.g., dielectric layer 204D) may be exposed in region 210A. Mask 202 may be patterned using any acceptable photolithography technique.

도 20c에서, 영역(210A)에서 다층 스택(104)의 노출된 부분은 마스크(202)를 에칭 마스크로 사용하여 에칭된다. 에칭은 습식 또는 건식 에칭, 반응성 이온 에칭(RIE), 중성 빔 에칭(NBE) 등, 또는 이들의 조합에 의한 것과 같은 임의의 허용 가능한 에칭 프로세스일 수 있다. 에칭은 이방성일 수 있다. 에칭은 영역(210A)에서 유전체층(204D) 및 전도성 층(206C)의 부분들을 제거하고 개구(212)를 정의할 수 있다. 유전체층(204D)과 전도성 층(206C)이 서로 다른 물질 조성을 갖기 때문에, 이들 층들의 노출된 부분을 제거하는 데 사용되는 에칭제는 상이할 수 있다. 일부 실시형태에서, 전도성 층(206C)은 유전체층(204D)을 에칭하는 동안 에칭 정지층으로서 작용하고, 유전체층(204C)은 전도성 층(206C)을 에칭하는 동안 에칭 정지층으로서 작용한다. 그 결과, 전도성 층(206C) 및 유전체층(204D)의 부분들이 다층 스택(104)의 나머지 층들을 제거함이 없이 선택적으로 제거될 수 있고, 개구(212)는 원하는 깊이로 연장될 수 있다. 대안적으로, 개구(212)가 원하는 깊이에 도달한 후에 개구(212)의 에칭을 중지하기 위해 시간제한 에칭(timed etch) 프로세스가 사용될 수 있다. 결과적인 구조물에서, 유전체층(204C)이 영역(210A)에서 노출된다.20C, the exposed portion of multilayer stack 104 in region 210A is etched using mask 202 as an etch mask. The etching may be any acceptable etching process such as wet or dry etching, reactive ion etching (RIE), neutral beam etching (NBE), etc., or a combination thereof. The etching may be anisotropic. Etching may remove portions of dielectric layer 204D and conductive layer 206C in region 210A and define opening 212. Because dielectric layer 204D and conductive layer 206C have different material compositions, the etchants used to remove exposed portions of these layers may be different. In some embodiments, conductive layer 206C acts as an etch stop layer while etching dielectric layer 204D, and dielectric layer 204C acts as an etch stop layer while etching conductive layer 206C. As a result, portions of conductive layer 206C and dielectric layer 204D can be selectively removed without removing the remaining layers of multilayer stack 104, and opening 212 can be extended to a desired depth. Alternatively, a timed etch process can be used to stop etching of the opening 212 after the opening 212 has reached the desired depth. In the resulting structure, dielectric layer 204C is exposed in region 210A.

도 20d에서, 마스크(202)는 다층 스택(104)의 추가적인 부분들을 노출하도록 트리밍된다. 마스크(202)는 허용 가능한 포토리소그래피 및/또는 에칭 기술을 사용하여 트리밍될 수 있다. 트리밍의 결과로, 마스크(202)의 폭이 감소되고, 영역(210B)의 다층 스택(104)의 부분들도 노출될 수 있다. 예를 들어, 유전체층(204C)의 상부 표면은 영역(210A)에서 노출될 수 있고, 유전체층(204D)의 상부 표면은 영역(210B)에서 노출될 수 있다.In Figure 20D, mask 202 is trimmed to expose additional portions of multilayer stack 104. Mask 202 may be trimmed using any acceptable photolithographic and/or etching technique. As a result of trimming, the width of mask 202 may be reduced and portions of multilayer stack 104 in region 210B may also be exposed. For example, the top surface of dielectric layer 204C may be exposed in area 210A and the top surface of dielectric layer 204D may be exposed in area 210B.

도 20e에서, 영역(210A, 210B)의 유전체층(204D), 전도성 층(206C), 유전체층(204C) 및 전도성 층(206B)의 부분들이 마스크(202)를 에칭 마스크로서 사용하여 허용 가능한 에칭 프로세스에 의해 제거된다. 에칭은 습식 또는 건식 에칭, 반응성 이온 에칭(RIE), 중성 빔 에칭(NBE) 등, 또는 이들의 조합에 의한 것과 같은 임의의 허용 가능한 에칭 프로세스일 수 있다. 에칭은 이방성일 수 있다. 에칭은 개구(212)를 다층 스택(104)으로 더 연장할 수 있다. 유전체층(204D/204C) 및 전도성 층(206C/206B)이 상이한 물질 조성을 갖기 때문에, 이들 층들의 노출된 부분들을 제거하기 위해 사용되는 에칭제는 상이할 수 있다. 일부 실시형태에서, 전도성 층(206C)은 유전체층(204D)을 에칭하는 동안 에칭 정지층으로서 작용하고; 유전체층(204C)은 전도성 층(206C)을 에칭하는 동안 에칭 정지층으로서 작용하고; 전도성 층(206B)은 유전체층(204C)을 에칭하는 동안 에칭 정지층으로서 작용하고; 유전체층(204B)은 전도성 층(206B)을 에칭하는 동안 에칭 정지층으로서 작용한다. 그 결과, 유전체층(204D/204C) 및 전도성 층(206C/206B)의 부분들이 다층 스택(104)의 나머지 층들을 제거함이 없이 선택적으로 제거될 수 있으며, 개구(212)는 원하는 깊이로 확장될 수 있다. 또한, 에칭 프로세스 동안, 유전체층(204) 및 전도성 층(206)의 에칭되지 않은 부분들은 하부의 층들에 대한 에칭 마스크로서 작용하고, 그 결과 유전체층(204D) 및 전도성 층(206C)(도 20d 참조)의 이전(previous) 패턴이 하부의 유전체층(204C) 및 전도성 층(206B)으로 전사될 수 있다. 결과적인 구조물에서, 유전체층(204B)은 영역(210A)에서 노출되고, 유전체층(204C)은 영역(210B)에서 노출된다.20E, dielectric layer 204D, conductive layer 206C, portions of dielectric layer 204C and conductive layer 206B in regions 210A, 210B are subjected to an acceptable etch process using mask 202 as an etch mask. is removed by The etching may be any acceptable etching process such as wet or dry etching, reactive ion etching (RIE), neutral beam etching (NBE), etc., or a combination thereof. The etching may be anisotropic. Etching may extend opening 212 further into multilayer stack 104. Because the dielectric layers 204D/204C and conductive layers 206C/206B have different material compositions, the etchants used to remove exposed portions of these layers may be different. In some embodiments, conductive layer 206C acts as an etch stop layer while etching dielectric layer 204D; Dielectric layer 204C acts as an etch stop layer while etching conductive layer 206C; Conductive layer 206B acts as an etch stop layer while etching dielectric layer 204C; Dielectric layer 204B acts as an etch stop layer while etching conductive layer 206B. As a result, portions of dielectric layer 204D/204C and conductive layer 206C/206B can be selectively removed without removing the remaining layers of multilayer stack 104, and opening 212 can be expanded to a desired depth. there is. Additionally, during the etching process, the unetched portions of dielectric layer 204 and conductive layer 206 act as an etch mask for the underlying layers, resulting in dielectric layer 204D and conductive layer 206C (see Figure 20D). The previous pattern may be transferred to the lower dielectric layer 204C and conductive layer 206B. In the resulting structure, dielectric layer 204B is exposed in region 210A and dielectric layer 204C is exposed in region 210B.

도 20f에서, 마스크(202)는 다층 스택(104)의 추가적인 부분들을 노출하도록 트리밍된다. 포토레지스트는 허용 가능한 포토리소그래피 기술을 사용하여 트리밍될 수 있다. 트리밍의 결과로, 마스크(202)의 폭이 감소되고, 영역(210C)의 다층 스택(104)의 부분들도 노출될 수 있다. 예를 들어, 유전체층(204B)의 상부 표면은 영역(210A)에서 노출될 수 있고; 유전체층(204C)의 상부 표면은 영역(210B)에서 노출될 수 있고; 전도성 층(204D)의 상부 표면은 영역(210C)에서 노출될 수 있다.In Figure 20F, mask 202 is trimmed to expose additional portions of multilayer stack 104. The photoresist can be trimmed using any acceptable photolithography technique. As a result of trimming, the width of mask 202 may be reduced and portions of multilayer stack 104 in region 210C may also be exposed. For example, the top surface of dielectric layer 204B may be exposed at area 210A; The top surface of dielectric layer 204C may be exposed in region 210B; The top surface of conductive layer 204D may be exposed at region 210C.

도 20g에서, 영역(210A, 210B, 210C)의 유전체층(204D, 204C, 204B)의 부분들이 마스크(202)를 에칭 마스크로서 사용하여 허용 가능한 에칭 프로세스에 의해 제거된다. 에칭은 습식 또는 건식 에칭, 반응성 이온 에칭(RIE), 중성 빔 에칭(NBE) 등, 또는 이들의 조합에 의한 것과 같은 임의의 허용 가능한 에칭 프로세스일 수 있다. 에칭은 이방성일 수 있다. 에칭은 개구(212)를 다층 스택(104)으로 더 연장할 수 있다. 일부 실시형태에서, 전도성 층(206C)은 유전체층(204D)을 에칭하는 동안 에칭 정지층으로서 작용하고; 전도성 층(206B)은 유전체층(204C)을 에칭하는 동안 에칭 정지층으로서 작용하고; 전도성 층(206A)은 유전체층(204B)을 에칭하는 동안 에칭 정지층으로서 작용한다. 그 결과, 유전체층(204D, 204C, 204B)의 부분들이 다층 스택(104)의 나머지 층들을 제거함이 없이 선택적으로 제거될 수 있으며, 개구(212)는 원하는 깊이로 확장될 수 있다. 또한, 에칭 프로세스 동안, 각각의 전도성 층(206)은 하부의 층들에 대한 에칭 마스크로서 작용하고, 그 결과 전도성 층(206C/206B)(도 20f 참조)의 이전 패턴이 하부의 유전체층(204C/204B)으로 전사될 수 있다. 결과적인 구조물에서, 전도성 층(206A)은 영역(210A)에서 노출되고; 전도성 층(206B)은 영역(210B)에서 노출되고; 전도성 층(206C)은 영역(210C)에서 노출된다.20G, portions of dielectric layers 204D, 204C, and 204B in regions 210A, 210B, and 210C are removed by an acceptable etch process using mask 202 as an etch mask. The etching may be any acceptable etching process such as wet or dry etching, reactive ion etching (RIE), neutral beam etching (NBE), etc., or a combination thereof. The etching may be anisotropic. Etching may extend opening 212 further into multilayer stack 104. In some embodiments, conductive layer 206C acts as an etch stop layer while etching dielectric layer 204D; Conductive layer 206B acts as an etch stop layer while etching dielectric layer 204C; Conductive layer 206A acts as an etch stop layer while etching dielectric layer 204B. As a result, portions of dielectric layers 204D, 204C, and 204B can be selectively removed without removing the remaining layers of multilayer stack 104, and openings 212 can be expanded to a desired depth. Additionally, during the etching process, each conductive layer 206 acts as an etch mask for the underlying layers, such that the previous pattern of the conductive layer 206C/206B (see FIG. 20F) is similar to the underlying dielectric layer 204C/204B. ) can be transcribed as. In the resulting structure, conductive layer 206A is exposed in area 210A; Conductive layer 206B is exposed in region 210B; Conductive layer 206C is exposed in region 210C.

도 20h에서, 마스크(202)가 예를 들면, 허용 가능한 애싱(ashing) 또는 습식 스트립(strip) 프로세스에 의해 제거될 수 있다. 이에 따라, 계단 구조물(214)이 형성된다. 계단 구조물은 유전체층(204) 및 전도성 층(206)의 교번하는 층들의 스택을 포함한다. 하부 전도성 층(206)은 더 넓고 상부 전도성 층(206)을 지나 측방향으로 연장되며, 각 전도성 층(206)의 폭은 기판(102)을 향하는 방향으로 증가한다. 예를 들어, 전도성 층(206A)은 전도성 층(206B)보다 길 수 있고; 전도성 층(206B)은 전도성 층(206C)보다 길 수 있다. 결과적으로, 후속 처리 단계에서 전도성 콘택트가 계단 구조물(214) 위에서부터 각각의 전도성 층(206)으로 형성될 수 있다.20H, mask 202 may be removed, for example, by an acceptable ashing or wet strip process. Accordingly, the staircase structure 214 is formed. The step structure includes a stack of alternating layers of dielectric layer 204 and conductive layer 206. The lower conductive layer 206 is wider and extends laterally past the upper conductive layer 206, with the width of each conductive layer 206 increasing in the direction toward the substrate 102. For example, conductive layer 206A can be longer than conductive layer 206B; Conductive layer 206B may be longer than conductive layer 206C. As a result, in subsequent processing steps conductive contacts can be formed with each conductive layer 206 from above the step structure 214 .

도 20i에서, 금속간 유전체(inter-metal dielectric, IMD)(216)가 계단 구조물(214) 위에 퇴적된다. IMD(216)는 유전체 물질로 형성될 수 있고, CVD, 플라즈마-강화 CVD(PECVD) 또는 FCVD와 같은 임의의 적절한 방법에 의해 퇴적될 수 있다. 유전체 물질은 포스포-실리케이트 유리(phospho-silicate glass, PSG), 보로-실리케이트 유리(boro-silicate glass, BSG), 붕소-도핑된 포스포-실리케이트 유리(boron-doped phospho-silicate glass, BPSG), 도핑되지 않은 실리케이트 유리(undoped silicate glass, USG) 등을 포함할 수 있다. 허용되는 프로세스에 의해 형성된 다른 절연 물질이 사용될 수 있다. IMD(216)는 유전체층(204)의 측벽뿐만 아니라 전도성 층(206)의 측벽을 따라 연장된다. 또한, IMD(216)는 각각의 전도성 층(206)의 상부 표면과 접촉할 수 있다.In Figure 20I, an inter-metal dielectric (IMD) 216 is deposited over the step structure 214. IMD 216 may be formed from a dielectric material and may be deposited by any suitable method, such as CVD, plasma-enhanced CVD (PECVD), or FCVD. Dielectric materials include phospho-silicate glass (PSG), boro-silicate glass (BSG), and boron-doped phospho-silicate glass (BPSG). , undoped silicate glass (USG), etc. Other insulating materials formed by acceptable processes may be used. IMD 216 extends along the sidewalls of dielectric layer 204 as well as the sidewalls of conductive layer 206. Additionally, IMD 216 may contact the top surface of each conductive layer 206.

도 20i에 더 도시된 바와 같이, 계단 구조물(214) 위의 과잉 유전체 물질을 제거하기 위해 제거 프로세스가 IMD(216)에 적용된다. 일부 실시형태에서, 화학적 기계적 연마(CMP), 에치-백(etch-back) 프로세스, 이들의 조합 등과 같은 평탄화 프로세스가 사용될 수 있다. 평탄화 프로세스는, 평탄화 프로세스가 완료된 후 계단 구조물(214) 및 IMD(216)의 상부 표면이 (프로세스 편차 내에서) 동일 평면이 되도록 계단 구조물(214)을 노출시킨다.As further shown in Figure 20I, a removal process is applied to IMD 216 to remove excess dielectric material over step structure 214. In some embodiments, planarization processes such as chemical mechanical polishing (CMP), etch-back processes, combinations thereof, etc. may be used. The planarization process exposes the step structure 214 such that the top surfaces of the step structure 214 and IMD 216 are coplanar (within process variations) after the planarization process is complete.

도 20j에서, 인터커넥트 구조물(160)의 부분들이 형성된다. 예시의 단순화를 위해 인터커넥트 구조물(160)의 하나의 층만이 도시된다. 이 실시형태에서, 인터커넥트 구조물(160)을 형성하는 것은 IMD(216)를 통해 전도성 콘택트(166)를 형성하는 것을 포함한다. 전도성 콘택트(166)는 단일 다마신 프로세스, 이중 다마신 프로세스 등과 같은 다마신 프로세스에 의해 형성될 수 있다. 전도성 콘택트(166)는 각각의 전도성 층(206)(예를 들어, 위에서 설명된 워드 라인(114))에 연결된다.In Figure 20J, portions of interconnect structure 160 are formed. For simplicity of illustration only one layer of interconnect structure 160 is shown. In this embodiment, forming interconnect structure 160 includes forming conductive contacts 166 via IMD 216. Conductive contacts 166 may be formed by a damascene process, such as a single damascene process, a dual damascene process, etc. Conductive contacts 166 are connected to each conductive layer 206 (eg, word line 114 described above).

도 21a 내지 21d는 일부 실시형태에 따른 메모리 어레이(50)의 평면도이다. 인터커넥트 구조물의 일부 피처가 도시되어 있다. 도 21a는 인터커넥트 구조물의 제1 레벨에서의 전도성 비아(예를 들어, 도 19b 및 19c의 제1 레벨 전도성 비아(162V1))를 도시한다. 도 21b는 인터커넥트 구조물의 제1 레벨에서의 전도성 라인(예를 들어, 도 19b 및 19c의 제1 레벨 전도성 라인(162L1))을 도시한다. 도 21c는 인터커넥트 구조물의 제2 레벨에서의 전도성 비아(예를 들어, 도 19b 및 19c의 제2 레벨 전도성 비아(162V2))를 도시한다. 도 21d는 인터커넥트 구조물의 제2 레벨에서의 전도성 라인(예를 들어, 도 19b 및 19c의 제2 레벨 전도성 라인(162L2))을 도시한다.Figures 21A-21D are top views of memory array 50 according to some embodiments. Some features of the interconnect structure are shown. FIG. 21A shows a conductive via at a first level of an interconnect structure (e.g., first level conductive via 162V 1 of FIGS. 19B and 19C). FIG. 21B shows a conductive line at a first level of the interconnect structure (e.g., first level conductive line 162L 1 of FIGS. 19B and 19C). FIG. 21C shows a conductive via in a second level of an interconnect structure (e.g., second level conductive via 162V 2 of FIGS. 19B and 19C). FIG. 21D shows a conductive line at a second level of the interconnect structure (e.g., second level conductive line 162L 2 of FIGS. 19B and 19C).

도 21a를 참조하면, 전도성 비아(162V1)는 비트 라인(146B)/소스 라인(146S)) 위에 있고 이들에 연결된다. 비트 라인들(146B) 및 소스 라인들(146S)은 평면도에서 메모리 어레이(50)의 행 및 열을 따라 교번하는 패턴으로 형성된다. 교번하는 패턴으로 비트 라인들(146B) 및 소스 라인들(146S)을 형성하는 것은 워드 라인(114)(도 19b 및 19c 참조)이 활성화될 때 인접한 비트 라인들(146B)/소스 라인들(146S)의 단락을 방지하는 데 도움이 된다. 이 실시형태에서, 인접한 비트 라인들(146B) 및 인접한 소스 라인들(146S)은 제1 방향(D1)(도 1a 및 1b 참조)을 따라 서로 측방향으로 정렬된다. 일부 실시형태에서, 각각의 전도성 비아(162V1)의 중심은 각각의 하부의 비트 라인(146B)/소스 라인(146S)의 중심과 측방향으로 정렬된다.Referring to Figure 21A, conductive via 162V 1 is over and connected to bit line 146B/source line 146S. The bit lines 146B and source lines 146S are formed in an alternating pattern along the rows and columns of the memory array 50 in the plan view. Forming bit lines 146B and source lines 146S in an alternating pattern means that when word line 114 (see FIGS. 19B and 19C) is activated, adjacent bit lines 146B/source lines 146S ) helps prevent short circuit. In this embodiment, adjacent bit lines 146B and adjacent source lines 146S are laterally aligned with each other along first direction D 1 (see FIGS. 1A and 1B). In some embodiments, the center of each conductive via 162V 1 is laterally aligned with the center of each underlying bit line 146B/source line 146S.

도 21b를 참조하면, 전도성 라인(162L1)은 전도성 비아(162V1) 위에 있고 이들에 연결된다. 전도성 라인(162L1)은 제1 방향(D1)(도 1a 및 1b 참조)으로 연장되고 하부의 비트 라인/소스 라인에 대한 상호연결(interconnection)을 측방향으로 오프셋(offset)한다. 다르게 말하면, 비트 라인들(146B)(도 21a 참조)에 연결된 전도성 라인들(162L1)은 제2 방향(D2)(도 1a 및 1b 참조)을 따라 소스 라인들(146S)(도 21a 참조)에 연결된 전도성 라인들(162L1)로부터 측방향으로 오프셋된다. Referring to FIG. 21B , conductive line 162L 1 is over and connected to conductive via 162V 1 . Conductive line 162L 1 extends in first direction D 1 (see FIGS. 1A and 1B) and laterally offsets the interconnection to the underlying bit line/source line. In other words, conductive lines 162L 1 connected to bit lines 146B (see FIG. 21A) are connected to source lines 146S (see FIG. 21A) along second direction D 2 (see FIGS. 1A and 1B). ) is laterally offset from the conductive lines 162L 1 connected to ).

도 21c를 참조하면, 전도성 비아(162V2)는 전도성 라인(162L1) 위에 있고 이들에 연결된다. 전도성 라인들(162L1)은 하부의 비트 라인들/소스 라인들에 대한 상호연결을 측방향으로 오프셋하기 때문에, 각 전도성 비아(162V2)의 중심은 따라서 각각의 하부의 비트 라인/소스 라인의 중심 및 각각의 하부의 전도성 비아(162V1)의 중심으로부터 측방향으로 오프셋된다. 전도성 비아(162V2)는 전도성 비아(162V1)보다 클 수 있다(예를 들어, 더 큰 폭을 가질 수 있음).Referring to Figure 21C, conductive via 162V 2 is over and connected to conductive line 162L 1 . Because the conductive lines 162L 1 laterally offset the interconnection to the underlying bit lines/source lines, the center of each conductive via 162V 2 is therefore at the center of each underlying bit line/source line. Laterally offset from the center and the center of each underlying conductive via 162V 1 . Conductive via 162V 2 may be larger (eg, have a greater width) than conductive via 162V 1 .

도 21d를 참조하면, 전도성 라인(162L2)이 전도성 비아(162V2) 위에 있고 이들에 연결된다. 전도성 라인(162L2)은 비트 라인 인터커넥트(162B)(이는 비트 라인(146B)에 연결됨, 도 21a 참조) 및 소스 라인 인터커넥트(162S)(이는 소스 라인(146S)에 연결됨, 도 21a 참조)를 포함한다. 전도성 라인들(162L1)(도 21c 참조)은 하부의 비트 라인들/소스 라인들에 대한 상호연결을 측방향으로 오프셋하기 때문에, 비트 라인 인터커넥트(162B) 및 소스 라인 인터커넥트(162S)는 따라서 제2 방향(D2)(도 1a 및 1b 참조)으로 연장되는 직선 전도성 세그먼트들일 수 있다.Referring to Figure 21D, conductive line 162L 2 is over and connected to conductive via 162V 2 . Conductive lines 162L 2 include bit line interconnect 162B (which is connected to bit line 146B, see FIG. 21A) and source line interconnect 162S (which is connected to source line 146S, see FIG. 21A). do. Because conductive lines 162L 1 (see FIG. 21C) laterally offset the interconnection to the underlying bit lines/source lines, bit line interconnect 162B and source line interconnect 162S are thus There may be straight conductive segments extending in two directions (D 2 ) (see FIGS. 1A and 1B).

도 22a 내지 22c는 다양한 실시형태에 따른 메모리 셀의 평면도이다. 격리 영역(122)은 제1 방향(D1)(도 1a 및 1b 참조)으로 폭(W4)을 가질 수 있으며, 이는 약 1 nm 내지 약 100 nm의 범위에 있을 수 있다. 백 게이트 격리물(120)은 제1 방향(D1)으로 폭(W5)을 가질 수 있으며, 이는 약 1 nm 내지 약 100 nm 범위일 수 있다. 각각의 예시된 실시형태에서, 폭(W5)은 폭(W4)보다 크다. 반도체층(118)은 제1 방향(D1)으로 폭(W6)을 가질 수 있으며, 이는 약 1 nm 내지 약 100 nm 범위일 수 있다.Figures 22A-22C are top views of memory cells according to various embodiments. Isolation region 122 may have a width W 4 in the first direction D 1 (see FIGS. 1A and 1B), which may range from about 1 nm to about 100 nm. Back gate isolator 120 may have a width W 5 in the first direction D 1 , which may range from about 1 nm to about 100 nm. In each illustrated embodiment, the width W 5 is greater than the width W 4 . The semiconductor layer 118 may have a width W 6 in the first direction D 1 , which may range from about 1 nm to about 100 nm.

도 22a는 반도체층(118)이 희생 영역(132)/개구(140)의 패터닝과 동시에 패터닝된 실시형태를 도시한다(도 15 참조). 따라서, 폭(W6)은 폭(W5)보다 크다. 또한, 폭(W6)은 격리 영역(122), 소스 라인(146S) 및 비트 라인(146B)의 결합된 폭(W7)과 동일할 수 있다. 이 실시형태에서, 비트 라인(146B)/소스 라인(146S)의 메인 영역(146BM, 146SM)은 각각 메모리 필름(116)의 측벽으로부터 분리된다.Figure 22A shows an embodiment in which the semiconductor layer 118 is patterned concurrently with the patterning of the sacrificial region 132/opening 140 (see Figure 15). Therefore, the width W 6 is greater than the width W 5 . Additionally, width W 6 may be equal to the combined width W 7 of isolation region 122, source line 146S, and bit line 146B. In this embodiment, main regions 146B M and 146S M of bit line 146B/source line 146S are each separated from the sidewalls of memory film 116.

도 22b는 반도체층(118)이, 백 게이트 격리물(120)/개구(136)의 패터닝(도 13 참조) 후에 그러나 개구(136)에 희생 영역(132)의 물질의 재퇴적(도 14 참조) 또는 희생 영역(132)/개구(140)의 패터닝(도 15 참조) 전에, 개별적으로 패터닝된 실시형태를 도시한다. 따라서, 폭(W6)은 폭(W5)보다 크다. 또한, 폭(W6)은 격리 영역(122), 소스 라인(146S) 및 비트 라인(146B)의 결합된 폭(W7)보다 작다. 이 실시형태에서, 비트 라인(146B)/소스 라인(146S)의 메인 영역(146BM, 146SM)은 각각 메모리 필름(116)의 측벽 및 반도체층(118)의 복수의 측벽과 접촉한다.FIG. 22B shows semiconductor layer 118 after patterning of back gate isolator 120/opening 136 (see FIG. 13 ) but redeposition of material in sacrificial region 132 in opening 136 (see FIG. 14 ). ) or prior to patterning of the sacrificial region 132/aperture 140 (see FIG. 15 ), showing individually patterned embodiments. Therefore, the width W 6 is greater than the width W 5 . Additionally, width W 6 is less than the combined width W 7 of isolation region 122, source line 146S, and bit line 146B. In this embodiment, main regions 146B M and 146S M of bit line 146B/source line 146S contact a sidewall of memory film 116 and a plurality of sidewalls of semiconductor layer 118, respectively.

도 22c는 반도체층(118)이 백 게이트 격리물(120)/개구(136)(도 13 참조)의 패터닝과 동시에 패터닝된 실시형태를 도시한다. 따라서, 폭(W6)은 폭(W5)과 동일하다. 또한, 폭(W6)은 격리 영역(122), 소스 라인(146S) 및 비트 라인(146B)의 결합된 폭(W7)보다 작다. 이 실시형태에서, 비트 라인(146B)/소스 라인(146S)의 메인 영역(146BM, 146SM)은 각각 메모리 필름(116)의 측벽 및 반도체층(118)의 단일의 측벽과 접촉한다.Figure 22C shows an embodiment in which the semiconductor layer 118 is patterned concurrently with the patterning of the back gate isolator 120/opening 136 (see Figure 13). Therefore, the width W 6 is equal to the width W 5 . Additionally, width W 6 is less than the combined width W 7 of isolation region 122, source line 146S, and bit line 146B. In this embodiment, main regions 146B M and 146S M of bit line 146B/source line 146S contact a single sidewall of semiconductor layer 118 and a sidewall of memory film 116, respectively.

도 23은 일부 다른 실시형태에 따른 메모리 어레이(50)의 단면도이다. 도 23은 도 20b와 유사한 단면을 따라 도시된다. 이 실시형태에서, 메모리 필름(116)은 복수의 저-유전상수 유전체층으로 형성된다. 구체적으로, 각각의 메모리 필름(116)은 제1 서브층(116L1), 제1 서브층(116L1) 상의 제2 서브층(116L2), 및 제2 서브층(116L2) 상의 제3 서브층(116L3)을 포함한다. 일부 실시형태에서, 제1 서브층(116L1) 및 제3 서브층(116L3)은 제1 유전체 물질(예를 들어, 실리콘 산화물과 같은 산화물)로 형성되고, 제2 서브층(116L2)은 상이한 제2 유전체 물질(예를 들어, 실리콘 질화물과 같은 질화물)로 형성된다. 저-유전상수 유전체층은 트랜지스터가 플로팅 게이트(floating gate) 트랜지스터로 작동하도록 할 수 있다.Figure 23 is a cross-sectional view of a memory array 50 according to some other embodiments. Figure 23 is shown along a similar cross section to Figure 20b. In this embodiment, memory film 116 is formed from a plurality of low-k dielectric layers. Specifically, each memory film 116 includes a first sub-layer 116L 1 , a second sub-layer 116L 2 on the first sub-layer 116L 1 , and a third sub-layer 116L 2 on the second sub-layer 116L 2 . It includes a sub-layer (116L 3 ). In some embodiments, first sub-layer 116L 1 and third sub-layer 116L 3 are formed of a first dielectric material (e.g., an oxide such as silicon oxide), and second sub-layer 116L 2 is formed of a different second dielectric material (eg, a nitride such as silicon nitride). The low-k dielectric layer can allow the transistor to operate as a floating gate transistor.

도 2 내지 23에 대해 전술한 실시형태에서, 메모리 어레이(50)는 기판(102) 위에 형성된다. 일부 실시형태에서, 메모리 어레이(50)는 디바이스 패키징을 통해 다른 디바이스(예를 들어, 로직 다이(logic die))와 통합되는 독립형 디바이스(예를 들어, 메모리 다이)의 부분으로서 형성된다. 일부 실시형태에서, 메모리 어레이(50)는 로직 다이와 같은 다른 디바이스에 내장된다. 이러한 실시형태에서, 기판(102)은 생략될 수 있거나, 하부의 유전체층, 하부의 반도체 기판 등과 같은 하부의 층일 수 있다.In the embodiment described above with respect to FIGS. 2-23, memory array 50 is formed over substrate 102. In some embodiments, memory array 50 is formed as part of a stand-alone device (e.g., a memory die) that is integrated with another device (e.g., a logic die) through device packaging. In some embodiments, memory array 50 is embedded in another device, such as a logic die. In this embodiment, substrate 102 may be omitted, or may be an underlying layer, such as an underlying dielectric layer, an underlying semiconductor substrate, etc.

도 24는 일부 실시형태에 따른 반도체 디바이스(300)의 단면도이다. 도 24는 도 1a의 참조 단면 B-B'를 따라 도시된 단면도이다. 도 24는 단순화된 도면이며, 설명의 명확성을 위해 일부 피처는 생략되었다. 반도체 디바이스(300)는 로직 영역(300L) 및 메모리 영역(300M)을 포함한다. 메모리 영역(300M)에는 메모리 디바이스(예를 들어, 메모리)가 형성되고, 로직 영역(300L)에는 로직 디바이스(예를 들어, 로직 회로)가 형성된다. 예를 들어, 메모리 어레이(50)(도 1 참조)는 메모리 영역(300M)에 형성될 수 있고, 로직 디바이스는 로직 영역(300L)에 형성될 수 있다. 메모리 영역(300M)은 로직 영역(300L)의 엣지에 배치될 수 있거나, 로직 영역(300L)이 메모리 영역(300M)을 둘러쌀 수 있다.Figure 24 is a cross-sectional view of a semiconductor device 300 according to some embodiments. FIG. 24 is a cross-sectional view taken along reference cross-section B-B' of FIG. 1A. Figure 24 is a simplified drawing, and some features have been omitted for clarity of explanation. The semiconductor device 300 includes a logic area 300L and a memory area 300M. A memory device (eg, memory) is formed in the memory area 300M, and a logic device (eg, a logic circuit) is formed in the logic area 300L. For example, the memory array 50 (see FIG. 1) may be formed in the memory area 300M, and the logic device may be formed in the logic area 300L. The memory area 300M may be placed at the edge of the logic area 300L, or the logic area 300L may surround the memory area 300M.

로직 영역(300L) 및 메모리 영역(300M)은 동일한 반도체 기판(302) 위에 형성된다. 반도체 기판(302)은 실리콘이거나, 도핑되거나 도핑되지 않을 수 있으며, 또는 세미컨덕터-온-인슐레이터(semiconductor-on-insulator, SOI) 기판의 활성층일 수 있다. 반도체 기판(302)은 게르마늄; 실리콘 탄화물, 갈륨 비소, 갈륨 인화물, 갈륨 질화물, 인듐 인화물, 인듐 비화물 및/또는 인듐 안티몬화물을 포함하는 화합물 반도체; SiGe, GaAsP, AlInAs, AlGaAs, GaInAs, GaInP 및/또는 GaInAsP를 포함하는 혼정 반도체; 또는 이들의 조합과 같은 다른 반도체 물질을 포함할 수 있다. 다층 또는 그래디언트(gradient) 기판과 같은 다른 기판도 사용될 수 있다.The logic area 300L and the memory area 300M are formed on the same semiconductor substrate 302. Semiconductor substrate 302 may be silicon, doped or undoped, or may be an active layer of a semiconductor-on-insulator (SOI) substrate. The semiconductor substrate 302 is made of germanium; compound semiconductors including silicon carbide, gallium arsenide, gallium phosphide, gallium nitride, indium phosphide, indium arsenide and/or indium antimonide; mixed crystal semiconductors including SiGe, GaAsP, AlInAs, AlGaAs, GaInAs, GaInP and/or GaInAsP; or other semiconductor materials such as combinations thereof. Other substrates such as multilayer or gradient substrates may also be used.

디바이스(304)는 반도체 기판(302)의 활성 표면에 형성된다. 디바이스(304)는 능동 디바이스 또는 수동 디바이스일 수 있다. 예를 들어, 전기 부품은 임의의 적절한 형성 방법에 의해 형성된 트랜지스터, 다이오드, 커패시터, 저항기 등일 수 있다. 디바이스(304)는 상호 연결되어 반도체 디바이스(300)의 메모리 디바이스 및 로직 디바이스를 형성한다.Device 304 is formed on the active surface of semiconductor substrate 302. Device 304 may be an active device or a passive device. For example, the electrical component may be a transistor, diode, capacitor, resistor, etc. formed by any suitable forming method. Devices 304 are interconnected to form the memory device and logic device of semiconductor device 300.

하나 이상의 층간 유전체(inter-layer dielectric, ILD)층(들)(306)이 반도체 기판(302) 상에 형성되고, 콘택트 플러그(308)와 같은 전기 전도성 피처가 디바이스(304)에 전기적으로 연결되어 형성된다. ILD층(들)(306)은 예를 들어, 실리콘 산화물과 같은 산화물, 포스포실리케이트 유리(phosphosilicate glass, PSG), 보로실리케이트 유리(borosilicate glass, BSG), 붕소-도핑된 포스포실리케이트 유리(boron-doped phosphosilicate glass, BPSG) 등; 실리콘 질화물과 같은 질화물; 기타와 같은 임의의 적절한 유전체 물질로 형성될 수 있다. ILD층(들)은 스핀 코팅, 물리적 기상 증착(PVD), 화학적 기상 증착(CVD) 등, 또는 이들의 조합과 같은 임의의 허용 가능한 퇴적 프로세스에 의해 형성될 수 있다. ILD층(들)의 전기 전도성 피처는 퇴적(deposition), 다마신(damascene)(예를 들어, 단일 다마신, 이중 다마신 등) 등 또는 이들의 조합과 같은 임의의 적절한 프로세스를 통해 형성될 수 있다.One or more inter-layer dielectric (ILD) layer(s) 306 are formed on the semiconductor substrate 302 and an electrically conductive feature, such as a contact plug 308, is electrically connected to the device 304. is formed ILD layer(s) 306 may be, for example, an oxide such as silicon oxide, phosphosilicate glass (PSG), borosilicate glass (BSG), boron-doped phosphosilicate glass (boron). -doped phosphosilicate glass (BPSG), etc.; nitrides such as silicon nitride; It may be formed of any suitable dielectric material, such as others. The ILD layer(s) may be formed by any acceptable deposition process, such as spin coating, physical vapor deposition (PVD), chemical vapor deposition (CVD), etc., or combinations thereof. The electrically conductive features of the ILD layer(s) may be formed through any suitable process, such as deposition, damascene (e.g., single damascene, double damascene, etc.), etc., or a combination thereof. there is.

인터커넥트 구조물(310)이 반도체 기판(302) 위에 형성된다. 인터커넥트 구조물(310)은 로직 영역(300L) 및 메모리 영역(300M) 각각에서 집적 회로를 형성하기 위해 디바이스(304)를 상호 접속한다. 인터커넥트 구조물(310)은 다중 금속화층(M1-M5)을 포함한다. 5개의 금속화층이 도시되어 있지만, 더 많거나 더 적은 금속화층이 포함될 수 있다는 것이 이해되어야 한다. 각각의 금속화층(M1-M5)은 유전체층에 금속화 패턴을 포함한다. 금속화 패턴은 반도체 기판(302)의 디바이스(304)에 연결되고, 하나 이상의 금속간 유전체(IMD)층에 형성된 금속 라인(L1-L5) 및 금속 비아(V1-V5)를 각각 포함한다. 인터커넥트 구조물(310)은 단일 다마신 프로세스, 이중 다마신 프로세스와 같은 다마신 프로세스 등에 의해 형성될 수 있다. 일부 실시형태에서, 콘택트 플러그(308)는 또한 최하부 층의 금속 비아(V1) 부분과 같이, 금속화 패턴의 부분이다.An interconnect structure 310 is formed over the semiconductor substrate 302. Interconnect structures 310 interconnect devices 304 to form integrated circuits in logic region 300L and memory region 300M, respectively. Interconnect structure 310 includes multiple metallization layers (M1-M5). Although five metallization layers are shown, it should be understood that more or fewer metallization layers may be included. Each metallization layer (M1-M5) includes a metallization pattern in the dielectric layer. The metallization pattern is connected to the device 304 of the semiconductor substrate 302 and includes metal lines (L1-L5) and metal vias (V1-V5), respectively, formed in one or more intermetallic dielectric (IMD) layers. Interconnect structure 310 may be formed by a single damascene process, a damascene process, such as a dual damascene process, etc. In some embodiments, contact plug 308 is also part of a metallization pattern, such as a portion of metal via V1 in the bottom layer.

이 실시형태에서, 메모리 어레이(50)는 인터커넥트 구조물(310)에 형성된다. 메모리 어레이(50)는 임의의 금속화층(M1-M5)에 형성될 수 있고, 중간 금속화층(M4)에 형성되는 것으로 도시되어 있지만, 그것은 또한 하부의 금속화층(M1-M3) 또는 상부의 금속화층(M5)에 형성될 수도 있다. 메모리 어레이(50)는 디바이스(304)에 전기적으로 연결된다. 이 실시형태에서, 메모리 어레이(50) 위에 놓인 금속화층(예를 들어, 금속화층(M5))은 소스 라인(146S) 및 비트 라인(146B)에 대한 인터커넥트를 포함한다. 메모리 어레이(50) 위에 놓인 금속화층(예를 들어, 금속화층(M5))은 또한 전도성 콘택트(166)(도 20j 참조)와 같이 워드 라인(114)에 대한 인터커넥트를 포함할 수 있다. 다른 실시형태에서, 메모리 어레이(50) 아래에 있는 금속화층(예를 들어, 금속화층(M3))은 소스 라인(146S), 비트 라인(146B) 및/또는 워드 라인(114)에 대한 인터커넥트를 포함한다.In this embodiment, memory array 50 is formed in interconnect structure 310. Memory array 50 can be formed in any of the metallization layers (M1-M5), and is shown as being formed in the middle metallization layer (M4), but it can also be formed in the lower metallization layer (M1-M3) or the upper metallization layer (M4). It may be formed in the fire layer (M5). Memory array 50 is electrically connected to device 304. In this embodiment, a metallization layer overlying memory array 50 (e.g., metallization layer M5) includes interconnects to source line 146S and bit line 146B. The metallization layer overlying memory array 50 (e.g., metallization layer M5) may also include interconnects to word lines 114, such as conductive contacts 166 (see FIG. 20J). In another embodiment, a metallization layer (e.g., metallization layer M3) underlying memory array 50 provides interconnects to source lines 146S, bit lines 146B, and/or word lines 114. Includes.

일부 실시형태에서, 인터커넥트 구조물(310)은 먼저 메모리 어레이(50) 아래에 있는 층, 예를 들어 금속화층(M1-M3)을 형성함으로써 형성될 수 있다. 그 다음 메모리 어레이(50)가 금속화층(M3) 상에 형성될 수 있고, 이 때 기판(102)은 금속화층(M3)의 IMD 상의 에칭 정지층일 수 있다. 메모리 어레이(50)의 형성 후, 금속화층(M4)을 위한 IMD를 퇴적 및 평탄화한 다음 금속 라인(L4) 및 금속 비아(V4)를 형성하는 것(이는 IMD(216) 및 전도성 콘택트(166)를 형성하는 것을 포함할 수 있음, 도 20j 참조)과 같이, 금속화층(M4)의 나머지가 형성될 수 있다. 그 다음 메모리 어레이(50) 위에 놓인 층(존재하는 경우), 예를 들어 금속화층(M5)이 형성될 수 있다.In some embodiments, interconnect structure 310 may be formed by first forming a layer underlying memory array 50, such as metallization layers M1-M3. Memory array 50 may then be formed on metallization layer M3, where substrate 102 may be an etch stop layer on the IMD of metallization layer M3. After forming the memory array 50, depositing and planarizing the IMD for metallization layer M4 and then forming metal lines L4 and metal vias V4, which are connected to IMD 216 and conductive contacts 166. The remainder of the metallization layer M4 may be formed, as shown (see FIG. 20J). A layer overlying memory array 50 (if present) may then be formed, for example metallization layer M5.

도 25 내지 27은 일부 다른 실시형태에 따른 메모리 어레이(50)의 제조에서 중간 단계의 도면이다. 도 25 내지 27은 3차원 도면이다. 메모리 어레이(50)의 일 부분이 도시되어 있다. 워드 라인의 계단 배열(도 1a 참조)과 같은 일부 피처는 설명의 명확성을 위해 일부 도면에는 도시되지 않았다.25-27 are diagrams of intermediate steps in the fabrication of memory array 50 according to some other embodiments. Figures 25 to 27 are three-dimensional drawings. A portion of memory array 50 is shown. Some features, such as the staircase arrangement of word lines (see Figure 1A), are not shown in some figures for clarity of explanation.

도 25에서, 기판(102)이 제공되고 기판(102) 위에 다층 스택(104)이 형성된다. 이 실시형태에서는 다층 스택(104)이 교번하는 유전체층(106) 및 전도성 층(168)을 포함하는 것을 제외하면, 기판(102) 및 다층 스택(104)은 도 2와 관련하여 위에서 설명된 것과 유사한 방식으로 형성될 수 있다. 전도성 층(168)은 전도성 피처(114A, 114B)의 메인층(114AM, 114BM)의 동일한 후보 물질 그룹으로부터 선택된 물질로 형성될 수 있고, 전도성 피처(114A, 114B)의 메인층(114AM, 114BM)의 물질을 형성하기 위한 동일한 후보 방법의 그룹으로부터 선택된 방법을 사용하여 형성될 수 있다.25, a substrate 102 is provided and a multilayer stack 104 is formed on the substrate 102. Substrate 102 and multilayer stack 104 are similar to those described above with respect to FIG. 2, except that in this embodiment multilayer stack 104 includes alternating dielectric layers 106 and conductive layers 168. It can be formed in this way. Conductive layer 168 may be formed of a material selected from the same group of candidate materials as main layers 114A M , 114B M of conductive features 114A, 114B , and , 114B M ) can be formed using a method selected from the same group of candidate methods for forming the material.

도 26에서, 트렌치(110)가 다층 스택(104)에서 패터닝된다. 트렌치(110)는 도 3과 관련하여 위에서 설명된 것과 유사한 방식으로 형성될 수 있다. 이 실시형태에서, 트렌치(110)를 형성하는 것은 전도성 층(168)을 패터닝하여 워드 라인(114)을 형성한다. 이 실시형태에서 워드 라인들(114)은 다수의 층을 포함하지 않을 수 있고, 그 대신 각각 전도성 물질(예를 들어, 텅스텐)의 연속 층(continuous layer)일 수 있다.26, trenches 110 are patterned in multilayer stack 104. Trench 110 may be formed in a manner similar to that described above with respect to FIG. 3 . In this embodiment, forming trench 110 patterns conductive layer 168 to form word lines 114. The word lines 114 in this embodiment may not include multiple layers, but may instead each be a continuous layer of a conductive material (eg, tungsten).

도 27에서, 메모리 필름(116), 반도체층(118), 백 게이트 격리물(120) 및 격리 영역(122)이 트렌치(110)에 형성된다. 이들 피처는 도 6과 관련하여 위에서 설명된 것과 유사한 방식으로 형성될 수 있다. 따라서 트랜지스터의 상기 피처들은 단일의 패터닝 프로세스에 의해 형성되며, 여기서 패터닝 프로세스만이 다층 스택(104)에서 트렌치(110) 및 트랜지스터의 층들을 형성하는 데 사용된다. 이 처리 단계 후에, 도 11 내지 도 18과 관련하여 위에서 설명된 바와 같이 격리 영역(122)의 부분들이 트랜지스터의 나머지 피처들로 대체될 수 있다. 그 다음 도 19a, 19b 및 19c와 관련하여 위에서 설명된 것과 유사한 방식으로, 인터커넥트 구조물이 형성될 수 있다. 27, memory film 116, semiconductor layer 118, back gate isolator 120, and isolation region 122 are formed in trench 110. These features may be formed in a manner similar to that described above with respect to FIG. 6 . The features of the transistor are thus formed by a single patterning process, where only the patterning process is used to form the trench 110 and the layers of the transistor in the multilayer stack 104. After this processing step, portions of isolation region 122 may be replaced with the remaining features of the transistor as described above with respect to FIGS. 11-18. The interconnect structure may then be formed in a manner similar to that described above with respect to FIGS. 19A, 19B and 19C.

실시형태들은 이점을 얻을 수 있다. 패터닝된 백 게이트 격리물(120)은 비트 라인(146B)/소스 라인(146S)의 확장 영역(146BE, 146SE)이 쓰기 동작(write operations) 동안 백 게이트로서도 작용하도록 한다. 백 게이트(back gates)는 쓰기 동작 동안 반도체층(118)(특히, 워드 라인(114) 말단(distal)에 있는 반도체층(118)의 부분)의 표면 전위(surface potential)를 제어(예를 들어, 감소)하는 것을 도모할 수 있다. 따라서 쓰기 동작을 위한 윈도우가 넓어질 수 있다. 쓰기 동작 동안 반도체층(118)의 표면 전위를 감소시키는 것은 또한 쓰기 동작 동안 메모리 필름(116)에 걸쳐 인가되는 쓰기 전압을 증가시키는 것을 도모한다. 따라서 메모리 어레이(50)의 성능이 향상될 수 있다.Embodiments may benefit from this. The patterned back gate isolation 120 causes the extension regions 146B E and 146S E of bit line 146B/source line 146S to also act as a back gate during write operations. Back gates control the surface potential of the semiconductor layer 118 (particularly the portion of the semiconductor layer 118 distal to the word line 114) during a write operation (e.g. , reduction) can be pursued. Therefore, the window for the write operation can be widened. Reducing the surface potential of the semiconductor layer 118 during a write operation also seeks to increase the write voltage applied across the memory film 116 during a write operation. Therefore, the performance of the memory array 50 can be improved.

일 실시형태에서, 디바이스는, 제1 방향으로 연장되는 워드 라인; 상기 워드 라인의 측벽 상의 데이터 저장층; 상기 데이터 저장층의 측벽 상의 채널층; 상기 채널층의 측벽 상의 백 게이트 격리물; 및 상기 채널층과 접촉하는 제1 메인 영역 및 상기 백 게이트 격리물에 의해 상기 채널층으로부터 분리된 제1 확장 영역을 가지며, 상기 제1 방향에 수직인 제2 방향으로 연장되는 비트 라인을 포함한다.In one embodiment, the device includes a word line extending in a first direction; a data storage layer on a sidewall of the word line; a channel layer on sidewalls of the data storage layer; back gate isolation on sidewalls of the channel layer; and a bit line having a first main region in contact with the channel layer and a first extended region separated from the channel layer by the back gate isolator, and extending in a second direction perpendicular to the first direction. .

상기 디바이스의 일부 실시형태에서, 상기 비트 라인의 상기 제1 메인 영역은 상기 채널층에 의해 상기 데이터 저장층의 상기 측벽으로부터 분리된다. 상기 디바이스의 일부 실시형태에서, 상기 비트 라인의 상기 제1 메인 영역은 상기 데이터 저장층의 상기 측벽 및 상기 채널층의 단일의 측벽과 접촉한다. 상기 디바이스의 일부 실시형태에서, 상기 비트 라인의 상기 제1 메인 영역은 상기 데이터 저장층의 상기 측벽 및 상기 채널층의 복수의 측벽과 접촉한다. 일부 실시형태에서, 상기 디바이스는, 상기 채널층과 접촉하는 제2 메인 영역 및 상기 백 게이트 격리물에 의해 상기 채널층으로부터 분리된 제2 확장 영역을 가지며, 상기 제2 방향으로 연장되는 소스 라인, 및 상기 소스 라인과 상기 비트 라인 사이의 격리 영역을 더 포함한다. 상기 디바이스의 일부 실시형태에서, 상기 격리 영역, 상기 비트 라인의 상기 제1 확장 영역 및 상기 소스 라인의 상기 제2 확장 영역은, 상기 제1 방향 및 상기 제2 방향에 수직인 제3 방향으로 동일한 폭을 가진다. 상기 디바이스의 일부 실시형태에서, 상기 격리 영역은 상기 제1 방향으로 제1 폭을 가지고, 상기 백 게이트 격리물은 상기 제1 방향으로 상기 제1 폭보다 더 큰 제2 폭을 가진다. 상기 디바이스의 일부 실시형태에서, 상기 백 게이트 격리물은 알루미늄 산화물을 포함한다.In some embodiments of the device, the first main region of the bit line is separated from the sidewall of the data storage layer by the channel layer. In some embodiments of the device, the first main region of the bit line contacts a single sidewall of the channel layer and the sidewall of the data storage layer. In some embodiments of the device, the first main region of the bit line contacts the sidewall of the data storage layer and a plurality of sidewalls of the channel layer. In some embodiments, the device has a second main region in contact with the channel layer and a second extended region separated from the channel layer by the back gate isolation, a source line extending in the second direction; and an isolation area between the source line and the bit line. In some embodiments of the device, the isolation area, the first extended area of the bit line, and the second extended area of the source line have the same direction in the first direction and a third direction perpendicular to the second direction. It has width. In some embodiments of the device, the isolation region has a first width in the first direction and the back gate isolation has a second width in the first direction that is greater than the first width. In some embodiments of the device, the back gate isolation includes aluminum oxide.

일부 실시형태에서, 디바이스는, 제1 방향으로 연장되고, 평면도에서 제1 T형 단면을 가지는 비트 라인; 상기 제1 방향으로 연장되고, 상기 평면도에서 제2 T형 단면을 가지는 소스 라인; 상기 소스 라인과 상기 비트 라인 사이의 격리 영역; 상기 제1 방향에 수직인 제2 방향으로 연장되는 워드 라인; 상기 워드 라인과, 상기 격리 영역, 상기 비트 라인의 제1 부분 및 상기 소스 라인의 제2 부분 각각과의 사이의 백 게이트 격리물; 상기 백 게이트 격리물과 상기 워드 라인 사이의 채널층; 및 상기 채널층과 상기 워드 라인 사이의 데이터 저장층을 포함한다.In some embodiments, the device includes a bit line extending in a first direction and having a first T-shaped cross-section in plan view; a source line extending in the first direction and having a second T-shaped cross-section in the plan view; an isolation region between the source line and the bit line; a word line extending in a second direction perpendicular to the first direction; a back gate isolation between the word line and each of the isolation region, the first portion of the bit line, and the second portion of the source line; a channel layer between the back gate isolator and the word line; and a data storage layer between the channel layer and the word line.

상기 디바이스의 일부 실시형태에서, 상기 격리 영역은 상기 제2 방향으로 제1 폭을 가지고, 상기 백 게이트 격리물은 상기 제2 방향으로 제2 폭을 가지며, 상기 제2 폭은 상기 제1 폭보다 더 크다. 상기 디바이스의 일부 실시형태에서, 상기 채널층은 상기 제2 방향으로 상기 제2 폭을 가진다. 상기 디바이스의 일부 실시형태에서, 상기 채널층은 상기 제2 방향으로 제3 폭을 가지고, 상기 비트 라인, 상기 소스 라인 및 상기 격리 영역의 조합은 상기 제2 방향으로 제4 폭을 가지며, 상기 제3 폭은 상기 제2 폭보다 더 크고 상기 제4 폭보다 더 작다. 상기 디바이스의 일부 실시형태에서, 상기 채널층은 상기 제2 방향으로 제3 폭을 가지고, 상기 비트 라인, 상기 소스 라인 및 상기 격리 영역의 조합은 상기 제2 방향으로 상기 제3 폭을 가지며, 상기 제3 폭은 상기 제2 폭보다 더 크다. 일부 실시형태에서, 상기 디바이스는, 상기 소스 라인 위의 상기 소스 라인에 연결되는 소스 라인 인터커넥트; 및 상기 비트 라인 위의 상기 비트 라인에 연결되는 비트 라인 인터커넥트를 더 포함한다. 상기 디바이스의 일부 실시형태에서, 상기 백 게이트 격리물은 알루미늄 산화물을 포함한다.In some embodiments of the device, the isolation region has a first width in the second direction, the back gate isolation has a second width in the second direction, and the second width is greater than the first width. It's bigger. In some embodiments of the device, the channel layer has the second width in the second direction. In some embodiments of the device, the channel layer has a third width in the second direction, the combination of the bit line, the source line, and the isolation region has a fourth width in the second direction, and the The third width is larger than the second width and smaller than the fourth width. In some embodiments of the device, the channel layer has a third width in the second direction, and the combination of the bit line, the source line, and the isolation region has the third width in the second direction, and The third width is larger than the second width. In some embodiments, the device includes a source line interconnect coupled to the source line over the source line; and a bit line interconnect connected to the bit line over the bit line. In some embodiments of the device, the back gate isolation includes aluminum oxide.

일 실시형태에서, 방법은, 한 쌍의 제1 유전체층들 사이에 워드 라인을 형성하는 단계; 상기 제1 유전체층들의 측벽 및 상기 워드 라인의 측벽 상에 데이터 저장층을 퇴적하는 단계; 상기 데이터 저장층의 측벽 상에 채널층을 퇴적하는 단계; 상기 채널층의 측벽 상에 제1 유전체층을 퇴적하는 단계; 상기 제1 유전체층의 측벽 상에 제1 격리 영역을 형성하는 단계; 상기 제1 격리 영역의 제1 부분을 제거하는 단계 - 상기 제거 후에 상기 제1 격리 영역의 제2 부분이 남아 있음 - ; 상기 제1 격리 영역의 상기 제1 부분을 제거한 후, 상기 제1 유전체층을 패터닝하여 백 게이트 격리물을 형성하는 단계; 및 상기 제1 격리 영역의 상기 제2 부분의 양측에 비트 라인 및 소스 라인을 형성하는 단계 - 상기 백 게이트 격리물은 상기 채널층을 상기 비트 라인의 제1 부분 및 상기 소스 라인의 제2 부분으로부터 분리함 - 을 포함한다.In one embodiment, the method includes forming a word line between a pair of first dielectric layers; depositing a data storage layer on the sidewalls of the first dielectric layers and the word line; depositing a channel layer on sidewalls of the data storage layer; depositing a first dielectric layer on sidewalls of the channel layer; forming a first isolation region on a sidewall of the first dielectric layer; removing a first portion of the first isolation area, with a second portion of the first isolation area remaining after the removal; After removing the first portion of the first isolation region, patterning the first dielectric layer to form a back gate isolation; and forming a bit line and a source line on either side of the second portion of the first isolation region, wherein the back gate isolation separates the channel layer from the first portion of the bit line and the second portion of the source line. Separated - Includes.

일부 실시형태에서, 상기 방법은, 상기 제1 유전체층을 패터닝하면서 상기 채널층을 패터닝하는 단계를 더 포함한다. 일부 실시형태에서, 상기 방법은, 상기 채널층을 통해 연장되는 제2 격리 영역을 형성하는 단계; 및 상기 제2 격리 영역을 형성하면서 상기 채널층을 패터닝하는 단계를 더 포함한다. 일부 실시형태에서, 상기 방법은, 상기 채널층을 통해 연장되는 제2 격리 영역을 형성하는 단계; 및 상기 제1 유전체층을 패터닝한 후 및 상기 제2 격리 영역을 형성하기 전에 상기 채널층을 패터닝하는 단계를 더 포함한다. 상기 방법의 일부 실시형태에서, 상기 제1 유전체층은 알루미늄 산화물로 형성된다.In some embodiments, the method further includes patterning the channel layer while patterning the first dielectric layer. In some embodiments, the method includes forming a second isolation region extending through the channel layer; and patterning the channel layer while forming the second isolation region. In some embodiments, the method includes forming a second isolation region extending through the channel layer; and patterning the channel layer after patterning the first dielectric layer and before forming the second isolation region. In some embodiments of the method, the first dielectric layer is formed of aluminum oxide.

이상의 내용은 이 분야의 기술자가 본 발명의 측면을 더 잘 이해할 수 있도록 여러 실시형태의 특징의 개요를 설명한다. 이 분야의 기술자는 본 발명과 동일한 목적을 수행하고/수행하거나 여기에 소개된 실시형태와 동일한 이점을 달성하기 위해, 다른 프로세스 및 구조를 설계 또는 수정하기 위한 기초로서 본 개시를 쉽게 이용할 수 있다는 것을 이해하여야 한다. 이 분야의 기술자는 그러한 균등한 구성은 본 발명의 사상 및 범위를 벗어나지 않으며, 본 발명의 사상 및 범위를 벗어나지 않고 다양한 변경, 치환 및 개조가 만들어질 수 있음을 인식해야 한다.The foregoing outlines features of several embodiments to enable those skilled in the art to better understand aspects of the present invention. Those skilled in the art may readily use the present disclosure as a basis for designing or modifying other processes and structures to carry out the same purposes as the invention and/or achieve the same advantages as the embodiments introduced herein. You must understand. Those skilled in the art should recognize that such equivalent configurations do not depart from the spirit and scope of the present invention, and that various changes, substitutions, and modifications may be made without departing from the spirit and scope of the present invention.

<부기><Boogie>

1. 디바이스에 있어서, 1. In the device,

제1 방향으로 연장되는 워드 라인; a word line extending in a first direction;

상기 워드 라인의 측벽 상의 데이터 저장층; a data storage layer on a sidewall of the word line;

상기 데이터 저장층의 측벽 상의 채널층; a channel layer on sidewalls of the data storage layer;

상기 채널층의 측벽 상의 백 게이트 격리물(isolator); 및 a back gate isolator on the sidewall of the channel layer; and

제1 메인 영역 및 제1 확장 영역을 갖는 비트 라인 - 상기 제1 메인 영역은 상기 채널층과 접촉하고, 상기 제1 확장 영역은 상기 백 게이트 격리물에 의해 상기 채널층으로부터 분리되고, 상기 비트 라인은, 상기 제1 방향에 수직인 제2 방향으로 연장됨 - A bit line having a first main region and a first extended region, wherein the first main region contacts the channel layer, the first extended region is separated from the channel layer by the back gate isolation, and the bit line extends in a second direction perpendicular to the first direction -

을 포함하는, 디바이스.A device containing.

2. 제1항에 있어서, 상기 비트 라인의 제1 메인 영역은 상기 채널층에 의해 상기 데이터 저장층의 측벽으로부터 분리되는, 디바이스.2. The device of claim 1, wherein a first main region of the bit line is separated from a sidewall of the data storage layer by the channel layer.

3. 제1항에 있어서, 상기 비트 라인의 제1 메인 영역은 상기 데이터 저장층의 측벽 및 상기 채널층의 단일의 측벽과 접촉하는, 디바이스.3. The device of claim 1, wherein a first main region of the bit line contacts a single sidewall of the data storage layer and a single sidewall of the channel layer.

4. 제1항에 있어서, 상기 비트 라인의 제1 메인 영역은 상기 데이터 저장층의 측벽 및 상기 채널층의 복수의 측벽과 접촉하는, 디바이스.4. The device of claim 1, wherein the first main area of the bit line contacts a sidewall of the data storage layer and a plurality of sidewalls of the channel layer.

5. 제1항에 있어서, 5. In paragraph 1,

제2 메인 영역 및 제2 확장 영역을 갖는 소스 라인 - 상기 제2 메인 영역은 상기 채널층과 접촉하고, 상기 제2 확장 영역은 상기 백 게이트 격리물에 의해 상기 채널층으로부터 분리되고, 상기 소스 라인은 상기 제2 방향으로 연장됨 - ; 및 a source line having a second main area and a second extended area, the second main area contacting the channel layer, the second extended area being separated from the channel layer by the back gate isolation, and the source line extends in the second direction -; and

상기 소스 라인과 상기 비트 라인 사이의 격리 영역Isolation area between the source line and the bit line

을 더 포함하는, 디바이스.A device further comprising:

6. 제5항에 있어서, 상기 격리 영역, 상기 비트 라인의 제1 확장 영역, 및 상기 소스 라인의 제2 확장 영역은, 상기 제1 방향 및 상기 제2 방향에 수직인 제3 방향으로 동일한 폭을 가지는, 디바이스.6. The method of claim 5, wherein the isolation area, the first extended area of the bit line, and the second extended area of the source line have the same width in the first direction and a third direction perpendicular to the second direction. A device with .

7. 제5항에 있어서, 상기 격리 영역은 상기 제1 방향으로 제1 폭을 가지고, 상기 백 게이트 격리물은 상기 제1 방향으로 제2 폭을 가지고, 상기 제2 폭은 상기 제1 폭보다 더 큰, 디바이스.7. The method of clause 5, wherein the isolation region has a first width in the first direction, and the back gate isolation has a second width in the first direction, wherein the second width is greater than the first width. Bigger, device.

8. 제1항에 있어서, 상기 백 게이트 격리물은 알루미늄 산화물을 포함하는, 디바이스.8. The device of clause 1, wherein the back gate isolation comprises aluminum oxide.

9. 디바이스에 있어서, 9. In the device,

제1 방향으로 연장되고, 평면도에서 제1 T형 단면을 가지는 비트 라인; a bit line extending in a first direction and having a first T-shaped cross-section in plan view;

상기 제1 방향으로 연장되고, 상기 평면도에서 제2 T형 단면을 가지는 소스 라인; a source line extending in the first direction and having a second T-shaped cross-section in the plan view;

상기 소스 라인과 상기 비트 라인 사이의 격리 영역; an isolation region between the source line and the bit line;

상기 제1 방향에 수직인 제2 방향으로 연장되는 워드 라인; a word line extending in a second direction perpendicular to the first direction;

상기 격리 영역, 상기 비트 라인의 제1 부분, 및 상기 소스 라인의 제2 부분 각각과 상기 워드 라인 사이의 백 게이트 격리물; a back gate isolation between the word line and each of the isolation region, the first portion of the bit line, and the second portion of the source line;

상기 백 게이트 격리물과 상기 워드 라인 사이의 채널층; 및 a channel layer between the back gate isolator and the word line; and

상기 채널층과 상기 워드 라인 사이의 데이터 저장층Data storage layer between the channel layer and the word line

을 포함하는, 디바이스.A device containing.

10. 제9항에 있어서, 상기 격리 영역은 상기 제2 방향으로 제1 폭을 가지고, 상기 백 게이트 격리물은 상기 제2 방향으로 제2 폭을 가지고, 상기 제2 폭은 상기 제1 폭보다 더 큰, 디바이스.10. The method of clause 9, wherein the isolation region has a first width in the second direction, and the back gate isolation has a second width in the second direction, wherein the second width is greater than the first width. Bigger, device.

11. 제10항에 있어서, 상기 채널층은 상기 제2 방향으로 상기 제2 폭을 가지는, 디바이스.11. The device of clause 10, wherein the channel layer has the second width in the second direction.

12. 제10항에 있어서, 상기 채널층은 상기 제2 방향으로 제3 폭을 가지고, 상기 비트 라인, 상기 소스 라인, 및 상기 격리 영역의 조합은 상기 제2 방향으로 제4 폭을 가지고, 상기 제3 폭은 상기 제2 폭보다 더 크고 상기 제4 폭보다 더 작은, 디바이스.12. The method of clause 10, wherein the channel layer has a third width in the second direction, and the combination of the bit line, the source line, and the isolation region has a fourth width in the second direction, and A third width is greater than the second width and less than the fourth width.

13. 제10항에 있어서, 상기 채널층은 상기 제2 방향으로 제3 폭을 가지고, 상기 비트 라인, 상기 소스 라인, 및 상기 격리 영역의 조합은 상기 제2 방향으로 상기 제3 폭을 가지며, 상기 제3 폭은 상기 제2 폭보다 더 큰, 디바이스.13. The method of clause 10, wherein the channel layer has a third width in the second direction, and the combination of the bit line, the source line, and the isolation region has the third width in the second direction, and The device wherein the third width is greater than the second width.

14. 제10항에 있어서, 14. Paragraph 10:

상기 소스 라인 위에 있고 상기 소스 라인에 연결되는 소스 라인 인터커넥트; 및 a source line interconnect over and coupled to the source line; and

상기 비트 라인 위에 있고 상기 비트 라인에 연결되는 비트 라인 인터커넥트A bit line interconnect over and connected to the bit line.

를 더 포함하는, 디바이스.A device further comprising:

15. 제10항에 있어서, 상기 백 게이트 격리물은 알루미늄 산화물을 포함하는, 디바이스.15. The device of clause 10, wherein the back gate isolation comprises aluminum oxide.

16. 방법에 있어서, 16. In the method,

제1 유전체층의 쌍 사이에 워드 라인을 형성하는 단계; forming a word line between the pair of first dielectric layers;

상기 제1 유전체층의 쌍의 측벽 및 상기 워드 라인의 측벽 상에 데이터 저장층을 퇴적시키는 단계; depositing a data storage layer on the sidewalls of the pair of first dielectric layers and the sidewalls of the word line;

상기 데이터 저장층의 측벽 상에 채널층을 퇴적시키는 단계; depositing a channel layer on sidewalls of the data storage layer;

상기 채널층의 측벽 상에 제1 유전체층을 퇴적시키는 단계; depositing a first dielectric layer on sidewalls of the channel layer;

상기 제1 유전체층의 측벽 상에 제1 격리 영역을 형성하는 단계; forming a first isolation region on a sidewall of the first dielectric layer;

상기 제1 격리 영역의 제1 부분을 제거하는 단계 - 상기 제거하는 단계 후에 상기 제1 격리 영역의 제2 부분이 남아 있음 - ; removing a first portion of the first isolation area, with a second portion of the first isolation area remaining after the removing step;

상기 제1 격리 영역의 제1 부분을 제거하는 단계 후, 상기 제1 유전체층을 패터닝하여 백 게이트 격리물을 형성하는 단계; 및 After removing the first portion of the first isolation region, patterning the first dielectric layer to form a back gate isolation; and

상기 제1 격리 영역의 제2 부분의 양측에 비트 라인 및 소스 라인을 형성하는 단계 - 상기 백 게이트 격리물은 상기 채널층을 상기 비트 라인의 제1 부분 및 상기 소스 라인의 제2 부분으로부터 분리함 - forming a bit line and a source line on either side of the second portion of the first isolation region, wherein the back gate isolation separates the channel layer from the first portion of the bit line and the second portion of the source line. -

를 포함하는, 방법.Method, including.

17. 제16항에 있어서, 17. According to paragraph 16,

상기 제1 유전체층을 패터닝하면서 상기 채널층을 패터닝하는 단계Patterning the channel layer while patterning the first dielectric layer

를 더 포함하는, 방법.A method further comprising:

18. 제16항에 있어서, 18. According to paragraph 16,

상기 채널층을 통해 연장되는 제2 격리 영역을 형성하는 단계; 및 forming a second isolation region extending through the channel layer; and

상기 제2 격리 영역을 형성하면서 상기 채널층을 패터닝하는 단계Patterning the channel layer while forming the second isolation region

를 더 포함하는, 방법.A method further comprising:

19. 제16항에 있어서, 19. According to paragraph 16,

상기 채널층을 통해 연장되는 제2 격리 영역을 형성하는 단계; 및 forming a second isolation region extending through the channel layer; and

상기 제1 유전체층을 패터닝한 후에 그리고 상기 제2 격리 영역을 형성하기 전에 상기 채널층을 패터닝하는 단계patterning the channel layer after patterning the first dielectric layer and before forming the second isolation region.

를 더 포함하는, 방법.A method further comprising:

20. 제16항에 있어서, 상기 제1 유전체층은 알루미늄 산화물로 형성되는, 방법.20. The method of clause 16, wherein the first dielectric layer is formed of aluminum oxide.

Claims (10)

디바이스에 있어서,
제1 방향으로 연장되는 워드 라인;
상기 워드 라인의 측벽 상의 데이터 저장층;
상기 데이터 저장층의 측벽 상의 채널층;
상기 채널층의 측벽 상의 백 게이트 격리물(isolator); 및
제1 메인 영역 및 제1 확장 영역을 갖는 비트 라인 - 상기 제1 메인 영역은 상기 채널층과 접촉하고, 상기 제1 확장 영역은 상기 백 게이트 격리물에 의해 상기 채널층으로부터 분리되고, 상기 비트 라인은, 상기 제1 방향에 수직인 제2 방향으로 연장됨 -
을 포함하고,
상기 비트 라인의 제1 메인 영역은 상기 채널층에 의해 상기 데이터 저장층의 측벽으로부터 분리되는, 디바이스.
In the device,
a word line extending in a first direction;
a data storage layer on a sidewall of the word line;
a channel layer on sidewalls of the data storage layer;
a back gate isolator on the sidewall of the channel layer; and
A bit line having a first main region and a first extended region, wherein the first main region contacts the channel layer, the first extended region is separated from the channel layer by the back gate isolation, and the bit line extends in a second direction perpendicular to the first direction -
Including,
A first main region of the bit line is separated from a sidewall of the data storage layer by the channel layer.
삭제delete 디바이스에 있어서,
제1 방향으로 연장되는 워드 라인;
상기 워드 라인의 측벽 상의 데이터 저장층;
상기 데이터 저장층의 측벽 상의 채널층;
상기 채널층의 측벽 상의 백 게이트 격리물(isolator); 및
제1 메인 영역 및 제1 확장 영역을 갖는 비트 라인 - 상기 제1 메인 영역은 상기 채널층과 접촉하고, 상기 제1 확장 영역은 상기 백 게이트 격리물에 의해 상기 채널층으로부터 분리되고, 상기 비트 라인은, 상기 제1 방향에 수직인 제2 방향으로 연장됨 -
을 포함하고,
상기 비트 라인의 제1 메인 영역은 상기 데이터 저장층의 측벽 및 상기 채널층의 단일의 측벽과 접촉하는, 디바이스.
In the device,
a word line extending in a first direction;
a data storage layer on a sidewall of the word line;
a channel layer on sidewalls of the data storage layer;
a back gate isolator on the sidewall of the channel layer; and
A bit line having a first main region and a first extended region, wherein the first main region contacts the channel layer, the first extended region is separated from the channel layer by the back gate isolation, and the bit line extends in a second direction perpendicular to the first direction -
Including,
A first main region of the bit line contacts a sidewall of the data storage layer and a single sidewall of the channel layer.
디바이스에 있어서,
제1 방향으로 연장되는 워드 라인;
상기 워드 라인의 측벽 상의 데이터 저장층;
상기 데이터 저장층의 측벽 상의 채널층;
상기 채널층의 측벽 상의 백 게이트 격리물(isolator); 및
제1 메인 영역 및 제1 확장 영역을 갖는 비트 라인 - 상기 제1 메인 영역은 상기 채널층과 접촉하고, 상기 제1 확장 영역은 상기 백 게이트 격리물에 의해 상기 채널층으로부터 분리되고, 상기 비트 라인은, 상기 제1 방향에 수직인 제2 방향으로 연장됨 -
을 포함하고,
상기 비트 라인의 제1 메인 영역은 상기 데이터 저장층의 측벽 및 상기 채널층의 복수의 측벽과 접촉하는, 디바이스.
In the device,
a word line extending in a first direction;
a data storage layer on a sidewall of the word line;
a channel layer on sidewalls of the data storage layer;
a back gate isolator on the sidewall of the channel layer; and
A bit line having a first main region and a first extended region, wherein the first main region contacts the channel layer, the first extended region is separated from the channel layer by the back gate isolation, and the bit line extends in a second direction perpendicular to the first direction -
Including,
A first main region of the bit line contacts a sidewall of the data storage layer and a plurality of sidewalls of the channel layer.
제1항에 있어서,
제2 메인 영역 및 제2 확장 영역을 갖는 소스 라인 - 상기 제2 메인 영역은 상기 채널층과 접촉하고, 상기 제2 확장 영역은 상기 백 게이트 격리물에 의해 상기 채널층으로부터 분리되고, 상기 소스 라인은 상기 제2 방향으로 연장됨 - ; 및
상기 소스 라인과 상기 비트 라인 사이의 격리 영역
을 더 포함하는, 디바이스.
According to paragraph 1,
a source line having a second main area and a second extended area, the second main area contacting the channel layer, the second extended area being separated from the channel layer by the back gate isolation, and the source line extends in the second direction -; and
Isolation area between the source line and the bit line
A device further comprising:
제5항에 있어서, 상기 격리 영역, 상기 비트 라인의 제1 확장 영역, 및 상기 소스 라인의 제2 확장 영역은, 상기 제1 방향 및 상기 제2 방향에 수직인 제3 방향으로 동일한 폭을 가지는, 디바이스.The method of claim 5, wherein the isolation area, the first extended area of the bit line, and the second extended area of the source line have the same width in the first direction and a third direction perpendicular to the second direction. , device. 제5항에 있어서, 상기 격리 영역은 상기 제1 방향으로 제1 폭을 가지고, 상기 백 게이트 격리물은 상기 제1 방향으로 제2 폭을 가지고, 상기 제2 폭은 상기 제1 폭보다 더 큰, 디바이스.6. The method of claim 5, wherein the isolation region has a first width in the first direction and the back gate isolation has a second width in the first direction, the second width being greater than the first width. , device. 제1항에 있어서, 상기 백 게이트 격리물은 알루미늄 산화물을 포함하는, 디바이스.The device of claim 1, wherein the back gate isolation comprises aluminum oxide. 디바이스에 있어서,
제1 방향으로 연장되고, 평면도에서 제1 T형 단면을 가지는 비트 라인;
상기 제1 방향으로 연장되고, 상기 평면도에서 제2 T형 단면을 가지는 소스 라인;
상기 소스 라인과 상기 비트 라인 사이의 격리 영역;
상기 제1 방향에 수직인 제2 방향으로 연장되는 워드 라인;
상기 격리 영역, 상기 비트 라인의 제1 부분, 및 상기 소스 라인의 제2 부분 각각과 상기 워드 라인 사이의 백 게이트 격리물;
상기 백 게이트 격리물과 상기 워드 라인 사이의 채널층; 및
상기 채널층과 상기 워드 라인 사이의 데이터 저장층
을 포함하는, 디바이스.
In the device,
a bit line extending in a first direction and having a first T-shaped cross-section in plan view;
a source line extending in the first direction and having a second T-shaped cross-section in the plan view;
an isolation region between the source line and the bit line;
a word line extending in a second direction perpendicular to the first direction;
a back gate isolation between the word line and each of the isolation region, the first portion of the bit line, and the second portion of the source line;
a channel layer between the back gate isolator and the word line; and
Data storage layer between the channel layer and the word line
A device containing.
방법에 있어서,
제1 유전체층의 쌍 사이에 워드 라인을 형성하는 단계;
상기 제1 유전체층의 쌍의 측벽들 및 상기 워드 라인의 측벽 상에 데이터 저장층을 퇴적시키는 단계;
상기 데이터 저장층의 측벽 상에 채널층을 퇴적시키는 단계;
상기 채널층의 측벽 상에 제1 유전체층을 퇴적시키는 단계;
상기 제1 유전체층의 측벽 상에 제1 격리 영역을 형성하는 단계;
상기 제1 격리 영역의 제1 부분을 제거하는 단계 - 상기 제거하는 단계 후에 상기 제1 격리 영역의 제2 부분이 남아 있음 - ;
상기 제1 격리 영역의 제1 부분을 제거하는 단계 후, 상기 제1 유전체층을 패터닝하여 백 게이트 격리물을 형성하는 단계; 및
상기 제1 격리 영역의 제2 부분의 양측에 비트 라인 및 소스 라인을 형성하는 단계 - 상기 백 게이트 격리물은 상기 채널층을 상기 비트 라인의 제1 부분 및 상기 소스 라인의 제2 부분으로부터 분리함 -
를 포함하는, 방법.
In the method,
forming a word line between the pair of first dielectric layers;
depositing a data storage layer on the pair of sidewalls of the first dielectric layer and the sidewall of the word line;
depositing a channel layer on sidewalls of the data storage layer;
depositing a first dielectric layer on sidewalls of the channel layer;
forming a first isolation region on a sidewall of the first dielectric layer;
removing a first portion of the first isolation area, with a second portion of the first isolation area remaining after the removing step;
After removing the first portion of the first isolation region, patterning the first dielectric layer to form a back gate isolation; and
forming a bit line and a source line on either side of the second portion of the first isolation region, wherein the back gate isolation separates the channel layer from the first portion of the bit line and the second portion of the source line. -
Method, including.
KR1020210027541A 2020-07-30 2021-03-02 Three-dimensional memory device and method KR102636379B1 (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US202063058619P 2020-07-30 2020-07-30
US63/058,619 2020-07-30
US17/140,888 2021-01-04
US17/140,888 US11527553B2 (en) 2020-07-30 2021-01-04 Three-dimensional memory device and method

Publications (2)

Publication Number Publication Date
KR20220015304A KR20220015304A (en) 2022-02-08
KR102636379B1 true KR102636379B1 (en) 2024-02-13

Family

ID=77411529

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020210027541A KR102636379B1 (en) 2020-07-30 2021-03-02 Three-dimensional memory device and method

Country Status (7)

Country Link
US (2) US11527553B2 (en)
EP (1) EP3945586A1 (en)
JP (1) JP2022027624A (en)
KR (1) KR102636379B1 (en)
CN (1) CN113675213A (en)
DE (1) DE102021100089B4 (en)
TW (1) TWI774251B (en)

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11910617B2 (en) 2020-05-28 2024-02-20 Taiwan Semiconductor Manufacturing Company, Ltd. Ferroelectric memory device and method of forming the same
US11532640B2 (en) * 2020-05-29 2022-12-20 Taiwan Semiconductor Manufacturing Co., Ltd. Method for manufacturing a three-dimensional memory
US11653500B2 (en) * 2020-06-25 2023-05-16 Taiwan Semiconductor Manufacturing Co., Ltd. Memory array contact structures
US11355516B2 (en) 2020-07-16 2022-06-07 Taiwan Semiconductor Manufacturing Co., Ltd. Three-dimensional memory device and method
US11647634B2 (en) 2020-07-16 2023-05-09 Taiwan Semiconductor Manufacturing Co., Ltd. Three-dimensional memory device and method
US11423966B2 (en) 2020-07-30 2022-08-23 Taiwan Semiconductor Manufacturing Co., Ltd. Memory array staircase structure
KR20220085646A (en) * 2020-12-15 2022-06-22 에스케이하이닉스 주식회사 Semiconductor Device having ferroelectric layer
US11910615B2 (en) * 2021-01-15 2024-02-20 Taiwan Semiconductor Manufacturing Company, Ltd. Memory device and manufacturing method thereof
US11716856B2 (en) 2021-03-05 2023-08-01 Taiwan Semiconductor Manufacturing Co., Ltd. Three-dimensional memory device and method
US11758733B2 (en) * 2021-04-30 2023-09-12 Taiwan Semiconductor Manufacturing Company, Ltd. 3D memory multi-stack connection method

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100327339A1 (en) 2009-06-24 2010-12-30 Kabushiki Kaisha Toshiba Nonvolatile semiconductor memory device and method for manufacturing same
US20200013791A1 (en) 2017-02-04 2020-01-09 Monolithic 3D Inc. 3d semiconductor device and structure
US20200026990A1 (en) 2018-07-17 2020-01-23 Macronix International Co., Ltd. Neural network system
US20200075631A1 (en) * 2018-09-04 2020-03-05 Sandisk Technologies Llc Three dimensional ferroelectric memory

Family Cites Families (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7888721B2 (en) * 2005-07-06 2011-02-15 Micron Technology, Inc. Surround gate access transistors with grown ultra-thin bodies
US7344947B2 (en) * 2006-03-10 2008-03-18 Texas Instruments Incorporated Methods of performance improvement of HVMOS devices
CN100412671C (en) * 2006-03-30 2008-08-20 友达光电股份有限公司 Liquid crystal display device
JP2010118580A (en) 2008-11-14 2010-05-27 Toshiba Corp Non-volatile semiconductor memory device
TWI418020B (en) 2009-03-03 2013-12-01 Macronix Int Co Ltd 3d memory array arranged for fn tunneling program and erase
US8786014B2 (en) 2011-01-18 2014-07-22 Powerchip Technology Corporation Vertical channel transistor array and manufacturing method thereof
CN102956647B (en) * 2011-08-31 2015-04-15 中国科学院微电子研究所 Semiconductor device and manufacture method thereof
CN104112748B (en) * 2013-04-19 2016-12-28 中国科学院微电子研究所 Memory device and manufacture method thereof and access method
KR101946179B1 (en) * 2014-12-09 2019-02-08 샌디스크 테크놀로지스 엘엘씨 Three-dimensional memory structure having a back gate electrode
US9502471B1 (en) * 2015-08-25 2016-11-22 Sandisk Technologies Llc Multi tier three-dimensional memory devices including vertically shared bit lines
JP2017050537A (en) * 2015-08-31 2017-03-09 株式会社半導体エネルギー研究所 Semiconductor device
US9589982B1 (en) 2015-09-15 2017-03-07 Macronix International Co., Ltd. Structure and method of operation for improved gate capacity for 3D NOR flash memory
CN107768381B (en) 2016-08-17 2021-11-09 上海新昇半导体科技有限公司 Nanotube memory structure and preparation method thereof
US10043808B1 (en) 2017-03-16 2018-08-07 Toshiba Memory Corporation Semiconductor memory
US10475812B2 (en) * 2018-02-02 2019-11-12 Sunrise Memory Corporation Three-dimensional vertical NOR flash thin-film transistor strings
KR102518371B1 (en) 2018-02-02 2023-04-05 삼성전자주식회사 Vertical-type memory device
KR102626137B1 (en) 2018-02-02 2024-01-18 선라이즈 메모리 코포레이션 Three-dimensional vertical nor flash thin-film transistor strings
US10593692B2 (en) 2018-04-30 2020-03-17 Sandisk Technologies Llc Three-dimensional nor-type memory device and method of making the same
US10403631B1 (en) 2018-08-13 2019-09-03 Wuxi Petabyte Technologies Co., Ltd. Three-dimensional ferroelectric memory devices
CN109285838B (en) * 2018-08-28 2023-05-02 中国科学院微电子研究所 Semiconductor memory device, method of manufacturing the same, and electronic apparatus including the same
KR20200027618A (en) 2018-09-04 2020-03-13 삼성전자주식회사 Three-dimensional semiconductor memory device and method of fabricating the same
JP2020043119A (en) * 2018-09-06 2020-03-19 キオクシア株式会社 Semiconductor device
US10553599B1 (en) 2018-09-26 2020-02-04 Sandisk Technologies Llc Three-dimensional memory device containing drain select isolation structures and on-pitch channels and methods of making the same without an etch stop layer
TW202030859A (en) * 2018-10-26 2020-08-16 美商蘭姆研究公司 Self-aligned vertical integration of three-terminal memory devices
KR102547663B1 (en) 2018-11-22 2023-06-27 에스케이하이닉스 주식회사 Manufacturing method of semiconductor device
CN110121778B (en) * 2019-03-04 2020-08-25 长江存储科技有限责任公司 Three-dimensional memory device
CN110739015B (en) 2019-09-17 2021-08-06 长江存储科技有限责任公司 Three-dimensional memory, driving method thereof, driving device thereof, and electronic device
KR20210072635A (en) * 2019-12-09 2021-06-17 에스케이하이닉스 주식회사 non volatile memory device having ferroelectric layer
CN111463288A (en) * 2020-04-17 2020-07-28 中国科学院微电子研究所 Semiconductor device, method of manufacturing the same, and electronic apparatus including the same
US11721767B2 (en) * 2020-06-29 2023-08-08 Taiwan Semiconductor Manufacturing Company Limited Oxide semiconductor transistor structure in 3-D device and methods of forming the same
US11569165B2 (en) * 2020-07-29 2023-01-31 Taiwan Semiconductor Manufacturing Company, Ltd. Memory cell array, semiconductor device including the same, and manufacturing method thereof

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100327339A1 (en) 2009-06-24 2010-12-30 Kabushiki Kaisha Toshiba Nonvolatile semiconductor memory device and method for manufacturing same
US20200013791A1 (en) 2017-02-04 2020-01-09 Monolithic 3D Inc. 3d semiconductor device and structure
US20200026990A1 (en) 2018-07-17 2020-01-23 Macronix International Co., Ltd. Neural network system
US20200075631A1 (en) * 2018-09-04 2020-03-05 Sandisk Technologies Llc Three dimensional ferroelectric memory

Also Published As

Publication number Publication date
KR20220015304A (en) 2022-02-08
EP3945586A1 (en) 2022-02-02
DE102021100089A1 (en) 2022-02-03
US20220037362A1 (en) 2022-02-03
CN113675213A (en) 2021-11-19
DE102021100089B4 (en) 2023-10-12
US20220384347A1 (en) 2022-12-01
US11527553B2 (en) 2022-12-13
TW202205623A (en) 2022-02-01
TWI774251B (en) 2022-08-11
JP2022027624A (en) 2022-02-10
US11910616B2 (en) 2024-02-20

Similar Documents

Publication Publication Date Title
KR102636379B1 (en) Three-dimensional memory device and method
US11495618B2 (en) Three-dimensional memory device and method
US20220366952A1 (en) Memory Array Staircase Structure
US11903216B2 (en) Three-dimensional memory device and method
US11647634B2 (en) Three-dimensional memory device and method
US11716855B2 (en) Three-dimensional memory device and method
US11532640B2 (en) Method for manufacturing a three-dimensional memory
US20230147923A1 (en) Three-Dimensional Memory Device and Method
US20230389326A1 (en) Three-dimensional memory device and method
US20220367516A1 (en) Three-Dimensional Memory Device and Method
US20230008998A1 (en) Three-Dimensional Memory Device and Method
US20240164109A1 (en) Three-dimensional memory devices
US11985830B2 (en) Three-dimensional memory device and method
US20240138152A1 (en) Three-dimensional memory device and method
US20230027039A1 (en) Three-Dimensional Memory Device and Method

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E601 Decision to refuse application
AMND Amendment
X701 Decision to grant (after re-examination)
GRNT Written decision to grant