KR102626137B1 - Three-dimensional vertical nor flash thin-film transistor strings - Google Patents

Three-dimensional vertical nor flash thin-film transistor strings Download PDF

Info

Publication number
KR102626137B1
KR102626137B1 KR1020227033231A KR20227033231A KR102626137B1 KR 102626137 B1 KR102626137 B1 KR 102626137B1 KR 1020227033231 A KR1020227033231 A KR 1020227033231A KR 20227033231 A KR20227033231 A KR 20227033231A KR 102626137 B1 KR102626137 B1 KR 102626137B1
Authority
KR
South Korea
Prior art keywords
memory
vertical
string
thin film
strings
Prior art date
Application number
KR1020227033231A
Other languages
Korean (ko)
Other versions
KR20220133333A (en
Inventor
일리 하라리
티안홍 얀
Original Assignee
선라이즈 메모리 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US16/107,732 external-priority patent/US10249370B2/en
Application filed by 선라이즈 메모리 코포레이션 filed Critical 선라이즈 메모리 코포레이션
Publication of KR20220133333A publication Critical patent/KR20220133333A/en
Application granted granted Critical
Publication of KR102626137B1 publication Critical patent/KR102626137B1/en

Links

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/20EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/10EEPROM devices comprising charge-trapping gate insulators characterised by the top-view layout
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/30EEPROM devices comprising charge-trapping gate insulators characterised by the memory core region
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/50EEPROM devices comprising charge-trapping gate insulators characterised by the boundary region between the core and peripheral circuit regions

Landscapes

  • Non-Volatile Memory (AREA)
  • Semiconductor Memories (AREA)
  • Thin Film Transistor (AREA)

Abstract

반도체 기판 위에 형성된 폴리실리콘의 활성 컬럼들을 포함하는 메모리 구조로서, 각각의 활성 컬럼은 하나 이상의 수직의 NOR 스트링들을 포함하고, 각각의 NOR 스트링은 로컬 소스 라인 및 로컬 비트 라인을 공유하는 박막 저장 트랜지스터들을 갖고, 로컬 비트 라인은 세그먼트화된 글로벌 비트 라인의 하나의 세그먼트에 의해 반도체 기판에 제공된 감지 증폭기에 접속된다.A memory structure comprising active columns of polysilicon formed on a semiconductor substrate, each active column comprising one or more vertical NOR strings, each NOR string comprising thin film storage transistors sharing a local source line and a local bit line. and the local bit line is connected to a sense amplifier provided on the semiconductor substrate by one segment of the segmented global bit line.

Description

3-차원 수직 NOR 플래시 박막 트랜지스터 스트링들{THREE-DIMENSIONAL VERTICAL NOR FLASH THIN-FILM TRANSISTOR STRINGS}THREE-DIMENSIONAL VERTICAL NOR FLASH THIN-FILM TRANSISTOR STRINGS}

본 발명은 고-밀도 메모리 구조들에 관한 것이다. 특히, 본 발명은 수평의 워드 라인들과 함께 수직의 스트립(strip)들로 형성된 박막 저장 트랜지스터들과 같은 상호접속된 박막 저장 소자들로 형성된 고-밀도 메모리 구조들에 관한 것이다.The present invention relates to high-density memory structures. In particular, the present invention relates to high-density memory structures formed with interconnected thin film storage elements, such as thin film storage transistors formed in vertical strips with horizontal word lines.

이 게시물에서는, 메모리 회로 구조들이 설명된다. 상기 구조들은 종래의 제조 공정들을 사용하여 평면 반도체 기판들(예를 들어, 실리콘 웨이퍼들) 상에서 제조될 수 있다. 명확한 설명을 위해, "수직"이란 용어는 반도체 기판의 표면에 직각인 방향을 지칭하고, "수평"이란 용어는 그 반도체 기판의 표면에 평행한 임의의 방향을 지칭한다.In this post, memory circuit structures are explained. The structures can be fabricated on planar semiconductor substrates (eg, silicon wafers) using conventional manufacturing processes. For clarity, the term “vertical” refers to a direction perpendicular to the surface of the semiconductor substrate, and the term “horizontal” refers to any direction parallel to the surface of the semiconductor substrate.

"3-차원 수직의 NAND 스트링들"과 같은 수많은 고-밀도 비-휘발성 메모리 구조들이 종래 기술에 알려져 있다. 상기 고-밀도 메모리 구조들 중 많은 구조들은 적층된 박막들(예를 들어, 폴리실리콘 박막들)로 형성된 박막 저장 트랜지스터들을 사용하여 형성되고, "메모리 스트링들"의 어레이들로 조직된다. 하나의 유형의 메모리 스트링은 NAND 메모리 스트링들로 또는 간단히 "NAND 스트링들"로 지칭된다. NAND 스트링은 수많은 직렬-접속된 박막 저장 트랜지스터("TFT")들로 구성된다. 직렬-접속된 TFT들 중 임의의 것의 콘텐츠를 판독하거나 또는 프로그래밍하는 것은 스트링 내의 모든 직렬-접속된 TFT들의 활성화를 필요로 한다. 박막 NAND 트랜지스터들은 단결정 실리콘 내에 형성된 NAND 트랜지스터들보다 낮은 전도성을 가지므로, NAND TFT들의 긴 스트링에 걸쳐 전도되기 위해 필요로 되는 낮은 판독 전력으로 인해 판독 액세스가 비교적으로 느려진다(즉, 긴 대기 시간).Numerous high-density non-volatile memory structures such as “3-dimensional vertical NAND strings” are known in the art. Many of the above high-density memory structures are formed using thin film storage transistors formed from stacked thin films (eg, polysilicon thin films) and organized into arrays of “memory strings.” One type of memory string is referred to as NAND memory strings, or simply “NAND strings.” A NAND string consists of numerous series-connected thin film storage transistors (“TFTs”). Reading or programming the content of any of the series-connected TFTs requires activation of all series-connected TFTs in the string. Thin film NAND transistors have lower conductivity than NAND transistors formed in single crystal silicon, resulting in relatively slow read access (i.e., long latency) due to the low read power required to conduct across long strings of NAND TFTs.

또 다른 유형의 고밀도 메모리 구조들은 NOR 메모리 스트링들 또는 "NOR 스트링들"로 지칭된다. NOR 스트링은, 각각이 공유된 소스 영역 및 공유된 드레인 영역에 접속된 수많은 저장 트랜지스터들을 포함한다. 따라서, NOR 스트링 내의 트랜지스터들은 병렬 접속되고, 이에 따라, NOR 스트링 내의 판독 전류는 NAND 스트링에 걸친 판독 전류보다 훨씬 낮은 저항을 거쳐 전도된다. NOR 스트링 내의 저장 트랜지스터를 판독하거나 또는 프로그래밍하기 위해서, 단지 그 저장 트랜지스터만이 활성화(즉, "온(on)" 또는 전도)될 필요가 있고, NOR 스트링 내의 모든 다른 저장 트랜지스터들은 휴지 상태(dormant)(즉, "오프(off)" 또는 비-전도)로 남아있을 수 있다. 따라서, NOR 스트링은 판독할 활성화된 저장 트랜지터들의 훨씬 빠른 감지를 허용한다. 종래의 NOR 트랜지스터들은 채널 열-전자 주입 기술에 의해 프로그래밍 되는데, 상기 채널 열-전자 주입 기술에서, 전자들은 소스 영역과 드레인 영역 사이의 전압 차이로 인해 채널 영역에서 가속화되고, 적절한 전압이 제어 게이트에 공급될 때, 제어 게이트와 채널 영역 사이의 전하-구속 층(charge-trapping layer)으로 주입된다. 채널 열-전자 주입 프로그래밍은 비교적 큰 전자 전류가 채널 영역을 흐르는 것을 필요로 하므로, 병렬로 프로그래밍될 수 있는 트랜지스터들의 수를 제한한다. 열-전자 주입에 의해 프로그래밍되는 트랜지스터들과 달리, 파울러-노드하임 터널링(Fowler-Nordheim tunneling) 또는 직접 터널링에 의해 프로그래밍되는 트랜지스터들에서는, 전자들이 제어 게이트와 소스 및 드레인 영역들 사이에 공급되는 높은 전기장에 의해 채널 영역에서부터 전자-구속 층으로 주입된다. 파울러-노드하임 터널링 또는 직접 터널링은 크기 면에서 채널 열-전자 주입보다 훨씬 효율적이므로, 대대적으로 병렬 프로그래밍을 허용하지만; 그러나, 이러한 터널링은 프로그래밍-디스터브(program-disturb) 조건들에 더 취약하다.Another type of high-density memory structures are referred to as NOR memory strings or “NOR strings.” The NOR string includes numerous storage transistors, each connected to a shared source region and a shared drain region. Accordingly, the transistors in the NOR string are connected in parallel, so that the read current in the NOR string conducts through a much lower resistance than the read current across the NAND string. To read or program a storage transistor in a NOR string, only that storage transistor needs to be active (i.e., "on" or conducting), and all other storage transistors in the NOR string are dormant. (i.e., may remain “off” or non-conducting). Therefore, the NOR string allows much faster detection of active storage transistors to read. Conventional NOR transistors are programmed by the channel hot-electron injection technique, in which electrons are accelerated in the channel region due to the voltage difference between the source and drain regions, and an appropriate voltage is applied to the control gate. When supplied, it is injected into the charge-trapping layer between the control gate and the channel region. Channel thermal-electron injection programming requires relatively large electron currents to flow through the channel region, limiting the number of transistors that can be programmed in parallel. Unlike transistors programmed by hot-electron injection, in transistors programmed by Fowler-Nordheim tunneling or direct tunneling, electrons are supplied between the control gate and the source and drain regions. They are injected from the channel region into the electron-confinement layer by an electric field. Fowler-Nordheim tunneling, or direct tunneling, is much more efficient than channel thermo-electron injection in terms of size, allowing for massively parallel programming; However, such tunneling is more susceptible to program-disturb conditions.

3-차원 NOR 메모리 어레이들은 에이치 티 루이(H.T Lue)의, 2011년 3월 11일자로 출원되고, 2014년 1월 14일자로 등록된, 발명의 명칭이 "Memory Architecture of 3D NOR Array"인 미국 특허 번호 제8,630,114호에 게시되어 있다.3-D NOR memory arrays are disclosed in the United States by H.T Lue, entitled "Memory Architecture of 3D NOR Array", filed on March 11, 2011, registered on January 14, 2014. It is published in Patent No. 8,630,114.

하이빙 펭(Haibing Peng)의, 2015년 9월 21일자로 출원되고, 2016년 3월 24일자로 공개된, 발명의 명칭이 "Three-Dimensional Non-Volatile NOR-type Flash Memory"인 미국 특허 출원 공개 번호 US2016/0086970 A1는, 비-휘발성 NOR 플래시 메모리 디바이스들 개시하는데, 상기 비-휘발성 NOR 플래시 메모리 디바이스들은 전도 채널의 하나의 측면에 또는 두 개의 반대 측면들에 위치된 모든 전계 효과 트랜지스터들에 의해 공유되는 소스 및 드레인 전극들과 함께 개개의 메모리 셀들이 반도체 기판과 평행한 수평 방향을 따라 스태킹(stacking)된 기본 NOR 메모리 그룹들의 어레이들로 구성된다.Haibing Peng's published U.S. patent application entitled "Three-Dimensional Non-Volatile NOR-type Flash Memory," filed on September 21, 2015, published on March 24, 2016 No. US2016/0086970 A1 discloses non-volatile NOR flash memory devices, said non-volatile NOR flash memory devices comprising all field effect transistors located on one side or two opposite sides of a conducting channel. Individual memory cells with shared source and drain electrodes are comprised of arrays of basic NOR memory groups stacked along a horizontal direction parallel to the semiconductor substrate.

3-차원 수직 메모리 구조들은, 예를 들어, 알스마이어(Alsmeier) 등의, 2013년 1월 30일자로 출원되고, 2014년 11월 4일자로 등록된, 발명의 명칭이 "Compact Three-Dimensional Vertical NAND and Methods of Making Thereof"인 미국 특허 번호 제8,878,278호("알스마이어")에 게시되어 있다. "알스마이어"는 "테라비트 셀 어레이 트랜지스터(terabit cell array transistor)" (TCAT) NAND 어레이들(도 1A), "파이프-모양 비트-코스트 스케일러블(pipe shaped bit-cost scalable)" (P-BiCS) 플래시 메모리(도 1B), 및 "수직의 NAND" 메모리 스트링 구조와 같은 다양한 유형의 고-밀도 NAND 메모리 구조들을 게시한다. 이와 마찬가지로, 워커(Walker) 등의, 2002년 12월 31일자로 출원되고, 2006년 2월 28일자로 등록된, 발명의 명칭이 "Method for Fabricating Programmable Memory Array Structures Incorporating Series - Connected Transistor Strings"인 미국 특허 번호 제7,005,350호("워커Ⅰ")가 또한 수많은 3-차원 고-밀도 NAND 메모리 구조들을 게시한다.Three-dimensional vertical memory structures are described, for example, in Alsmeier et al., filed January 30, 2013, registered November 4, 2014, titled “Compact Three-Dimensional.” Published in U.S. Patent No. 8,878,278 (“Alsmeyer”), “Vertical NAND and Methods of Making Thereof.” “Alsmeyer” refers to “terabit cell array transistor” (TCAT) NAND arrays (Figure 1A), “pipe shaped bit-cost scalable” (P -BiCS) flash memory (Figure 1B), and various types of high-density NAND memory structures, such as “vertical NAND” memory string structures. Similarly, Walker et al., filed on December 31, 2002, registered on February 28, 2006, entitled "Method for Fabricating Programmable Memory Array Structures Incorporating Series - Connected Transistor Strings" U.S. Patent No. 7,005,350 (“Walker I”) also discloses a number of three-dimensional high-density NAND memory structures.

워커의, 2005년 8월 3일자로 출원되고, 2009년 11월 3일자로 등록된, 발명의 명칭이 "Dual-Gate Device and Method"인 미국 특허 번호 제7,612,411호("워커Ⅱ")는, 공동 활성 영역이 공동 활성 영역의 반대 측면들 상에 형성된 2개의 NAND 스트링들 내의 독립적으로 제어되는 저장 소자들을 서빙(serving)하는 "이중 게이트" 메모리 구조를 게시한다.Walker's U.S. Patent No. 7,612,411 ("Walker II"), entitled "Dual-Gate Device and Method," filed on August 3, 2005, and granted on November 3, 2009, Publishes a "dual gate" memory structure in which a common active area serves independently controlled storage elements in two NAND strings formed on opposite sides of the common active area.

3-차원 NOR 메모리 어레이들은 에이치 티 루이의, 2011년 3월 11일자로 출원되고, 2014년 1월 14일자로 등록된, 발명의 명칭이 "Memory Architecture of 3D NOR Array"인 미국 특허 번호 제8,630,114호에 게시되어 있다. 3-D NOR memory arrays are disclosed in U.S. Patent No. 8,630,114, entitled “Memory Architecture of 3D NOR Array,” by H. T. Louis, filed March 11, 2011, issued January 14, 2014. It is posted in the issue.

수직의 폴리실리콘 게이트들에 의해 제어되는 수평의 NAND 스트링들을 포함하는 3-차원 메모리 구조는 더블유. 킴(W. Kim) 등의, 2009 Symposium on VLSI Tech. Dig. of Technical Papers, pp. 188-189에 공개된 논문 "Multi-layered Vertical gate NAND Flash Overcoming Stacking Limit for Terabit Density Storage"("킴")에 게시되어 있다. 수직의 폴리실리콘 게이트들과 함께 수평의 NAND 스트링들을 또한 포함하는 또다른 3-차원 메모리 구조는 에이치 티 루이 등의, 2010 Symposium on VLSI: Tech. Dig. Of Technical Papers, pp.131-132에 공개된 논문 "A Highly Scalable 8-Layer 3D Vertical-gate(VG) TFT NAND Flash Using Junction-Free Buried Channel BE-SONOS Device"에 게시되어 있다.A three-dimensional memory structure comprising horizontal NAND strings controlled by vertical polysilicon gates is described in W. W. Kim et al., 2009 Symposium on VLSI Tech. Dig. of Technical Papers, pp. It is published in the paper "Multi-layered Vertical gate NAND Flash Overcoming Stacking Limit for Terabit Density Storage" ("Kim") published at 188-189. Another three-dimensional memory structure that also includes horizontal NAND strings along with vertical polysilicon gates is presented by H. T. Lui et al., 2010 Symposium on VLSI: Tech. Dig. It is published in the paper "A Highly Scalable 8-Layer 3D Vertical-gate (VG) TFT NAND Flash Using Junction-Free Buried Channel BE-SONOS Device" published in Of Technical Papers, pp.131-132.

도 1a는 종래 기술의 3-차원 수직의 NAND 스트링들(111 및 112)을 도시한다. 도 1b는 종래 기술의 3-차원 수직의 NAND 스트링의 기본 회로 표현(140)을 도시한다. 구체적으로, 도 1a의 수직의 NAND 스트링(111 및 112) 및 그들의 회로 표현(150) - 각각은 32개 이상의 트랜지스터들을 기판의 표면을 따라 직렬 접속시키기 보다는 - 각각은 본질적으로 기판에 직각이 되도록 90도 회전된 종래의 수평 NAND 스트링이다. 수직의 NAND 스트링들(111 및 112)은 기판에서부터 고층 건물처럼 솟아 있는 스트링 구조 내의 직렬-접속된 박막 트랜지스터(TFT)들인데, 각각의 TFT는 워드 라인 전도체들의 인접한 스택 내에 워드 라인 전도체들 중 하나에 의해 제공되는 제어 게이트 및 저장 소자를 갖는다. 도 1b에 도시된 바와 같이, 수직의 NAND 스트링의 가장 간단한 구현 예에서, TFT들(15 및 16)은 각각 개별적인 워드 라인들(WL0 및 WL31)에 의해 제어되는 NAND 스트링(150)의 제1 메모리 트랜지스터 및 마지막 메모리 트랜지스터이다. 신호 BLS에 의해 활성화되는 비트 라인 선택 트랜지스터(11) 및 신호 SS에 의해 활성화되는 접지 선택 트랜지스터(12)는, 판독, 프로그래밍, 프로그래밍-금지, 및 삭제 동작들 동안, 수직의 NAND 스트링(150) 내에서 어드레싱된(addressed) TFT를 단자(14)에서 대응하는 글로벌 비트 라인(GBL)에, 그리고 단자(13)에서 글로벌 소스 라인(접지)(GSL)에 접속시키는 역할을 한다. 임의의 하나의 TFT(예를 들어, TFT(17))의 콘텐츠를 판독하거나 또는 프로그래밍하는 것은 수직의 NAND 스트링(150) 내의 32개의 모든 TFT들의 활성화를 필요로 하는데, 이는 각각의 TFT를 판독-디스터브 및 프로그래밍-디스터브 조건들에 노출시킨다. 이러한 조건들은 수직의 NAND 스트링에 제공될 수 있는 TFT들의 수를 64개 이하 또는 128개 이하의 TFT들로 제한한다. 더욱이, 수직의 NAND 스트링이 그 위에 형성된 폴리실리콘 박막들은 단-결정 실리콘 기판에 형성된 종래의 NAND 스트링들보다 훨씬 낮은 채널 이동성 - 그래서 보다 높은 저항률- 을 가지므로, 그 결과 종래의 NAND 스트링의 판독 전류에 비해 낮은 판독 전류를 초래한다.1A shows prior art three-dimensional vertical NAND strings 111 and 112. Figure 1B shows a basic circuit representation 140 of a prior art three-dimensional vertical NAND string. Specifically, the vertical NAND strings 111 and 112 of FIG. 1A and their circuit representation 150 - each of which has 32 or more transistors connected in series along the surface of the substrate - each have 90 volts essentially perpendicular to the substrate. is a conventional horizontal NAND string also rotated. Vertical NAND strings 111 and 112 are series-connected thin film transistors (TFTs) in a string structure that rises from the substrate like a skyscraper, with each TFT connected to one of the word line conductors within an adjacent stack of word line conductors. It has a control gate and storage element provided by . As shown in FIG. 1B , in the simplest implementation of a vertical NAND string, TFTs 15 and 16 are each connected to the first line of NAND string 150 controlled by individual word lines WL 0 and WL 31 . 1 memory transistor and the last memory transistor. The bit line select transistor 11, activated by signal BLS, and the ground select transistor 12, activated by signal SS, are positioned within the vertical NAND string 150 during read, programming, program-inhibit, and erase operations. It serves to connect the addressed TFT to the corresponding global bit line (GBL) at terminal 14 and to the global source line (ground) (GSL) at terminal 13. Reading or programming the contents of any one TFT (e.g., TFT 17) requires activation of all 32 TFTs in vertical NAND string 150, which allows each TFT to be read- Exposure to disturb and programmable-disturb conditions. These conditions limit the number of TFTs that can be provided in a vertical NAND string to no more than 64 or no more than 128 TFTs. Moreover, polysilicon thin films on which vertical NAND strings are formed have much lower channel mobility - and thus higher resistivity - than conventional NAND strings formed on single-crystal silicon substrates, resulting in lower read currents for conventional NAND strings. This results in a lower read current compared to

발명의 명칭이 "Vertical Structure Semiconductor Memory Devices And Methods OF Manufacturing The Same"인 미국 특허 출원 공개 번호 제2011/0298013호("황(Hwang)")는 3-차원 수직의 NAND 스트링들을 게시한다. "황"은, 도 4D에서 (본 발명의 도 1c에 나타낸 바와 같은) 랩-어라운드(wrap-around) 스태킹된 워드 라인들(150)에 의해 어드레싱되는 3 차원 수직의 NAND 스트링들의 블록을 도시한다.U.S. Patent Application Publication No. 2011/0298013 (“Hwang”), entitled “Vertical Structure Semiconductor Memory Devices And Methods OF Manufacturing The Same,” discloses three-dimensional vertical NAND strings. “Yellow” shows in Figure 4D a block of three-dimensional vertical NAND strings addressed by wrap-around stacked word lines 150 (as shown in Figure 1C of the present invention). .

에이탄(Eitan)의, 1996년 7월 23일자로 출원되고, 1998년 6월 16일자로 등록된, 발명의 명칭이 "Memory Cell utilizing asymmetrical charge trapping"인 미국 특허 번호 제5,768,192호는 본 발명의 일 실시예에서 사용되는 유형의 NROM형 메모리 트랜지스터 동작을 게시한다.Eitan's U.S. Patent No. 5,768,192, filed on July 23, 1996, and granted on June 16, 1998, entitled "Memory Cell utilizing asymmetrical charge trapping," is a disclosure of the present invention. Describes the operation of an NROM-type memory transistor of the type used in one embodiment.

즈비 오르-바흐(Zvi Or-Bach) 등의, 2010년 10월 11일자로 출원되고, 2011년 9월 27일자로 등록된, 발명의 명칭이 "Semiconductor Device and Structure"인 미국 특허 번호 제8,026,521호는 층-전달된 단-결정 실리콘의 제1 층 및 제2 층을 게시하는데, 여기서, 제1 층 및 제2 층은 수평 배향된 트랜지스터들을 포함한다. 그 구조에서, 수평 배향된 트랜지스터들의 제2 층은 수평 배향된 트랜지스터들의 제1 층 위에 겹치고, 각각의 그룹의 수평 배향된 트랜지스터들은 사이드 게이트(side gate)들을 갖는다.U.S. Patent No. 8,026,521, entitled “Semiconductor Device and Structure,” filed October 11, 2010, issued September 27, 2011, to Zvi Or-Bach et al. discloses a first layer and a second layer of layer-transferred single-crystal silicon, where the first layer and the second layer include horizontally oriented transistors. In that structure, a second layer of horizontally oriented transistors overlaps the first layer of horizontally oriented transistors, and each group of horizontally oriented transistors has side gates.

종래의 비-휘발성 메모리 트랜지스터 구조를 갖지만 짧은 유지 시간을 갖는 트랜지스터들은 "준-휘발성(quasi-volatile)"이라고 지칭될 수 있다. 상기 문맥에서, 종래의 비-휘발성 메모리들은 10년이 넘는 데이터 유지 시간을 갖는다. 단결정 실리콘 기판 상의 평편한 준-휘발성 메모리 트랜지스터는 에이치 씨 완(H.C. Wann) 및 씨 휴(C.Hu)의, IEEE Electron Device letters, Vol. 16, No. 11, 1995년 11월, pp. 491-493에 공개된 논문 "High-Endurance Ultra-Thin Tunnel Oxide in Monos Device Structure for Dynamic Memory Application"에 게시되어 있다. 준-휘발성 메모리를 갖는 준-휘발성 3-D NOR 어레이는 전술된 에이치 티 루이의 미국 특허 번호 제8,630,114호에 게시되어 있다.Transistors that have a conventional non-volatile memory transistor structure but have a short retention time may be referred to as “quasi-volatile.” In this context, conventional non-volatile memories have a data retention time of over 10 years. A planar quasi-volatile memory transistor on a single crystal silicon substrate is described by H.C. Wann and C.Hu, IEEE Electron Device letters, Vol. 16, no. 11, November 1995, pp. It is published in the paper “High-Endurance Ultra-Thin Tunnel Oxide in Monos Device Structure for Dynamic Memory Application,” published at 491-493. A semi-volatile 3-D NOR array with quasi-volatile memory is disclosed in US Pat. No. 8,630,114 to H. T. Louis, discussed above.

티 타나카(T. Tanaka) 등의, Digest of Technical Papers, 2016 IEEE International Solid-State Circuits Conference, pp. 142-144에 공개된 논문 "A 768 Gb 3b/cell 3D-Floating-Gate NAND Flash Memory"는 3-차원 NAND 메모리 어레이 아래에 CMOS 논리 회로들을 위치시키는 것을 게시한다.T. Tanaka et al., Digest of Technical Papers , 2016 IEEE International Solid-State Circuits Conference, pp. The paper “A 768 Gb 3b/cell 3D-Floating-Gate NAND Flash Memory,” published in 142-144, describes placing CMOS logic circuits beneath a three-dimensional NAND memory array.

본 발명의 일 실시예에 따르면, 고-밀도 메모리 구조는 3-차원 수직의 NOR 플래시 메모리 스트링("멀티-게이트(multi-gate) 수직의 NOR 스트링" 또는 간단히 "수직의 NOR 스트링")으로 지칭된다. 상기 수직의 NOR 스트링은 병렬 접속된 수많은 박막 트랜지스터("TFT")들을 포함하고, 상기 박막 트랜지스터들은, 각각이 대체적으로 수직 방향으로 연장하는 공유된 소스 영역 및 공유된 드레인 영역을 갖는다. 추가적으로, 수직의 NOR 스트링은, 각각이 수직의 NOR 스트링 내의 TFT들의 각각의 하나를 제어하는 다수의 수평 제어 게이트들을 포함한다. 수직의 NOR 스트링 내의 TFT들이 병렬 접속되어 있으므로, 수직의 NOR 스트링 내의 판독 전류는 비슷한 수의 TFT들의 NAND 스트링에 걸친 판독 전류보다 훨씬 낮은 저항을 거쳐 전도된다. 수직의 NOR 스트링 내의 TFT들 중 임의의 하나를 판독하거나 또는 프로그래밍하기 위해, 단지 그 TFT만이 활성화될 필요가 있고, 수직의 NOR 스트링 내의 모든 다른 TFT들은 비-전도성으로 남아있을 수 있다. 결과적으로, 수직의 NOR 스트링은, 보다 빠른 감지를 허용하고 프로그래밍-디스터브 또는 판독-디스터브 조건들을 최소화하면서, 보다 많은 (예를 들어, 수백 개 이상) TFT들을 포함할 수 있다.According to one embodiment of the invention, the high-density memory structure is a three-dimensional vertical NOR flash memory string (referred to as a “multi-gate vertical NOR string” or simply “vertical NOR string”). do. The vertical NOR string includes numerous thin film transistors (“TFTs”) connected in parallel, each having a shared source region and a shared drain region extending generally in a vertical direction. Additionally, the vertical NOR string includes a number of horizontal control gates, each controlling a respective one of the TFTs in the vertical NOR string. Since the TFTs in a vertical NOR string are connected in parallel, the read current in a vertical NOR string is conducted through a much lower resistance than the read current across a NAND string of a similar number of TFTs. To read or program any one of the TFTs in the vertical NOR string, only that TFT needs to be activated, and all other TFTs in the vertical NOR string can remain non-conducting. As a result, a vertical NOR string can contain more (eg, hundreds or more) TFTs, allowing faster detection and minimizing program-disturb or read-disturb conditions.

일 실시예에서, 수직의 NOR 스트링의 공유된 드레인 영역은 글로벌 비트 라인(global bit line)("전압 Vbl")에 접속되고, 수직의 NOR 스트링의 공유된 소스 영역은 글로벌 소스 라인(global source line)("전압 Vss")에 접속된다. 대안적으로, 제2 실시예에서, 단지 공유된 드레인 영역만이 공급 전압에 바이어싱 된(biased) 글로벌 비트 라인에 접속되고, 공유된 소스 영역은 공유된 소스 영역 내의 전하량에 의해 결정되는 전압으로 사전-충전된다. 사전-충전을 수행하기 위해, 하나 이상의 전용 TFT들이 공유된 소스 영역의 기생 용량(parasitic capacitance)(C)을 사전-충전하기 위해 제공될 수 있다.In one embodiment, the shared drain region of the vertical NOR string is connected to a global bit line (“voltage V bl “) and the shared source region of the vertical NOR string is connected to the global source line. line) ("voltage V ss "). Alternatively, in a second embodiment, only the shared drain region is connected to the global bit line biased to the supply voltage, and the shared source region is connected to the global bit line biased to the supply voltage and the shared source region is connected to the global bit line biased to the supply voltage. Pre-charged. To perform pre-charging, one or more dedicated TFTs may be provided to pre-charge the parasitic capacitance (C) of the shared source region.

본 발명의 일 실시예에 따르면, 멀티-게이트 NOR 플래시 박막 트랜지스터 스트링 어레이들("멀티-게이트 NOR 스트링 어레이들")은, 각각이 실리콘 기판의 표면에 직각으로 나아가는(run) 수직의 NOR 스트링들의 어레이들로서 조직된다. 각각의 멀티-게이트 NOR 스트링 어레이는 열(row)들로 정렬된 수많은 수직의 활성 컬럼들(active columns)을 포함하고, 각각의 열은 제1 수평 방향을 따라 연장하고, 각각의 활성 컬럼은 제1 전도성의 2개의 수직의 과-도핑(heavily-doped) 폴리실리콘 영역들을 갖고, 상기 2개의 수직의 과-도핑 폴리실리콘 영역들은 도핑되지 않은(undoped) 또는 제2 전도성으로 약-도핑된(lightly-doped) 하나 이상의 수직의 폴리실리콘 영역들에 의해 분리된다. 과-도핑 영역들 각각은 공유된 소스 또는 드레인 영역을 형성하고, 각각이 제1 수평 방향에 수직하게 연장하는 수평 전도체들의 하나 이상의 스택들에 관련하여, 약-도핑 영역들 각각은 다수의 채널 영역들을 형성한다. 전하-구속 재료는 다수의 저장 소자들을 형성하여, 적어도 활성 컬럼 내의 TFT들의 채널 영역들을 도포한다. 각각의 스택 내의 수평 전도성 라인들은 서로 전기적으로 격리되고, 활성 컬럼의 채널 영역들 및 저장 소자들 위에 제어 게이트들을 형성한다. 이 방식으로, 멀티-게이트 NOR 스트링 어레이는 저장 TFT들의 3-차원 어레이를 형성한다.According to one embodiment of the present invention, multi-gate NOR flash thin film transistor string arrays (“multi-gate NOR string arrays”) are comprised of vertical NOR strings each running perpendicular to the surface of a silicon substrate. Organized as arrays. Each multi-gate NOR string array includes a number of vertical active columns arranged in rows, each row extending along a first horizontal direction, and each active column having a first horizontal direction. 1 having two perpendicular heavily-doped polysilicon regions of conductivity, wherein the two perpendicular heavily-doped polysilicon regions are undoped or lightly doped with a second conductivity. -doped) separated by one or more vertical polysilicon regions. Each of the over-doped regions forms a shared source or drain region, and each of the lightly-doped regions forms a plurality of channel regions in relation to one or more stacks of horizontal conductors, each extending perpendicular to the first horizontal direction. form them. The charge-confinement material forms multiple storage elements, covering at least the channel regions of the TFTs in the active column. Horizontal conductive lines within each stack are electrically isolated from each other and form control gates over the channel regions and storage elements of the active column. In this way, the multi-gate NOR string array forms a three-dimensional array of storage TFTs.

일 실시예에서, 지원 회로(support circuitry)가 반도체 기판 내에 형성되어 지원 회로부 및 반도체 기판 위에 형성된 다수의 멀티-게이트 NOR 스트링 어레이들을 지원한다. 지원 회로는 다른 것들 중에서도 어드레스 엔코더들(address encoders), 어드레스 디코더들(address decoders), 감지 증폭기들, 입력/출력 드라이버들, 시프트 레지스터들(shift registers), 래치들(latches), 기준 셀들, 전력 공급 라인들, 바이어스(bias) 및 기준 전압 생성기들, 인버터들(inverters), NAND, NOR, 배타적 논리합(Exclusive-Or) 및 다른 논리 게이트들, 다른 메모리 소자들, 시퀀서(sequencer)들, 및 상태 기계들을 포함할 수 있다. 멀티-게이트 NOR 스트링 어레이들은 다수의 회로 블록들로 조직될 수 있는데, 각각의 블록은 다수의 멀티-게이트 NOR 스트링 어레이들을 갖는다.In one embodiment, support circuitry is formed within the semiconductor substrate to support support circuitry and a plurality of multi-gate NOR string arrays formed on the semiconductor substrate. Support circuitry includes address encoders, address decoders, sense amplifiers, input/output drivers, shift registers, latches, reference cells, power, among others. Supply lines, bias and reference voltage generators, inverters, NAND, NOR, Exclusive-Or and other logic gates, other memory elements, sequencers, and state. May include machines. Multi-gate NOR string arrays can be organized into multiple circuit blocks, each block having multiple multi-gate NOR string arrays.

본 발명의 실시예들에 따르면, 수직의 NOR 스트링 내의 TFT들의 임계 전압들의 변형들은 동일한 또는 또다른 멀티-게이트 수직의 NOR 스트링 어레이 내에 하나 이상의 전기적 프로그래밍가능 기준 수직의 NOR 스트링들을 제공함으로써 보상될 수 있다. 수직의 NOR 스트링에 내재된 백그라운드(background) 누설 전류들은 판독 중인 TFT의 결과들과 프로그래밍가능 기준 수직의 NOR 스트링 상에서 동시에 판독되는 TFT의 결과들을 비교함으로써 판독 동작 동안 상당히 중화될 수 있다. 몇몇 실시예들에서, 수직의 NOR 스트링의 각각의 TFT는 각각의 제어 게이트와 그들의 대응하는 채널 영역 사이의 용량성 결합(capacitive coupling)을 증폭시키도록 성형되어, 프로그래밍하는 동안 채널 영역들로부터 전하-구속 재료(즉, 저장 소자)로의 터널링을 증가시키고, 삭제하는 동안 제어 게이트로부터 전하-구속 재료로의 전하 주입을 감소시킨다. 상기 유익한 용량성 결합은 수직의 NOR 스트링의 각각의 TFT에 2 이상의 비트를 저장하는 데 특히 유용하다. 또다른 실시예에서, 각각의 TFT의 전하-구속 재료는, 저장된 데이터의 새로고침을 필요로 하는 보다 짧은 유지 시간에도 불구하고, 높은 기록/삭제 사이클 내구성을 제공하도록 수정된 구조를 가질 수 있다. 그러나, 수직의 NOR 스트링 어레이에 필요로 되는 새로고침이 종래의 동적 랜덤-액세스 메모리(DRAM)에서 보다 훨씬 덜 빈번할 것으로 예상되므로, 본 발명의 멀티-게이트 NOR 스트링 어레이들은 몇몇의 DRAM 응용례들에서 동작할 수 있다. 수직의 NOR 스트링들의 이러한 사용은 종래의 DRAM들에 비해 상당히 낮은 비트-당-비용 특징의 장점을 허용하고, 종래의 NAND 스트링 어레이들에 비해 상당히 낮은 판독-대기 시간을 허용한다.According to embodiments of the invention, variations in the threshold voltages of the TFTs within a vertical NOR string can be compensated for by providing one or more electrically programmable reference vertical NOR strings within the same or another multi-gate vertical NOR string array. there is. The background leakage currents inherent in the vertical NOR string can be significantly neutralized during the read operation by comparing the results of the TFT being read with the results of the TFT being read simultaneously on the programmable reference vertical NOR string. In some embodiments, each TFT in a vertical NOR string is shaped to amplify the capacitive coupling between each control gate and their corresponding channel region, thereby removing charge from the channel regions during programming. Increases tunneling into the confinement material (i.e., storage element) and reduces charge injection from the control gate into the charge-constraint material during erasure. This advantageous capacitive coupling is particularly useful for storing two or more bits in each TFT of a vertical NOR string. In another embodiment, the charge-confinement material of each TFT may have a modified structure to provide high write/erase cycle durability despite shorter retention times requiring refresh of stored data. However, since the refreshes required for vertical NOR string arrays are expected to be much less frequent than in conventional dynamic random-access memory (DRAM), the multi-gate NOR string arrays of the present invention are suitable for several DRAM applications. It can operate in . This use of vertical NOR strings allows the advantage of significantly lower cost-per-bit characteristics compared to conventional DRAMs and allows significantly lower read-latency compared to conventional NAND string arrays.

또다른 실시예에서, 수직의 NOR 스트링은 NROM/미러-비트(Mirror-bit) TFT 스트링으로서 프로그래밍/삭제, 및 판독될 수 있다.In another embodiment, vertical NOR strings can be programmed/erased and read as NROM/Mirror-bit TFT strings.

TFT들을 -- 종래 기술의 수직 NAND 스트링들 보다 오히려 -- 수직의 NOR 스트링들로 조직하면, 그 결과 NAND 플래시 스트링에 비해 (i) 동적 랜덤 액세스 메모리(DRAM) 어레이의 판독-대기 기간에 근접할 수 있게 판독-대기 시간이 감소하고, (ii) 긴 NAND 플래시 스트링들에 연관된 판독-디스터브 및 프로그래밍-디스터브 조건들에 대한 민감도가 감소하고, 그리고 (iii) 비트 당 비용이 감소한다.Organizing the TFTs into vertical NOR strings -- rather than the vertical NAND strings of the prior art -- results in a performance that, compared to NAND flash strings, can (i) approach the read-latency period of a dynamic random access memory (DRAM) array; (ii) reduced sensitivity to read-disturb and program-disturb conditions associated with long NAND flash strings, and (iii) reduced cost per bit.

본 발명의 대안적인 실시예에 따르면, 메모리 구조 내의 각각의 활성 컬럼은 하나 이상의 수직의 NOR 스트링들을 포함하고, 각각의 NOR 스트링은 로컬 소스 라인 및 로컬 비트 라인을 공유하는 박막 저장 트랜지스터들을 갖고, 로컬 비트 라인은 세크먼트화된 글로벌 비트 라인의 하나의 세그먼트에 의해 반도체 기판 내에 제공된 감지 증폭기에 접속된다. 판독 감지 대기 시간을 상당히 감소시키기 위해, 상당한 거리(예를 들어, 칩의 절반 내지 전체의 길이)에 걸친 글로벌 비트 라인 보다는, 다수의 보다 짧은 글로벌 비트 라인 세그먼트들이 제공된다. 각각의 이러한 글로벌 세그먼트는 세그먼트 접속기를 통해 하나 이상의 이웃하는 로컬 비트 라인들을 반도체 기판 내에 제공된 세그먼트 감지 증폭기에 접속시킨다. 로컬 소스 라인들이 가상 접지 전압(예를 들어, Vss)으로 사전-충전되는 실시예들에서, 가상 접지의 기생 용량은 한 그룹의 이웃하는 로컬 소스 라인들을 하나의 로컬 소스 라인 세그먼드에 접속시키는 짧은 글로벌 소스 라인 세그먼트 접속기를 제공함으로써 상당히 증가한다. 세그먼드 내에 포함된 로컬 소스 라인들의 수는 조합된 기생 용량(C)을 결정한다.According to an alternative embodiment of the invention, each active column in the memory structure includes one or more vertical NOR strings, each NOR string having thin film storage transistors sharing a local source line and a local bit line, and the local The bit line is connected to a sense amplifier provided in the semiconductor substrate by one segment of a segmented global bit line. To significantly reduce read detection latency, multiple shorter global bit line segments are provided, rather than a global bit line spanning a significant distance (eg, half to the full length of the chip). Each such global segment connects one or more neighboring local bit lines via a segment connector to a segment sense amplifier provided within the semiconductor substrate. In embodiments where the local source lines are pre-charged with a virtual ground voltage (e.g., V ss ), the parasitic capacitance of the virtual ground connects a group of neighboring local source lines to one local source line segment. This is significantly increased by providing short global source line segment connectors. The number of local source lines included within the segment determines the combined parasitic capacitance (C).

본 발명은 첨부된 도면과 함께, 아래의 상세한 설명을 고려하면 더욱 잘 이해된다.The invention will be better understood upon consideration of the detailed description below, taken in conjunction with the accompanying drawings.

도 1a는 종래 기술의 3-차원 수직의 NAND 스트링들(111 및 112)을 도시한다.
도 1b는 종래 기술의 3-차원 수직의 NAND 스트링의 기본 회로 표현(140)을 도시한다.
도 1c는 랩-어라운드 스태킹된 워드 라인들(150)에 의해 어드레싱되는 3-차원 수직의 NAND 스트링들의 블록의 3-차원 표현을 도시한다.
도 2는 메모리 셀들의 3-차원 조직을 나타내는 개념화된 메모리 구조(100)를 도시한다; 본 발명의 일 실시예에 따르면, 메모리 셀들은 수직의 NOR 스트링들 내에 제공되고, 각각의 수직의 NOR 스트링은, 각각이 수많은 수평의 워드 라인들 중 하나에 의해 제어되는 메모리 셀들을 가진다.
도 3a는 활성 컬럼 내에 형성된 수직의 NOR 스트링(300)의 Z-Y 평면의 기본 회로 표현을 도시한다; 수직의 NOR 스트링(300)은 비-휘발성 저장 TFT들의 3-차원 구조를 표현하고, 본 발명의 일 실시예에 따르면, 각각의 TFT는 각각 글로벌 비트 라인(GBL)(314) 및 글로벌 소스 라인(GSL)(313)에 의해 액세스되는, 로컬 소스 라인(LSL)(355) 및 로컬 비트 라인(LBL)(354)을 공유한다.
도 3b는 활성 컬럼 내에 형성된 수직의 NOR 스트링(305)의 Z-Y 평면의 기본 회로 표현을 도시한다; 수직의 NOR 스트링(305)은 비-휘발성 저장 TFT들의 3-차원 구조를 표현하고, 본 발명의 일 실시예에 따르면, 기생 용량(C)을 갖는 공유된 로컬 소스 라인(355) 상에 전압("Vss")을 설정하기 위한 전용 사전-충전 TFT(370)를 포함한다.
도 3c는 하나 이상의 프로그래밍된 임계 전압들을 갖고 기생 커패시터(parasitic capacitor)(360)에 접속된 동적 비-휘발성 저장 트랜지스터(317)의 기본 회로 표현을 도시한다; 커패시터(360)는 소스 단자(355) 상에 가상 전압(Vss)을 일시적으로 유지하도록 사전-충전되어, 제어 게이트(323p)가 임계 전압을 초과하는 전압으로 상승할 때, 트랜지스터(317)의 임계 전압이 전압(Vss)의 방전에 의해 동적으로 탐지되는 것을 허용한다.
도 3d는 도 3a의 실시예의 수직의 NOR 메모리 어레이 회로 아키텍처(architecture)의 변형을 도시하는데, 여기서 글로벌 비트 라인(GBL)(314)은 비트 라인 세그먼트들(MSBL1, MSBL2, ...)로 대체되고, 상기 비트 라인 세그먼트 각각은 다수의 이웃하는 수직의 로컬 비트 라인들(374-1, 374-2, ...)을 접속시킨다; 세그먼트들은 그 후 세그먼트-선택 박막 트랜지스터들(586-1, ..., 586-n)을 통해 국지적(regional) 비트 라인 세그먼트들(SGBL1, SGBL2, ...)에 접속되는데, 상기 국지적 비트 라인 세그먼트들은 각각 다수의 비트 라인 세그먼트들에 연관되고, 유전체(393)에 의해 그들 아래의 실리콘 기판(310) 내의 감지 증폭기들 및 다른 회로부로부터 격리된다.
도 3e는 도 3d의 실시예의 회로 아키텍처의 변형을 도시하는데, 여기서 글로벌 소스-선택 라인(313)은 소스-선택 트랜지스터(SLS1)를 통해, 소스 라인 세그먼트(MSSL1)와 연관된 이웃하는 수직의 로컬 소스 라인들(375-1, 375-2, ...)의 그룹에 액세스한다.
도 3f는 도 3e의 실시예의 회로 아키텍처의 변형을 도시하는데, 여기서 글로벌 소스 라인(313)은 삭제되고 수직의 로컬 소스 라인들(375-1, 375-2, ...)에 접속된 로컬 소스 라인 세그먼트(MSSL1)로 대체되고, 수직의 로컬 소스 라인들은 사전-충전 트랜지스터들(예를 들어, 사전-충전 트랜지스터(370))을 통해 충전되어 가상 접지 전압(Vss)으로 유지된다.
도 3g는 도 3f의 실시예의 회로 아키텍처의 변형을 도시하는데, 여기서 국지적 비트 라인 세그먼트들(SGBL1, SGBL2, ...)은 비트 라인 세그먼트들(MSBL1, MSBL2, ...)에 병합되고, 비아(via)들(322)을 통해 기판 내에 위치한 세그먼트-선택 트랜지스터들(315-1, 315-2, ...)(따라서 도 3d의 세그먼트-선택 박막 트랜지스터들(586-1, 586-2, ...)을 대체함)에 접속된다.
도 3h는 도 3g의 실시예의 회로 아키텍처를 도시하는데, 여기서 2개의 이웃하는 비트 라인 세그먼트들(MSBL1, MSBL2)은 2개의 비트 라인 세그먼트들 사이의 BLO로 레이블된(labeled) 공간 내에 형성된 전용 활성 수직의 컬럼(381)을 통해 기판(310)으로부터 접속된 그들의 로컬 소스 라인 세그먼트들(MSSL1, MSSL2)을 갖는다.
도 3i 및 3ia(3i-1)(도 3i 및 3ia(3i-1)으로의 키)은 도 3h의 실시예의 X-Y 평면 뷰를 도시하는데, 여기서 소스 세그먼트(MSSL1) 내의 각각의 수직의 로컬 소스 라인은 컬럼(381)을 통해 공급되는 전압(Vss 또는 Vbl)으로 유지된다.
도 4a는 나란한 활성 컬럼들(431 및 432)을 도시하는 Z-Y 평면의 단면도로서, 본 발명의 일 실시예에 따르면, 활성 컬럼들 각각은 도 3a 또는 도 3b에 나타낸 기본 회로 표현을 갖는 수직의 NOR 스트링을 형성할 수 있다.
도 4aa(도 4a-1)는 도 4a의 수직의 NOR 스트링의 상면도이며, 여기서 수직의 로컬 소스 라인 또는 드레인 라인의 전도성은 로컬 소스 라인 또는 드레인 라인의 필라(pillar)들의 중심에 금속 물질(420)(M)을 포함시킴으로써 증가된다.
도 4b는 본 발명의 일 실시예에 따른, 활성 컬럼들(430R, 430L, 431R, 및 431L), 전하-구속 층들(432 및 434), 및 워드 라인들(423p-L 및 423p-R)을 도시하는 Z-X 평면의 단면도이다.
도 4c는 본 발명의 일 실시예에 따른, 수직의 NOR 스트링 쌍들(491 및 492)의 Z-X 평면의 기본 회로 표현을 도시한다.
도 5a는 본 발명의 일 실시예에 따른, 글로벌 비트 라인(514-1)(GBL1)에의, 글로벌 소스 라인(507)(GSL1)에의, 및 공통 바디 바이어스 소스(506)(Vbb)에의 활성 컬럼(531)의 수직의 NOR 스트링의 접속들을 도시하는 Z-Y 평면의 단면도이다.
도 5b는 본 발명의 일 실시예에 따른, (P- 채널 물질을 제공하는) 바디 영역(556)의 (P+ 폴리실리콘으로 유전체층(592)내에 형성된) 전도성 필라(591)에 의한 접속, 예를 들어, 활성 컬럼(581) 위에 제공되고 워드 라인들과 평행하게 나아가는 전도체(590)에의 접속을 도시하는 Z-Y 평면의 단면도이다; 전도체(590)는 유전체 격리부(509)의 개구부 내의 비아(593)를 통해 기판(505) 내의 전압 소스(594)로부터 바디 바이어스 전압(Vbb)을 받는다.
도 6a는 본 발명의 일 실시예에 따른, 도 4c에 관련하여 설명된 바와 같은, 수직의 NOR 스트링 쌍(491) 내의 수직의 NOR 스트링(451a)의 TFT(685)(TL) 및 수직의 NOR 스트링(451b)의 TFT(684)(TR)를 도시하는 X-Y 평면의 단면도이다; 도 6a에서, 글로벌 비트 라인(614-1)은 로컬 비트 라인들(LBL-1) 중 교번하는 로컬 비트 라인에 액세스하고, 트랜지스터 채널(656L)의 미리 결정된 굴곡(675)은 프로그래밍 동안 각각의 제어 게이트와 대응하는 채널 사이의 용량성 결합을 증폭시킨다.
도 6b는 본 발명의 일 실시예에 따른, 도 4c에 관련하여 설명된 바와 같은, 수직의 NOR 스트링 쌍(491) 내에서 수직의 NOR 스트링(451a)의 TFT(685)(TL)가 수직의 NOR 스트링(451b)의 TFT(684)(TR)와 함께 활성 영역을 공유하는 것을 도시하는 X-Y 평면의 단면도이다; 도 6b에서, 글로벌 비트 라인(614-1)은 로컬 비트 라인들(654)(LBL-1) 중 교번하는 (홀수) 로컬 비트 라인에 액세스하고, 글로벌 비트 라인(614-2)은 로컬 비트 라인들(657-2)(LBL-2) 중 교번하는 (짝수) 로컬 비트 라인을 어드레싱하고, 로컬 소스 라인들(LSL-l 및 LSL-2)은 가상 공급 전압(Vss)을 제공하도록 사전-충전된다.
도 6c는 각각이 수직의 NOR 스트링의 TFT를 둘러싸는("랩 어라운드") 워드 라인들을 각각 갖는 전용 워드 라인 스택들(623p)과, 글로벌 수평 비트 라인(614) 및 글로벌 수평의 소스 라인(615)에 의해 각각 액세스되는 (Z-방향을 따라 연장하는) 로컬 수직 팔라 비트 라인(654) 및 (Z-방향을 따라 연장하는) 로컬 수직 필라 소스 라인(655)을 도시하는 X-Y 평면의 단면도이다; 도 6c에서, 인접한 워드 라인 스택들(623p)은 에어 갭(air gap)(610) 또는 또다른 유전체 격리부에 의해 서로 격리된다.
도 6d는 도 6c에 도시된 것들과 유사한, 워드-라인 스택들(623p)을 공유하고, 각각이 사전-충전 가상 공급 전압(Vss)을 제공하는 사전-충전 기생 커패시터들(660)을 갖는, 수직의 NOR 스트링의 스태거링(staggering)된 폐쇄-패킹(close-packing)을 도시하는 X-Y 평면의 단면도이다.
도 6e는 도 6b에 도시된 실시예의 레이아웃를 사용하여, 활성 컬럼들의 인접한 로우(row)들 내의 바디 영역들(656)(L+R) 사이에 공유되는 바디 바이어스 전압(Vbb)을 (예를 들어, 전도체들(690-1 및 690-2)을 통해) 제공하는 것을 X-Y 평면으로 도시한 도면이다.
도 6f는 본 발명의 비트 라인 세그멘테이션 방식에 관련하여 하나의 평면 상에서 (즉, 하나의 계단식 단계에서) 로컬 워드 라인들을 접속시키기 위한 글로벌 워드 라인들의 일 구현예를 나타내는 도면이다.
도 6g는, 본 발명의 일 실시예에 따른, 저장 트랜지스터들의 층들의 수가 수직 방향으로 두배가 될 때, 워드 라인 계단식 단계들에 의해 취해지는 실리콘 면적이 두배가 되는 것을 피하는 수직의 NOR 스트링 메모리 어레이의 일 구현예를 나타내는 도면이다.
도 7a, 7b, 7c, 및 7d는 본 발명의 일 실시예에 따른, 멀티-게이트 NOR 스트링 어레이를 위한 제조 공정에서 형성되는 중간 구조들의 단면도들이다.
도 7da(도 7d-1)는 로컬 소스 라인 또는 로컬 비트 라인의 수직 필라들의 코어(core)에 전도성 물질(720)(M)을 포함하는 것을 X-Y 평면으로 도시한다..
도 8a는 수직의 NOR 스트링의 로컬 소스 라인(LSL)이 하드-와이어링된(hard-wired) 실시예들에 대한 판독 동작의 계략도이다; 도 8a에서, "WLS"는 선택된 워드 라인 상의 전압을 표현하고, 수직의 NOR 스트링 내의 모든 선택되지-않은 워드 라인들("WLNS")은 판독 동작 동안 0V로 설정된다.
도 8b는 로컬 소스 라인이 사전-충전 가상 전압(Vss)에서 플로팅(floating)하는 실시예들에 대한 판독 동작의 계략도이다; 도 8b에서, "WLCHG"는 사전-충전 트랜지스터(예를 들어, 도 3c의 사전-충전 트랜지스터(317 또는 370)) 상의 게이트 전압을 표현한다.
1A shows prior art three-dimensional vertical NAND strings 111 and 112.
Figure 1B shows a basic circuit representation 140 of a prior art three-dimensional vertical NAND string.
Figure 1C shows a three-dimensional representation of a block of three-dimensional vertical NAND strings addressed by wrap-around stacked word lines 150.
Figure 2 shows a conceptualized memory structure 100 representing a three-dimensional organization of memory cells; According to one embodiment of the invention, memory cells are provided in vertical NOR strings, each vertical NOR string having memory cells each controlled by one of a number of horizontal word lines.
Figure 3a shows a basic circuit representation of the ZY plane of a vertical NOR string 300 formed within an active column; The vertical NOR string 300 represents a three-dimensional structure of non-volatile storage TFTs, each TFT having a global bit line (GBL) 314 and a global source line (314), respectively, according to one embodiment of the present invention. They share a local source line (LSL) 355 and a local bit line (LBL) 354, which are accessed by GSL) 313.
Figure 3b shows a basic circuit representation of the ZY plane of a vertical NOR string 305 formed within an active column; The vertical NOR string 305 represents a three-dimensional structure of non-volatile storage TFTs and, according to one embodiment of the invention, stores a voltage ( and a dedicated pre-charge TFT 370 for setting "V ss ").
Figure 3C shows a basic circuit representation of a dynamic non-volatile storage transistor 317 connected to a parasitic capacitor 360 with one or more programmed threshold voltages; Capacitor 360 is pre-charged to temporarily maintain a virtual voltage (V ss ) on source terminal 355 so that when control gate 323p rises to a voltage exceeding the threshold voltage, transistor 317 Allows the threshold voltage to be dynamically detected by discharge of voltage (V ss ).
Figure 3D shows a variation of the vertical NOR memory array circuit architecture of the embodiment of Figure 3A, where global bit line (GBL) 314 is divided into bit line segments (MSBL 1 , MSBL 2 ,...). is replaced with, each of the bit line segments connects a plurality of neighboring vertical local bit lines 374-1, 374-2, ...; The segments are then connected to regional bit line segments (SGBL 1 , SGBL 2 , ...) via segment-select thin film transistors 586-1, ..., 586-n. The bit line segments are each associated with a number of bit line segments and are isolated from the sense amplifiers and other circuitry in the silicon substrate 310 beneath them by a dielectric 393.
FIG. 3E shows a variation of the circuit architecture of the embodiment of FIG. 3D , where the global source-select line 313 is connected to the neighboring vertical line segment associated with the source line segment MSSL 1 , via the source-select transistor SLS 1 . Access a group of local source lines (375-1, 375-2, ...).
Figure 3F shows a variation of the circuit architecture of the embodiment of Figure 3E, where global source line 313 is deleted and local source lines connected to vertical local source lines 375-1, 375-2,... Replaced by a line segment (MSSL 1 ), the vertical local source lines are charged via pre-charge transistors (e.g., pre-charge transistor 370 ) and maintained at the virtual ground voltage (V ss ).
Figure 3g shows a variation of the circuit architecture of the embodiment of Figure 3f, where local bit line segments (SGBL 1 , SGBL 2 ,...) are connected to bit line segments (MSBL 1 , MSBL 2 ,...). Segment-select transistors 315-1, 315-2, ... are merged and located within the substrate via vias 322 (and thus segment-select thin film transistors 586-1 in FIG. 3D, 586-2, ...) is connected to.
Figure 3h shows the circuit architecture of the embodiment of Figure 3g, where two neighboring bit line segments (MSBL 1 , MSBL 2 ) are dedicated to a single circuit formed within the space labeled BLO between the two bit line segments. It has their local source line segments MSSL 1 and MSSL 2 connected from the substrate 310 via an active vertical column 381.
Figures 3i and 3ia(3i-1) (key to Figures 3i and 3ia(3i-1)) show an XY plane view of the embodiment of Figure 3h, where each vertical local source within source segment MSSL 1 The line is maintained at a voltage (V ss or V bl ) supplied through column 381.
FIG. 4A is a cross-sectional view in the ZY plane showing side-by-side active columns 431 and 432, each of which, according to one embodiment of the invention, is a vertical NOR circuit having the basic circuit representation shown in FIG. 3A or FIG. 3B. A string can be formed.
FIG. 4AA (FIG. 4A-1) is a top view of the vertical NOR string of FIG. 4A, where the conductivity of the vertical local source or drain line is reduced by a metallic material (FIG. 4A-1) at the center of the pillars of the local source or drain line. It is increased by including 420)(M).
4B shows active columns 430R, 430L, 431R, and 431L, charge-confinement layers 432 and 434, and word lines 423 p -L and 423 p -R, according to one embodiment of the present invention. ) is a cross-sectional view of the ZX plane showing ).
Figure 4C shows a basic circuit representation of the ZX plane of vertical NOR string pairs 491 and 492, according to one embodiment of the invention.
FIG. 5A shows the global bit line 514-1 (GBL 1 ), the global source line 507 (GSL 1 ), and the common body bias source 506 (V bb ), according to one embodiment of the present invention. is a cross-sectional view in the ZY plane showing the connections of the vertical NOR string of the active column 531 to .
5B illustrates an example connection of body region 556 (providing P - channel material) by conductive pillars 591 (formed in dielectric layer 592 with P + polysilicon), according to one embodiment of the present invention. For example, here is a cross-sectional view in the ZY plane showing the connection to the conductor 590 provided above the active column 581 and running parallel to the word lines; Conductor 590 receives body bias voltage (V bb ) from voltage source 594 in substrate 505 through via 593 in the opening of dielectric isolation 509 .
FIG. 6A illustrates a TFT 685 (T L ) of a vertical NOR string 451a within a vertical NOR string pair 491, as described with respect to FIG. 4C, according to an embodiment of the present invention. is a cross-sectional view in the XY plane showing the TFT 684 (T R ) of the NOR string 451b; 6A, global bit line 614-1 accesses alternating local bit lines LBL-1, and a predetermined bend 675 of transistor channel 656L is each controlled during programming. Amplifies the capacitive coupling between the gate and the corresponding channel.
FIG. 6B illustrates a TFT 685 (T L ) of a vertical NOR string 451a within a vertical NOR string pair 491, as described with respect to FIG. 4C, according to one embodiment of the present invention. is a cross -sectional view in the 6B, global bit line 614-1 accesses alternating (odd) local bit lines 654 (LBL-1), and global bit line 614-2 accesses local bit lines 614-2. Addressing alternating (even) local bit lines of field 657-2 (LBL-2), and local source lines (LSL-l and LSL-2) are pre-configured to provide a virtual supply voltage (V ss ). It is charged.
FIG. 6C shows dedicated word line stacks 623 p , each having word lines that surround (“wrap around”) a TFT of a vertical NOR string, a global horizontal bit line 614 and a global horizontal source line ( is a cross-sectional view in the ; In Figure 6C, adjacent word line stacks 623p are isolated from each other by an air gap 610 or another dielectric isolation.
FIG. 6D shares word-line stacks 623 p , similar to those shown in FIG. 6C , and pre-charge parasitic capacitors 660 each providing a pre-charge virtual supply voltage (V ss ). is a cross-sectional view in the XY plane showing the staggered close-packing of vertical NOR strings.
Figure 6E shows the body bias voltage (V bb ) shared between body regions 656 (L+R) in adjacent rows of active columns (e.g., using the layout of the embodiment shown in Figure 6B). For example, this is a diagram showing what is provided (via conductors 690-1 and 690-2) in the XY plane.
FIG. 6F is a diagram illustrating an example of implementation of global word lines for connecting local word lines on one plane (i.e., in one cascade step) in relation to the bit line segmentation method of the present invention.
6G shows a vertical NOR string memory array that avoids doubling the silicon area taken up by word line cascades when the number of layers of storage transistors is doubled in the vertical direction, according to one embodiment of the present invention. This is a drawing showing an example of implementation.
7A, 7B, 7C, and 7D are cross-sectional views of intermediate structures formed in a manufacturing process for a multi-gate NOR string array, according to an embodiment of the present invention.
FIG. 7D (FIG. 7D-1) shows in the XY plane the inclusion of conductive material 720 (M) in the core of the vertical pillars of the local source line or local bit line.
Figure 8A is a schematic diagram of a read operation for embodiments in which the local source line (LSL) of the vertical NOR string is hard-wired; In Figure 8A, “WL S ” represents the voltage on the selected word line, and all unselected word lines (“WL NS ”) in the vertical NOR string are set to 0V during a read operation.
FIG. 8B is a schematic diagram of a read operation for embodiments where the local source line is floating at the pre-charge virtual voltage (V ss ); In Figure 8B, "WL CHG " represents the gate voltage on a pre-charge transistor (e.g., pre-charge transistor 317 or 370 in Figure 3C).

도 2는 수직의 NOR 스트링들 내에 제공된 메모리 셀들(또는 저장 소자들)의 3-차원 조직을 나타내는 개념화된 메모리 구조(100)를 도시한다. 본 발명의 일 실시예에 따르면, 개념화된 메모리 구조(100)에서, 각각의 수직의 NOR 스트링은, 각각이 대응하는 수평 워드 라인에 의해 제어되는 메모리 셀들을 포함한다. 개념화된 메모리 구조(100)에서, 각각의 메모리 셀은 "수직으로", 즉, 기판층(101)의 표면에 직각인 방향을 따라 제공되는 적층된 박막들 내에 형성된다. 기판층(101)은, 예를 들어, 당업자들에게 익숙한, 집적 회로들을 제조하는 데 사용되는 종래의 실리콘 웨이퍼일 수 있다. 이 상세한 설명에서, (도 2에 나타낸 것과 같은) 직교 좌표계는 오로지 설명을 용이하게 할 목적으로 채택되었다. 상기 좌표계 하에서, 기판층(101)의 표면은 X-Y 평면에 평행한 평면으로 고려된다. 따라서, 이 설명에서 사용된 바와 같이, "수평"이란 용어는 X-Y 평면에 평행한 임의의 방향을 지칭하고, "수직"이란 용어는 Z-방향을 지칭한다.Figure 2 shows a conceptualized memory structure 100 showing a three-dimensional organization of memory cells (or storage elements) provided within vertical NOR strings. According to one embodiment of the invention, in the conceptualized memory structure 100, each vertical NOR string includes memory cells each controlled by a corresponding horizontal word line. In the conceptualized memory structure 100 , each memory cell is formed “vertically”, i.e., in stacked thin films provided along a direction perpendicular to the surface of the substrate layer 101 . Substrate layer 101 may be, for example, a conventional silicon wafer used to fabricate integrated circuits, familiar to those skilled in the art. In this detailed description, a Cartesian coordinate system (such as that shown in Figure 2) has been adopted solely for the purpose of facilitating explanation. Under the above coordinate system, the surface of the substrate layer 101 is considered a plane parallel to the X-Y plane. Accordingly, as used in this description, the term “horizontal” refers to any direction parallel to the X-Y plane and the term “vertical” refers to the Z-direction.

도 2에서, Z-방향의 각각의 수직의 컬럼은 수직의 NOR 스트링(예를 들어, 수직의 NOR 스트링(121)) 내의 저장 소자들 또는 TFT들을 표현한다. 수직의 NOR 스트링들은 각각이 X-방향을 따라 연장하는 열들 내에서 규칙적인 방식으로 배열된다. (물론, 대안적으로, 동일한 배열이 각각이 Y-방향을 따라 연장하는 열들의 배열로서 보여질 수 있다.) 수직의 NOR 스트링의 저장 소자들은 수직의 로컬 소스 라인 및 수직의 로컬 비트 라인(도시되지 않음)을 공유한다. 수평 워드 라인들(예를 들어, WL(123))의 스택은 Y-방향을 따라 나아가고, 각각의 워드 라인은 Y-방향을 따라 워드 라인에 인접하게 위치된 수직 NOR 스트링들의 대응하는 TFT들에 대한 제어 게이트들의 역할을 한다. 글로벌 소스 라인들(예를 들어, GSL(122)) 및 글로벌 비트 라인들(예를 들어, GBL(124))은 대체적으로 개념화된 메모리 구조(100)의 하부 아래에서 또는 상부 상에서 나아가면서 X-방향을 따라 제공된다. 대안적으로, 신호 라인들 GSL(122) 및 GBL(124)은 모두 개념화된 메모리 구조(100) 아래에서 라우팅(routed) 될 수 있고(또는 모두 개념화된 메모리 구조의 상부 상에서 라우팅 될 수 있고), 상기 신호 라인들 각각은 액세스 트랜지스터들(도시되지 않음)에 의해 개개의 수직의 NOR 스트링들의 로컬 소스 라인들 및 로컬 비트 라인들에 선택적으로 접속될 수 있다. 종래 기술의 수직 NAND 스트링과 달리, 본 발명의 수직의 NOR 스트링에서, 그것의 저장 소자들 중 임의의 하나에 기록하거나 또는 임의의 하나를 판독하는 것은 수직의 NOR 스트링 내의 임의의 다른 저장 소자의 활성화를 수반하지 않는다. 도 2에 도시된 바와 같이, 오로지 예시할 목적으로, 개념화된 메모리 블록(100)은 수직의 NOR 스트링들의 4×5 배열로 이루어진 멀티-게이트 수직의 NOR 스트링 어레이이고, 각각의 NOR 스트링은 대체적으로 32개 이상의 저장 소자들 및 액세스 선택 트랜지스터들(access selection transistors)을 갖는다. 개념화된 구조로서, 메모리 블록(100)은 단지 본 발명의 메모리 구조의 특정 핵심적인 특징들의 추상화일 뿐이다. 도 2에는 수직의 NOR 스트링들의 4×5 배열로서 도시되어 있지만, 각각의 수직의 NOR 스트링들은 수많은 저장 소자들을 갖고, 본 발명의 메모리 구조는 X-방향 또는 Y-방향을 따라 각각의 로우에 임의의 수의 수직의 NOR 스트링들을, 그리고 각각의 수직의 NOR 스트링 내에 임의의 수의 저장 소자들을 가질 수 있다. 예를 들어, 수천 개의 수직의 NOR 스트링들이 X-방향 및 Y-방향 모두를 따라 로우들 내에 배열될 수 있고, 각각의 NOR 스트링은, 예를 들어, 2, 4, 8, 16, 32, 64, 128, 또는 그 이상의 저장 소자들을 갖는다.In Figure 2, each vertical column in the Z-direction represents storage elements or TFTs within a vertical NOR string (eg, vertical NOR string 121). The vertical NOR strings are arranged in a regular manner within columns each extending along the X-direction. (Of course, alternatively, the same arrangement can be viewed as an array of columns, each extending along the Y-direction.) The storage elements of the vertical NOR string are connected to the vertical local source line and the vertical local bit line (as shown). does not work) is shared. A stack of horizontal word lines (e.g., WL 123) runs along the Y-direction, with each word line connected to corresponding TFTs of vertical NOR strings located adjacent to the word line along the Y-direction. It serves as control gates for Global source lines (e.g., GSL 122) and global bit lines (e.g., GBL 124) generally extend below or on the top of the conceptualized memory structure 100, Directions are provided along the lines. Alternatively, signal lines GSL 122 and GBL 124 may both be routed below the conceptualized memory structure 100 (or may both be routed on top of the conceptualized memory structure), Each of the signal lines can be selectively connected to the local source lines and local bit lines of the respective vertical NOR strings by access transistors (not shown). Unlike the vertical NAND strings of the prior art, in the vertical NOR string of the present invention, writing to or reading any one of its storage elements does not result in activation of any other storage element in the vertical NOR string. does not entail As shown in FIG. 2 , for illustrative purposes only, the conceptualized memory block 100 is a multi-gate vertical NOR string array consisting of a 4×5 array of vertical NOR strings, with each NOR string generally It has more than 32 storage elements and access selection transistors. As a conceptualized structure, memory block 100 is merely an abstraction of certain key features of the memory structure of the present invention. Although shown in Figure 2 as a 4x5 array of vertical NOR strings, each vertical NOR string has numerous storage elements, and the memory structure of the present invention provides a random array of storage elements in each row along the X-direction or Y-direction. can have any number of vertical NOR strings, and any number of storage elements within each vertical NOR string. For example, thousands of vertical NOR strings may be arranged in rows along both the , 128, or more storage elements.

도 2의 각각의 수직의 NOR 스트링(예를 들어, 수직 NOR 스트링(121)) 내의 저장 소자들의 수는 수직의 NOR 스트링에 제어 게이트들을 제공하는 워드 라인들(예를 들어, WL(123))의 수에 대응한다. 워드 라인들은, 각각이 Y-방향을 따라 연장하는 좁고 긴 금속 스트립들로 형성된다. 워드 라인들은 서로의 상부 상에 스태킹되고, 그들 사이의 유전체 절연 층들에 의해 서로 전기적으로 격리된다. 각각의 스택 내의 워드 라인들의 수는 임의의 수일 수 있지만, 2의 정수 거듭제곱(즉, 2n, 여기서 n은 정수)인 것이 바람직하다. 워드 라인들의 수에 대해 2의 거듭제곱을 선택한 것은 종래 메모리 디자인의 관행을 따른 것이다. 이진 어드레스를 디코딩함으로써 메모리의 각각의 어드레싱 가능한 유닛에 액세스하는 것이 관행적인 것이다. 상기 관행은 선호의 문제일 뿐 따라야할 필요는 없다. 예를 들어, 본 발명의 범위 내에서, 개념화된 메모리 구조(100)는 X-방향 및 Y-방향으로 각각의 로우에 따라 M개의 수직의 NOR 스트링들을 가질 수 있고, M은 반드시 (임의의 정수 n에 대한) 2n일 필요는 없는 수이다. 후술되는 몇몇의 실시예들에서, 2개의 수직의 NOR 스트링들은 수직의 로컬 소스 라인 및 수직의 로컬 비트 라인을 공유할 수 있지만, 그들 각각의 저장 소자들은 2개의 개별적인 워드 라인 스택들에 의해 제어된다. 이것은 효율적으로 수직의 NOR 스트링의 저장 밀도를 두배가 되게 한다.The number of storage elements within each vertical NOR string (e.g., vertical NOR string 121) in FIG. 2 depends on the word lines (e.g., WL 123) that provide control gates to the vertical NOR string. corresponds to the number of The word lines are formed from long narrow metal strips, each extending along the Y-direction. The word lines are stacked on top of each other and electrically isolated from each other by dielectric insulating layers between them. The number of word lines in each stack can be any number, but is preferably an integer power of 2 (i.e., 2 n , where n is an integer). The choice of a power of 2 for the number of word lines follows conventional memory design practice. It is customary to access each addressable unit of memory by decoding its binary address. The above practices are a matter of preference and do not have to be followed. For example, within the scope of the present invention, the conceptualized memory structure 100 may have M vertical NOR strings along each row in the X-direction and Y-direction, where M must be (a random integer (for n) 2 is a number that does not have to be n . In some embodiments described below, two vertical NOR strings may share a vertical local source line and a vertical local bit line, but their respective storage elements are controlled by two separate word line stacks. . This effectively doubles the storage density of a vertical NOR string.

도 2의 개념화된 메모리 구조(100)는 단지 메모리 셀들의 조직을 나타내기 위해 제공된 것이므로, X-방향, Y-방향, 및 Z-방향 중 임의의 방향으로 특정 스케일로 그려진 것은 아니다.The conceptualized memory structure 100 of FIG. 2 is provided merely to illustrate the organization of memory cells and is therefore not drawn to a specific scale in any of the X-direction, Y-direction, and Z-direction.

도 3a는 활성 컬럼 내에 형성된 수직의 NOR 스트링(300)의 Z-Y 평면의 기본 회로 표면을 도시하고; 수직의 NOR 스트링(300)은 비-휘발성 저장 TFT들의 3-차원 배열을 표현하고, 본 발명의 일 실시예에 따르면, 각각의 TFT는 로컬 소스 라인(LSL)(355) 및 로컬 비트 라인(354)을 공유한다. 이 상세한 설명에서, "활성 영역", "활성 컬럼", 또는 "활성 스트립"이란 용어는 활성 디바이스(예를 들어, 트랜지스터 또는 다이오드)가 형성될 수 있는 하나 이상의 반도체 재료들의 영역, 컬럼, 또는 스트립을 지칭한다. 도 3a에 도시된 바와 같이, 수직의 NOR 스트링(300)은 Z-방향을 따라 나아가고, TFT들(316 및 317)은 수직의 로컬 소스 라인(355)과 수직의 로컬 드레인 또는 비트 라인(354) 사이에 병렬 접속된다. 비트 라인(354) 및 소스 라인(355)은 서로 떨어져 있는데, 그들-사이의 영역(즉, 바디 영역(356))은 수직의 NOR 스트링 내의 TFT들을 위한 채널 영역들을 제공한다. 저장 소자들은 채널 영역(356)과 각각의 수평의 워드 라인(323p) 사이의 교차 지점들에 형성되고, 여기서 p는 워드 라인 스택 내의 워드 라인의 인덱스이고; 상기 예에서, p는 0과 31 사이의 임의의 값을 취할 수 있다. 워드 라인들은 Y-방향을 따라 연장한다. 로컬 비트 라인(354)은 비트 라인 액세스 선택 트랜지스터(311)를 통해 수평의 글로벌 비트 라인(GBL)(314)에 접속되고, 상기 수평의 글로벌 비트 라인은 X-방향을 따라 나아가고 로컬 비트 라인(354)을 액세스 비트 라인 공급 전압(Vbl)에 접속시킨다. 로컬 소스 라인(355)은 수평의 글로벌 소스 라인(GSL)(313)을 통해 소스 공급 전압(Vss)에 접속된다. 선택적인 소스-선택 트랜지스터(도 3a에 도시되지 않음)가 로컬 소스 라인(355)과 GSL(313) 사이를 접속시키기 위해 제공될 수 있다. 당업자에게 알려진 바와 같이, 선택적인 소스-선택 트랜지스터는 기판(예를 들어, 도 2의 반도체 기판(101)) 내에 구현될 수 있거나, 또는 기판 위와 메모리 구조(100) 아래에 구현될 수 있는 소스 디코딩 회로부에 의해 제어될 수 있다. 활성 컬럼의 바디 영역(356)은 단자(331)에서 기판 바이어스 전압(Vbb)에 접속될 수 있다. 기판 바이어스 전압(Vbb)은, 예를 들어, 삭제 동작 동안 사용될 수 있다. Vbb 공급 전압은 전체 멀티-게이트 수직의 NOR 스트링 어레이에 공급되거나, 또는 디코딩 메커니즘을 통해 수직의 NOR 스트링들의 하나 이상의 로우들에 선택적으로 공급될 수 있다. Vbb 공급 전압을 바디 영역(356)에 접속시키는 라인들은 워드 라인들의 방향을 따라 나아가는 것이 바람직하다.Figure 3A shows the basic circuit surface in the ZY plane of a vertical NOR string 300 formed in an active column; The vertical NOR string 300 represents a three-dimensional array of non-volatile storage TFTs, each TFT having a local source line (LSL) 355 and a local bit line 354, according to one embodiment of the invention. ) share. In this detailed description, the terms “active region,” “active column,” or “active strip” refer to a region, column, or strip of one or more semiconductor materials from which an active device (e.g., transistor or diode) can be formed. refers to As shown in Figure 3A, vertical NOR string 300 runs along the Z-direction, and TFTs 316 and 317 are connected to a vertical local source line 355 and a vertical local drain or bit line 354. are connected in parallel. Bit line 354 and source line 355 are separated from each other, with the area between them (i.e., body area 356) providing channel areas for the TFTs in the vertical NOR string. Storage elements are formed at intersection points between the channel region 356 and each horizontal word line 323 p , where p is the index of the word line in the word line stack; In the above example, p can take any value between 0 and 31. Word lines extend along the Y-direction. The local bit line 354 is connected to a horizontal global bit line (GBL) 314 via a bit line access select transistor 311, which runs along the ) is connected to the access bit line supply voltage (V bl ). Local source line 355 is connected to the source supply voltage (V ss ) via a horizontal global source line (GSL) 313 . An optional source-select transistor (not shown in Figure 3A) may be provided to connect between local source line 355 and GSL 313. As known to those skilled in the art, the optional source-select transistor may be implemented within a substrate (e.g., semiconductor substrate 101 of FIG. 2) or above the substrate and below the memory structure 100. It can be controlled by circuitry. The body region 356 of the active column may be connected to a substrate bias voltage (V bb ) at terminal 331 . A substrate bias voltage (V bb ) may be used, for example, during an erase operation. The V bb supply voltage may be supplied to the entire multi-gate vertical NOR string array, or may be selectively supplied to one or more rows of vertical NOR strings through a decoding mechanism. The lines connecting the V bb supply voltage to body region 356 preferably run along the direction of the word lines.

도 3b는 활성 컬럼 내에 형성된 수직의 NOR 스트링(305)의 Z-Y 평면의 기본 회로 표현을 도시하고; 수직의 NOR 스트링(305)은 비-휘발성 저장 TFT들의 3-차원 구조를 표현하고, 본 발명의 일 실시예에 따르면, (커패시터(360)에 의해 표현되는) 기생 용량(C)을 갖는 공유된 로컬 소스 라인(355) 상에 전압("Vss")을 순간적으로 설정하기 위한 전용 사전-충전 TFT(370)를 (선택적으로) 포함한다. 도 3a의 수직의 NOR 스트링(300)과 달리, 수직의 NOR 스트링(305)은 GSL(313)을 구현하지 않고, GSL을 기생 커패시터(360)를 사전-충전하는 사전-충전 트랜지스터(370)로 대체하고, 기생 커패시터는 Vss 볼트의 전압을 일시적으로 유지한다. 상기 사전 충전 방식 하에서는, 글로벌 소스 라인들(예를 들어, 도 3a의 글로벌 소스 라인들(313)) 및 그것의 디코딩 회로부가 불필요 해지므로, 제조 공정뿐만 아니라 회로 레이아웃 모두가 단순해지고, 각각의 수직의 NOR 스트링에 대한 매우 타이트한 풋프린트(tight footprint)가 제공된다. 도 3c는, 그들의 보통의 저장 기능 이외에, 또한 전용 사전-충전 트랜지스터(370)의 사전-충전 기능을 수행하기 위해 사용될 수 있는 비-휘발성 저장 TFT(317)의 구조를 강조한다. TFT(317)에 대한 동적 판독 동작은 TFT(317)의 저장 소자(334)에 프로그래밍된 몇몇의 임계 전압들 중 올바른 것을 감지하는 것에 관련하여 후술되어 있다.Figure 3b shows a basic circuit representation of the ZY plane of a vertical NOR string 305 formed within an active column; The vertical NOR string 305 represents a three-dimensional structure of non-volatile storage TFTs and, according to one embodiment of the invention, has a shared parasitic capacitance C (represented by capacitor 360). Includes (optionally) a dedicated pre-charge TFT 370 for instantaneously setting the voltage (“V ss “) on the local source line 355. Unlike vertical NOR string 300 in FIG. 3A, vertical NOR string 305 does not implement GSL 313, but rather connects GSL to pre-charge transistor 370, which pre-charges parasitic capacitor 360. Instead, the parasitic capacitor temporarily maintains a voltage of V ss volts. Under the pre-charge method, global source lines (e.g., global source lines 313 in FIG. 3A) and their decoding circuitry become unnecessary, so both the circuit layout as well as the manufacturing process are simplified, and each vertical This provides a very tight footprint for the NOR string of . Figure 3C highlights the structure of non-volatile storage TFTs 317, which, in addition to their normal storage functions, can also be used to perform the pre-charge function of the dedicated pre-charge transistor 370. Dynamic read operations for TFT 317 are described below in relation to detecting which of several threshold voltages are programmed into the storage element 334 of TFT 317 is correct.

도 4a는 활성 컬럼들(431 및 432)을 나란히 도시하는 Z-Y 평면의 단면도로서, 활성 컬럼들 각각은 본 발명의 일 실시예에 따른, 도 3a 또는 도 3b에 나타낸 기본 회로 표현을 갖는 수직의 NOR 스트링을 형성할 수 있다. 도 4a에 도시된 바와 같이, 활성 컬럼들(431 및 432) 각각은 P- 약-도핑되거나 또는 도핑되지 않은 채널 영역(456)에 의해 분리되는, 수직의 N+ 도핑 로컬 소스 영역(455) 및 수직의 N+ 도핑 로컬 드레인 또는 비트 라인 영역(454)을 포함한다. P- 도핑 채널 영역(456), N+ 도핑 로컬 소스 영역(455), 및 N+ 도핑 로컬 드레인 또는 비트 라인 영역(454)은 각각 바디 바이어스 전압(Vbb), 소스 공급 전압(Vss), 및 비트 라인 전압(Vbl)으로 바이어싱 될 수 있다. 본 발명의 몇몇 실시예들에서, 바디 바이어스 전압(Vbb)의 사용은, 활성 스트립이 충분히 얇은(예를 들어, 10 나노미터 이하) 때와 같은 때에 선택적이 된다. 충분히 얇은 활성 스트립에 대해서, 활성 영역은 제어 게이트 상에서 적절한 전압 이하로 쉽게 완전히 격감되어, 전압(Vbb)은 수직의 NOR 스트링을 따른 TFT들의 채널 영역들에 중단 없는(solid) 공급 전압을 제공하지 못할 수 있다. 활성 컬럼들(431 및 432)을 전기적으로 절연시키는 격리 영역(436)은 유전체 절연체 또는 에어-갭일 수 있다. 각각 WL0-WL31로 (그리고 선택적으로 WLCHG로) 레이블된 워드 라인들의 수직의 스택(423p)은 활성 컬럼들(431 및 432) 내에 형성된 수직의 NOR 스트링들 내의 TFT들에 제어 게이트들을 제공한다. 워드 라인 스택(423p)은 전형적으로 Y-방향을 따라 연장하는 길고 좁은 금속 전도체들(예를 들어, 텅스텐, 실리사이드(silicide) 또는 샐리사이드(salicide))로 형성되고, 각각이 전형적으로 산화실리콘(예를 들어, SiO2) 에 의해 형성된 유전체층들(426) 또는 에어 갭에 의해 서로 전기적으로 격리된다. 비-휘발성 저장 소자는 워드 라인(423p)과 P- 도핑 채널 영역(456) 사이에 전하-구속 재료(도시되지 않음)를 제공함으로써, 각각의 워드 라인(423p)과 각각의 P- 도핑 채널 영역(456)의 교차 지점에 형성될 수 있다. 예를 들어, 도 4a는 점선 박스들(416)로, 비휘발성 저장 소자들(또는 저장 트랜지스터들)(T0 내지 T31)이 형성될 수 있는 위치들을 나타낸다. 점선 박스(470)는, 모든 트랜지스터들 T0 내지 T31이 오프 상태에 있을 때, 순간적으로 스위치 온(switched on)되면, 전하가 공통 로컬 비트 라인 영역(454)에서부터 공통 로컬 소스 라인 영역(455)으로 전달되는 것을 허용하는, 전용 사전-충전 트랜지스터가 형성될 수 있는 곳을 나타낸다.FIG. 4A is a cross-sectional view in the ZY plane showing active columns 431 and 432 side by side, each of which is a vertical NOR circuit having the basic circuit representation shown in FIG. 3A or FIG. 3B, according to one embodiment of the invention. A string can be formed. As shown in Figure 4A, active columns 431 and 432 each have a vertical N+ doped local source region 455 and a vertical N+ doped local source region 455, separated by a P- lightly-doped or undoped channel region 456. and an N+ doped local drain or bit line region 454. P- doped channel region 456, N+ doped local source region 455, and N+ doped local drain or bit line region 454 have body bias voltage (V bb ), source supply voltage (V ss ), and bit voltage, respectively. It can be biased with line voltage (V bl ). In some embodiments of the invention, the use of a body bias voltage (V bb ) becomes optional, such as when the active strip is sufficiently thin (eg, 10 nanometers or less). For sufficiently thin active strips, the active area can easily be completely depleted below the appropriate voltage on the control gate, so that the voltage V bb does not provide a solid supply voltage to the channel regions of the TFTs along the vertical NOR string. It may not be possible. Isolation region 436 electrically insulating active columns 431 and 432 may be a dielectric insulator or an air-gap. A vertical stack of word lines 423 p , respectively labeled WL 0 - WL 31 (and optionally WL CHG ), provides control gates to TFTs in vertical NOR strings formed in active columns 431 and 432. to provide. Word line stack 423 p is typically formed of long, narrow metal conductors (e.g., tungsten, silicide or salicide) extending along the Y-direction, each typically silicon oxide. They are electrically isolated from each other by the dielectric layers 426 formed by (eg, SiO 2 ) or an air gap. The non-volatile storage element provides a charge-confining material (not shown) between the word line 423 p and the P-doped channel region 456, thereby providing a charge-confining material (not shown) between each word line 423 p and each P-doped channel region 456. It may be formed at an intersection point of the channel area 456. For example, Figure 4A shows dotted boxes 416, indicating locations where non-volatile storage elements (or storage transistors) T 0 to T 31 may be formed. The dotted box 470 shows that when all transistors T 0 to T 31 are in the off state, when momentarily switched on, charge flows from the common local bit line region 454 to the common local source line region 455. ) indicates where a dedicated pre-charge transistor can be formed, allowing the transfer to be made.

도 4b는 본 발명의 일 실시예에 따른, 활성 컬럼들(430R, 430L, 431R, 및 431L), 전하-구속 층들(432 및 434), 및 워드 라인 스택들(423p-L 및 423p-R)을 도시하는 Z-X 평면의 단면도이다. 도 4a와 유사하게, 도 4b의 수직 워드 라인 스택들(423p-L 및 423p-R)의 각각은 길고 얇은 전도체들의 스택을 나타내는데, 여기서 p는 스택 내의 워드 라인들을 레이블링하는 인덱스이다(예를 들어, 워드 라인들(WL0 내지 WL31)). 도 4b에 도시된 바와 같이, 각각의 워드 라인은 (영역(490) 내의) 워드 라인의 반대 측면들 상의 인접한 활성 컬럼들(430L 및 431R) 상에 형성된 수직의 NOR 스트링들 내의 비휘발성 TFT들에 대한 제어 게이트들의 역할을 한다. 예를 들어, 도 4b에서, 워드 라인 스택(423p-R) 내의 워드 라인(WL31)은 활성 컬럼(430L) 상의 트랜지스터(416L) 및 활성 컬럼(431R) 상의 트랜지스터(416R) 모두에 대한 제어 게이트들의 역할을 한다. 인접한 워드 라인 스택들(예를 들어, 워드 라인들 스택들(423p-L 및 423p-R))은, 후술된 바와 같은, 연속하는 워드 라인 층들을 에칭(etching)함으로써 형성된 트랜치(trench)의 폭인 거리(495)만큼 분리된다. 활성 컬럼들(430R 및 430L) 및 그들 각각의 전하-구속 층들(432 및 434)은 실질적으로 워드 라인 층들을 에칭한 트랜치 내부에 형성된다. 전하-구속 층(434)은 워드 라인 스택(423p-R)과 수직 활성 컬럼들(431R 및 430L) 사이에 개재되어 제공된다. 상세히 후술된 바와 같이, 트랜지스터(416R)를 프로그래밍하는 동안, 전하-구속 층(434)에 주입되는 전하는 점선 박스(480) 내의 전하-구속 층(434)의 부분에 구속된다. 구속된 전하는 TFT(416R)의 임계 전압을 바꾸는데, 임계 전압은 활성 컬럼(431R) 상의 로컬 드레인 영역(454)과 로컬 소스 영역(455) 사이에 흐르는 판독 전류를 측정함으로써 탐지될 수 있다(이 영역들은, 예를 들어, 도 4a에서 활성 컬럼의 직교 단면도에 도시되어 있음). 몇몇 실시예들에서, 사전-충전 워드 라인(478)(즉, WLCHG)이 로컬 소스 라인(455)의 기생 용량(C)을 접지 또는 소스 공급 전압(Vss)으로 충전하는데 사용되는 사전-충전 TFT(470)의 제어 게이트로서 제공된다(도 3b의 커패시터(360) 및 도 4a의 로컬 소스 라인(455)을 참조). 편의상, 전하-구속층(434)은 또한 사전-충전 트랜지스터(470) 내에 저장 소자를 제공하는데, 그러나 이것은 홀로 메모리 트랜지스터만으로는 사용되지 않는다. 사전-충전은 대안적으로 활성 컬럼(431R) 상에 형성된 메모리 트랜지스터들(T0 내지 T31) 중 임의의 것을 사용하여 수행될 수 있다. 상기 메모리 트랜지스터들 중 하나 이상은, 그들의 저장 기능 이외에, 사전-충전 트랜지스터의 기능을 수행할 수 있다. 사전-충전을 수행하기 위해, 워드 라인 또는 제어 게이트 상의 전압은 일시적으로 그것의 최고의 프로그래밍 가능한 임계 전압보다 몇 볼트 높게 상승하여, 로컬 비트 라인(454)에 공급된 전압(Vss)이 로컬 소스 라인(455)에 전달되는 것을 허용한다(도 4a). 메모리 트랜지스터들(T0 내지 T31)이 사전-충전 기능을 수행하게 되면, 개별적인 전용 사전-충전 TFT(470)가 필요 없어진다. 그러나, 이러한 메모리 TFT가 그것의 사전-충전 기능을 수행할 때, 이러한 메모리 TFT의 임계 전압을 지나치게 디스터빙하는 것을 피하기 위해, 주의를 기울여야 한다.4B shows active columns 430R, 430L, 431R, and 431L, charge-confinement layers 432 and 434, and word line stacks 423 p -L and 423 p -, according to one embodiment of the present invention. It is a cross-sectional view of the ZX plane showing R). Similar to FIG. 4A , each of the vertical word line stacks 423 p -L and 423 p -R in FIG. 4B represents a stack of long, thin conductors, where p is an index labeling the word lines within the stack (e.g. For example, word lines (WL 0 to WL 31 ). As shown in Figure 4B, each word line is connected to non-volatile TFTs in vertical NOR strings formed on adjacent active columns 430L and 431R on opposite sides of the word line (in region 490). It serves as control gates for For example, in FIG. 4B, word line WL 31 in word line stack 423p -R controls both transistor 416L on active column 430L and transistor 416R on active column 431R. They function as gates. Adjacent word line stacks (e.g., word line stacks 423 p -L and 423 p -R) have a trench formed by etching successive word line layers, as described below. They are separated by a distance (495), which is the width of . Active columns 430R and 430L and their respective charge-confinement layers 432 and 434 are formed substantially within the trench that etched the word line layers. A charge-confinement layer 434 is provided sandwiched between the word line stack 423 p -R and the vertical active columns 431R and 430L. As described in detail below, during programming of transistor 416R, the charge injected into charge-confined layer 434 is confined to the portion of charge-constrained layer 434 within the dashed box 480. The bound charge changes the threshold voltage of TFT 416R, which can be detected by measuring the read current flowing between local drain region 454 and local source region 455 on active column 431R (this region are shown, for example, in an orthogonal cross-section of the active column in Figure 4a). In some embodiments, pre-charge word line 478 (i.e., WL CHG ) is used to charge the parasitic capacitance (C) of local source line 455 to ground or source supply voltage (V ss ). It serves as the control gate of the charging TFT 470 (see capacitor 360 in Figure 3B and local source line 455 in Figure 4A). Conveniently, charge-confined layer 434 also provides a storage element within pre-charge transistor 470, but it is not used alone as a memory transistor. Pre-charging may alternatively be performed using any of the memory transistors T 0 to T 31 formed on active column 431R. One or more of the memory transistors may, in addition to their storage function, perform the function of a pre-charge transistor. To perform pre-charge, the voltage on the word line or control gate is temporarily raised a few volts above its highest programmable threshold voltage, such that the voltage supplied to the local bit line 454 (V ss ) is equal to or greater than the local source line. (455) (Figure 4a). When the memory transistors T 0 to T 31 perform the pre-charge function, a separate dedicated pre-charge TFT 470 is not needed. However, when this memory TFT performs its pre-charge function, care must be taken to avoid excessively disturbing the threshold voltage of this memory TFT.

도 4b에서 활성 컬럼들(430R 및 430L)이 에어-갭 또는 유전체 절연부(433)에 의해 분리된 2개의 개별적인 활성 컬럼들로서 도시되어 있지만, 인접한 수직의 N+ 로컬 소스 라인들은 단일의 공유된 수직의 로컬 소스 라인에 의해 구현될 수 있다. 이와 마찬가지로, 수직의 N+ 로컬 드레인 또는 비트 라인들은 단일의 공유된 수직의 로컬 비트 라인에 의해 구현될 수 있다. 이러한 구성은 "수직의 NOR 스트링 쌍"을 제공한다. 그 구성에서, 활성 컬럼들(430L 및 430R)은 하나의 활성 컬럼 내의 2개의 브랜치들(branches)(따라서, "쌍(pair)")로 보여질 수 있다. 수직의 NOR 스트링 쌍은 활성 컬럼들(430R 및 430L)과 반대 측면들 상의 워드 라인들 스택들(423p-L 및 423p-R) 사이에 개재된 전하-구속 층들(432 및 434)을 통해 2배-밀도 저장을 제공한다. 사실, 활성 컬럼들(430L 및 430R)은 에어 갭 또는 유전체 절연부(433)를 제거함으로써 하나의 활성 스트링으로 병합될 수 있지만, 단일 활성 컬럼의 2개의 반대 면들에 구현되는 NOR TFT 스트링들의 쌍은 여전히 달성된다. 활성 컬럼들의 반대 면들에 형성된 TFT들이 개별적인 워드 라인 스택들에 의해 제어되고 개별적인 전하-구속 층들(434 및 432)로 형성되므로, 이러한 구성은 동일한 2배-밀도 저장을 달성한다. 개별적인 얇은 활성 컬럼들(430R 및 430L)을 유지하는 것(즉, 그들을 하나의 활성 컬럼으로 병합하는 대신)은 유익한데, 이는, 각각의 활성 컬럼 상의 TFT들이 병합된 컬럼보다 얇으므로 적절한 제어 게이트 전압 조건들 하에서 보다 쉽게 완전히 격감될 수 있어, 활성 컬럼들의 수직의 드레인 영역들(454)과 수직의 소스 영역들(455) 사이의 소스-드레인 임계 이하 누설 전류(subthreshold leakage current)를 상당히 감소시킬 수 있기 때문이다(도 4a). 매우-얇은(그래서 고-저항성인) 활성 컬럼들을 갖는 것이 매우 긴 수직의 NOR 스트링들(예를 들어, 128개의 TFT들 또는 그것보다 긴 것)에 대해서 가능한데, 이는, 스트링 내의 TFT들이 직렬 접속되어 스트링 내의 TFT들 중 임의의 하나를 감지하기 위해서는 모두가 스위치 온 되어야만 하는 NAND TFT 스트링의 고-저항과는 반대로, 수직의 NOR 스트링 내의 TFT들은 병렬 접속되어 아무 때나 많은 TFT들 중 오직 하나만이 스위치 온 되기 때문이다. 예를 들어, 32개-TFT 수직의 NOR 스트링에서, 트랜지스터(T30)(도 4a)를 판독할 수 있기 위해, 채널 영역(456)의 채널 길이는 32배 길거나, 또는 640 나노미터일 수 있는, NAND 스트링의 대응하는 채널 길이에 비해, 단지 20 나노미터일 수 있다.Although active columns 430R and 430L are shown in FIG. 4B as two separate active columns separated by an air-gap or dielectric isolation 433, adjacent vertical N+ local source lines are connected to a single, shared vertical Can be implemented by local source line. Likewise, N+ vertical local drains or bit lines can be implemented by a single shared vertical local bit line. This configuration provides a "vertical NOR string pair". In that configuration, active columns 430L and 430R can be viewed as two branches (hence, a “pair”) within one active column. The vertical NOR string pair flows through charge-confinement layers 432 and 434 sandwiched between active columns 430R and 430L and word line stacks 423 p -L and 423 p -R on opposite sides. Provides double-density storage. In fact, active columns 430L and 430R can be merged into one active string by eliminating the air gap or dielectric insulation 433, but a pair of NOR TFT strings implemented on two opposite sides of a single active column Still achieved. Because the TFTs formed on opposite sides of the active columns are controlled by individual word line stacks and formed with individual charge-confinement layers 434 and 432, this configuration achieves the same double-density storage. It is advantageous to maintain separate thin active columns 430R and 430L (i.e., instead of merging them into one active column), since the TFTs on each active column are thinner than the merged column, and therefore an appropriate control gate voltage. Under conditions that can be more easily fully depleted, significantly reducing the source-drain subthreshold leakage current between the vertical drain regions 454 and vertical source regions 455 of the active columns. This is because there is (Figure 4a). Having very-thin (and therefore high-resistivity) active columns is possible for very long vertical NOR strings (e.g. 128 TFTs or longer), as the TFTs in the string are connected in series. In contrast to the high-resistance of a NAND TFT string, which requires all of the TFTs in the string to be switched on in order to sense any one of them, the TFTs in a vertical NOR string are connected in parallel so that only one of the many TFTs is switched on at any time. Because it becomes. For example, in a 32-TFT vertical NOR string, to be able to read transistor T 30 (FIG. 4A), the channel length of channel region 456 may be 32 times longer, or 640 nanometers. , compared to the corresponding channel length of a NAND string, may be only 20 nanometers.

도 4c는 본 발명의 일 실시예에 따른, 수직의 NOR 스트링 쌍들(491 및 492)의 Z-X 평면의 기본 회로 표현을 도시한다. 도 4c에 도시된 바와 같이, 수직의 NOR 스트링들(451b 및 452a)은, 도 4b의 활성 스트립들(430L 및 431R)의 수직의 NOR 스트링들에 대해 도시된 방식으로, 공통 워드 라인 스택(423p-R)을 공유한다. 그들 각각의 공통-접속된 로컬 비트 라인들에 대해서, 수직의 NOR 스트링 쌍들(491 및 492)은 각각 액세스 선택 트랜지스터(411)를 통해 글로벌 비트 라인(414-1)(GBL1)에 의해 그리고 액세스 선택 트랜지스터(414)를 통해 글로벌 비트 라인(414-2)(GBL2)에 의해 서브된다. 그들 각각의 공통-접속된 로컬 소스 라인들에 대해서, 수직의 NOR 스트링 쌍들(491 및 492)은 각각 글로벌 소스 라인(413-1)(GSL1) 및 글로벌 소스 라인(413-2)(GSL2)에 의해 서브된다(소스 라인 선택 액세스 트랜지스터들은 유사하게 제공될 수 있으므로 도 4c에는 도시되지 않음). 도 4c에 도시된 바와 같이, 수직의 NOR 스트링 쌍(491)은 로컬 소스 라인(455), 로컬 비트 라인(454), 및 선택적인 바디 접속부(456)를 공유하는 수직의 NOR 스트링들(451a 및 451b)을 포함한다. 따라서, 수직의 NOR 스트링 쌍(491)은 도 4b의 활성 컬럼들(430R 및 430L) 상에 형성된 수직의 NOR 스트링들을 표현한다. 워드 라인 스택들(423p-L 및 423p-R)(이 예에서, 31≥p≥0)은 각각 수직의 NOR 스트링(451a) 및 수직의 NOR 스트링(451b)에 대한 제어 게이트들을 제공한다. 스택 내의 제어 게이트들에 대한 워드 라인들은, 적절한 전압들이 어드레싱된 TFT(즉, 활성화된 워드 라인)에 그리고 어드레싱 해제된 TFT들(즉, 스트링 내의 모든 다른 비-활성화된 워드 라인들)에 공급되는 것을 확실히 하도록, 기판에 형성된 디코딩 회로부에 의해 디코딩된다. 도 4c는, 도 4b의 활성 컬럼들(430L 및 431R) 상의 저장 트랜지스터들(416L 및 416R)이 동일한 워드 라인 스택(423p-R)에 의해 서브되는 방법을 나타낸다. 따라서, 수직의 NOR 스트링 쌍(491)의 수직의 NOR 스트링(451b) 및 수직의 스트링 쌍(492)의 수직의 NOR 스트링(452a)은 도 4b의 활성 컬럼들(430L 및 431R) 상에 형성된 인접한 수직의 NOR 스트링들에 대응한다. 수직의 NOR 스트링(451a)의 저장 트랜지스터들(예를 들어, 저장 트랜지스터(415R))은 워드 라인 스택(423p-L)에 의해 서브된다.Figure 4C shows a basic circuit representation of the ZX plane of vertical NOR string pairs 491 and 492, according to one embodiment of the invention. As shown in Figure 4C, vertical NOR strings 451b and 452a are connected to a common word line stack 423 in the manner shown for the vertical NOR strings of active strips 430L and 431R in Figure 4B. p -R) is shared. For their respective common-connected local bit lines, vertical NOR string pairs 491 and 492 are accessed by global bit line 414-1 (GBL 1 ) and via access select transistor 411, respectively. It is served by global bit line 414-2 (GBL 2 ) via select transistor 414. For their respective common-connected local source lines, vertical NOR string pairs 491 and 492 are connected to global source line 413-1 (GSL 1 ) and global source line 413-2 (GSL 2 ), respectively. ) (source line select access transistors can be provided similarly and are therefore not shown in Figure 4c). As shown in Figure 4C, the vertical NOR string pair 491 is composed of vertical NOR strings 451a and 451a that share a local source line 455, a local bit line 454, and an optional body connection 456. 451b). Accordingly, vertical NOR string pair 491 represents the vertical NOR strings formed on active columns 430R and 430L in FIG. 4B. Word line stacks 423 p -L and 423 p -R (in this example, 31≧ p ≧0) provide control gates for vertical NOR string 451a and vertical NOR string 451b, respectively. . The word lines to the control gates in the stack are such that appropriate voltages are supplied to the addressed TFT (i.e., the activated word line) and to the unaddressed TFTs (i.e., all other non-activated word lines in the string). To ensure this, it is decoded by a decoding circuit formed on the substrate. Figure 4C shows how storage transistors 416L and 416R on active columns 430L and 431R of Figure 4B are served by the same word line stack 423p -R. Accordingly, the vertical NOR string 451b of the vertical NOR string pair 491 and the vertical NOR string 452a of the vertical string pair 492 are adjacent to each other formed on the active columns 430L and 431R in FIG. 4B. Corresponds to vertical NOR strings. The storage transistors (e.g., storage transistor 415R) of vertical NOR string 451a are served by word line stack 423p -L.

또다른 실시예에서, 도 4c의 하드-와이어링된 글로벌 소스 라인들(413-1, 413-2)은 제거되고- 수직의 NOR 스트링들(451a 및 451b) 모두에 공통인 - 공유된 N+ 로컬 소스 라인(455)과 그것의 수많은 연관된 워드 라인들(423p-L 및 423p-R) 사이의 기생 용량(예를 들어, 도 4c의 커패시터(460) 또는 도 3c의 커패시터(360)에 의해 표현되는 기생 용량)에 의해 대체된다. 32개의 TFT들의 수직 스택에서, 32개의 워드 라인들 각각은 총 기생 용량(C)을 제공하기 위해 그들의 기생 용량을 기여하므로, 그것은 판독 또는 프로그래밍 동작들의 비교적 짧은 지속시간 동안 가상 소스 전압(Vss)을 제공하기 위해 사전-충전 TFT(470)에 의해 제공된 전압을 일시적으로 유지하기에 충분히 크다. 상기 실시예에서, 기생 커패시터(C) 상에 일시적으로 유지되는 가상 소스 전압은 글로벌 비트 라인(GBL1)으로부터 액세스 트랜지스터(411) 및 사전-충전 트랜지스터(470)를 통해 로컬 소스 라인(455)으로 제공된다. 대안적으로, 수직의 NOR 스트링 내의 메모리 TFT들 중 하나 이상이, 그들의 저장 기능 이외에, 그것의 워드 라인 전압을 순간적으로 그것의 가장 높이 프로그래밍되는 전압보다 높게 끌어올림으로써, 로컬 소스 라인(455)을 사전-충전하는데 사용되면, 전용 사전-충전 트랜지스터(470)는 제거될 수 있다. 그러나, 상기 목적을 위해 저장 TFT를 사용하는 것은 저장 TFT를 오버-프로그래밍(over-programming)하는 것을 피하기 위해, 주의를 기울여야만 한다. 가상 Vss 전압을 사용하는 것은 하드-와이어링된 글로벌 소스 라인들(예를 들어, GLS1, GLS2) 및 그들의 연관된 디코딩 회로부 및 액세스 트랜지스터들을 제거하는 상당한 이점을 제공함으로써, 실질적으로 공정 흐름 및 디자인 과제들을 단순화시키고, 그 결과 수직의 NOR 스트링이 상당히 보다 콤팩트해진다.In another embodiment, the hard-wired global source lines 413-1, 413-2 of Figure 4C are removed - common to both vertical NOR strings 451a and 451b - and the shared N+ local Parasitic capacitance between source line 455 and its numerous associated word lines 423 p -L and 423 p -R (e.g., by capacitor 460 in Figure 4C or capacitor 360 in Figure 3C). It is replaced by the parasitic capacitance expressed. In a vertical stack of 32 TFTs, each of the 32 word lines contributes their parasitic capacitance to provide the total parasitic capacitance (C), so that during the relatively short duration of read or programming operations, the virtual source voltage (V ss ) is large enough to temporarily maintain the voltage provided by the pre-charge TFT 470 to provide . In this embodiment, the virtual source voltage temporarily maintained on parasitic capacitor C is transferred from global bit line GBL 1 to local source line 455 via access transistor 411 and pre-charge transistor 470. provided. Alternatively, one or more of the memory TFTs in the vertical NOR string, in addition to their storage function, may load the local source line 455 by momentarily raising its word line voltage above its highest programmed voltage. If used for pre-charging, the dedicated pre-charge transistor 470 can be eliminated. However, using a storage TFT for this purpose must be done with care to avoid over-programming the storage TFT. Using a virtual V ss voltage offers the significant advantage of eliminating hard-wired global source lines (e.g., GLS 1 , GLS 2 ) and their associated decoding circuitry and access transistors, thereby substantially improving the process flow and This simplifies design challenges and results in vertical NOR strings being significantly more compact.

도 5a는 본 발명의 일 실시예에 따른, 활성 컬럼(531)의 수직의 NOR 스트링의 글로벌 비트 라인(514-1)(GBL1)에의, 글로벌 소스 라인(507)(GSL1)에의, 공통의 바디 바이어스 소스(506)(Vbb)에의 접속들을 도시하는 Z-Y 평면의 단면도이다. 도 5a에 도시된 바와 같이, 비트-라인 액세스 선택 트랜지스터(511)는 GBL1를 로컬 비트 라인(554)과 접속시키고, 매설된(buried) 접촉부(556)는 활성 스트립 상의 P- 바디 영역을 기판 내의 바디 바이어스 소스(506)(Vbb)에 선택적으로 접속시킨다. 비트-라인 액세스 선택 트랜지스터(511)는 도 5a에서 활성 컬럼(531) 위에 형성된다. 그러나, 대안적으로, 비트-라인 액세스 선택 트랜지스터(511)는 활성 컬럼(531)의 하부에 또는 기판(505)(도 5a에 도시되지 않음) 내에 형성될 수 있다. 도 5a에서, 비트-라인 액세스 선택 트랜지스터(511)는, 예를 들어, 액세스 선택 워드 라인(585)과 함께 N+/P-/N+ 도핑 폴리실리콘 스택의 격리 섬(island) 내에 형성될 수 있다. 충분히 큰 전압이 선택 워드 라인(585)에 공급되면, P-채널이 인버팅(inverting)되어, 로컬 비트 라인(554)을 GBL1에 접속시킨다. 워드 라인(585)은 수직의 NOR 스트링의 TFT들에 대해 제어 게이트들의 역할을 하는 워드 라인들(523p)과 동일한 방향(즉, Y-방향)을 따라 나아간다. 워드 라인(585)은 워드 라인들(523p)과는 개별적으로 형성될 수 있다. 일 실시예에서, GBL1은 X-방향(즉, 워드 라인들의 방향에 직각임)을 따라 수평으로 나아가고, 비트-라인 액세스 선택 트랜지스터(511)는 GBL1에 의해 서브되는 많은 수직의 NOR 스트링들 중 단지 하나의 로컬 비트 라인인 로컬 비트 라인(554)에의 액세스를 제공한다. 판독 및 프로그래밍 동작 효율을 증가시키기 위해, 멀티-게이트 NOR 스트링 어레이에서, 수천 개의 글로벌 비트 라인들이 워드 라인(585)에 의해 액세스되는 수천 개의 수직의 NOR 스트링들의 로컬 비트 라인들에 병렬 액세스하는 데 사용될 수 있다. 도 5a에서, 로컬 소스 라인(555)은 접촉부(557)를 통해 글로벌 소스 라인(513-1)(GSL1)에 접속되고, 상기 글로벌 소스 라인은, 예를 들어, 기판(505) 내의 디코딩 회로부에 의해 디코딩 될 수 있다. FIG. 5A illustrates the common to global bit line 514-1 (GBL 1 ) of the vertical NOR string of active column 531, to global source line 507 (GSL 1 ), according to one embodiment of the present invention. is a cross-sectional view in the ZY plane showing connections to body bias source 506 (V bb ). As shown in Figure 5A, bit-line access select transistor 511 connects GBL 1 with local bit line 554, and buried contact 556 connects the P-body region on the active strip to the substrate. It is selectively connected to the body bias source 506 (V bb ) within. A bit-line access select transistor 511 is formed above the active column 531 in FIG. 5A. However, alternatively, the bit-line access select transistor 511 may be formed at the bottom of the active column 531 or within the substrate 505 (not shown in Figure 5A). In Figure 5A, bit-line access select transistor 511 may be formed, for example, in an isolation island of an N+/P-/N+ doped polysilicon stack along with access select word line 585. When a sufficiently large voltage is supplied to select word line 585, the P-channel is inverted, connecting local bit line 554 to GBL 1 . Word line 585 runs along the same direction (i.e., Y-direction) as word lines 523 p , which serve as control gates for the vertical NOR string of TFTs. The word line 585 may be formed separately from the word lines 523 p . In one embodiment , GBL 1 runs horizontally along the Provides access to only one local bit line, local bit line 554. To increase the efficiency of read and programming operations, in a multi-gate NOR string array, thousands of global bit lines may be used to parallel access the local bit lines of thousands of vertical NOR strings accessed by word line 585. You can. 5A , local source line 555 is connected to global source line 513-1 (GSL 1 ) via contact 557, which may be connected to, for example, decoding circuitry in substrate 505. It can be decoded by .

대안적으로, 이미 설명된 바와 같이, 로컬 비트 라인(555) 상에 가상 소스 전압(Vss)을 제공하고 TFT(570)를 통해 로컬 소스 라인(555)의 기생 커패시터(560)(즉, 기생 용량(C))를 일시적으로 사전-충전함으로써, 글로벌 소스 라인이 제거될 수 있다.Alternatively, as already described, provide a virtual source voltage (V ss ) on local bit line 555 and through TFT 570 to a parasitic capacitor 560 of local source line 555 (i.e., By temporarily pre-charging the capacity (C), the global source line can be eliminated.

기판(505) 내에 형성되는 지원 회로는 다른 것들 중에서도 어드레스 엔코더들, 어드레스 디코더들, 감지 증폭기들, 입력/출력 드라이버들, 시프트 레지스터들, 래치들, 기준 셀들, 전력 공급 라인들, 바이어스 및 기준 전압 생성기들, 인버터들, NAND, NOR, 배타적 논리합(Exclusive-Or) 및 다른 논리 게이트들, 다른 메모리 소자들, 시퀀서들, 및 상태 기계들을 포함할 수 있다. 멀티-게이트 NOR 스트링 어레이들은 다수의 회로 블록들로 조직될 수 있는데, 각각의 블록은 다수의 멀티-게이트 NOR 스트링 어레이들을 갖는다.Support circuitry formed within substrate 505 may include, among other things, address encoders, address decoders, sense amplifiers, input/output drivers, shift registers, latches, reference cells, power supply lines, bias and reference voltages. It may include generators, inverters, NAND, NOR, Exclusive-Or and other logic gates, other memory elements, sequencers, and state machines. Multi-gate NOR string arrays can be organized into multiple circuit blocks, each block having multiple multi-gate NOR string arrays.

도 6a는 도 4c에 관련하여 설명된 바와 같은, 수직의 NOR 스트링 쌍(491) 내의 수직의 NOR 스트링(451a)의 TFT(685)(TL) 및 수직의 NOR 스트링(451b)의 TFT(684)(TR)를 도시하는 X-Y 평면의 단면도이다. 도 6에 도시된 바와 같이, TFT들(684 및 685)은 N+ 로컬 소스 영역(655) 및 N+ 로컬 드레인 또는 비트 라인 영역(654)을 공유하는데, 상기 두 영역들 모두는 Z-방향을 따라 길고 얇은 필라들로 연장한다. (N+ 로컬 소스 영역(655)은 도 4a의 로컬 소스 라인(455)에 대응하고, N+ 로컬 드레인 영역(654)은 도 4a의 로컬 비트 라인(454)에 대응함). 상기 예에서, P- 도핑 채널 영역들(656L 및 656R)은 로컬 소스 필라(655)와 로컬 드레인 필라(654) 사이에 활성 스트링들의 쌍을 형성하고, Z-방향을 따라 연장하고, 격리 영역(640)에 의해 서로 격리된다. 전하-구속 층(634)은 워드 라인(623p-L)(WL31-0)과 워드 라인(623p-R)(WL31-1) 사이에, 그리고 채널 영역들(656L 및 656R) 각각 외부에 형성된다. 전하-구속 층(634)은, 예를 들어, 질화규소 또는 비-전도성 유전체 재료 내에 내장된 전도성 나노점(nanodot)들, 또는 격리된 플로팅 게이트들과 같은 전하 구속 재료의 얇은 층이 뒤따르는, 터널 유전체(예를 들어, 이산화규소)의 박막으로 이루어진 트랜지스터 게이트 유전체 재료일 수 있고, 산화알루미늄 또는 산화하프늄(hafnium oxide), 또는 이러한 유전체들의 몇몇의 조합과 같은 높은 유전율 막 또는 ONO(산소-질소-산소 3중-층)와 같은 차단 유전체층으로 덮인다. 소스-드레인 전도성은 전하-구속 층(634)의 외부 상에 제어 게이트들을 각각 형성하는, 워드 라인들(623p-L 및 623p-R)에 의해 제어된다. TFT(684)(TR)를 프로그래밍하거나 또는 판독할 때, TFT(685)(TL)는 워드 라인(623p-L)에 적절한 금지 전압을 유지함으로써 턴 오프 된다. 마찬가지로, TFT(685)(TL)를 프로그래밍하거나 또는 판독할 때, TFT(684)(TR)는 워드 라인(623p-R)에 적절한 금지 전압을 유지함으로써 턴 오프 된다.FIG. 6A shows a TFT 685 (T L ) of vertical NOR string 451a and TFT 684 of vertical NOR string 451b in a pair of vertical NOR strings 491, as described with respect to FIG. 4C. ) is a cross-sectional view of the XY plane showing (T R ). As shown in Figure 6, TFTs 684 and 685 share an N+ local source region 655 and an N+ local drain or bit line region 654, both of which are long along the Z-direction and Extended with thin pillars. (N+ local source region 655 corresponds to local source line 455 in Figure 4A, and N+ local drain region 654 corresponds to local bit line 454 in Figure 4A). In the above example, P-doped channel regions 656L and 656R form a pair of active strings between local source pillar 655 and local drain pillar 654, extending along the Z-direction, and forming an isolation region ( 640) are isolated from each other. Charge-confinement layer 634 is between word line 623 p -L (WL 31-0 ) and word line 623 p -R (WL 31-1 ), and in channel regions 656L and 656R, respectively. formed externally. Charge-confinement layer 634 is a tunnel, followed by a thin layer of charge-confinement material, such as isolated floating gates, or conductive nanodots embedded in, for example, silicon nitride or a non-conducting dielectric material. The transistor gate dielectric material may be comprised of a thin film of a dielectric (e.g., silicon dioxide), a high dielectric constant film such as aluminum oxide or hafnium oxide, or a combination of several of these dielectrics, or an ONO (oxygen-nitrogen-oxide) material. covered with a blocking dielectric layer, such as an oxygen tri-layer. Source-drain conductivity is controlled by word lines 623 p -L and 623 p -R, respectively forming control gates on the exterior of charge-confinement layer 634. When programming or reading TFT 684 (T R ), TFT 685 (T L ) is turned off by maintaining an appropriate inhibit voltage on word line 623 p -L. Likewise, when programming or reading TFT 685 (T L ), TFT 684 (T R ) is turned off by maintaining an appropriate inhibit voltage on word line 623 p -R.

도 6a에 도시된 실시예에서, 워드 라인들(623p-L 및 623p-R)은 삭제하는 동안에는 역-터널링(reverse-tunneling) 효율을 감소시키면서, 프로그래밍하는 동안에는 터널링 효율을 증가시키도록 TFT들(684 및 685)로 윤곽이 그려진다(contoured). 특히, 당업자에게 알려진 바와 같이, 채널 영역(656R)의 굴곡(675)은, 삭제하는 동안에는 워드 라인과 차단 유전체 사이의 계면에서 전기장을 감소시키지만 프로그래밍하는 동안에는 활성 채널 폴리실리콘과 터널링 유전체 사이의 계면에서 전기장을 증폭시킨다. 상기 특징은 멀티-레벨 셀(multi-level cell; MLC) 구성에서 TFT 트랜지스터 당 2 이상의 비트를 저장할 때 특히 유익하다. 상기 기술을 사용하면, 2, 3, 또는 4 이상의 비트들이 각각의 TFT에 저장될 수 있다. 사실, TFT들(684 및 685)은 연속적인 저장 상태들을 갖는 아날로그 저장 TFT들로서 사용될 수 있다. (후술된) 프로그래밍 시퀀스를 따라, 점선들(680)에 의해 개략적으로 나타낸 바와 같이, 전자들이 전하-구속 층(634) 내에 구속된다. 도 6a에서, 글로벌 비트 라인들(614-1 및 614-2)은 워드 라인들(623p-R 및 623p-L)에 직각으로 나아가고, 도 4c의 비트 라인들(414-1 및 414-2)에 각각 대응하여, 수직의 NOR 스트링들 위에 또는 밑에 제공된다. 도 2와 관련하여 전술된 바와 같이, 워드 라인들은 X-방향을 따라 메모리 블록(100)의 전체 길이에 걸쳐(span) 있을 수 있는 반면에, 글로벌 비트 라인들은 Y-방향을 따라 메모리 블록(100)의 폭에 걸쳐 있을 수 있다. 도 6a에서, 중요한 것은, 워드 라인(623p-R)이 워드 라인(623p-R)의 반대 측면들 상의 2개의 수직의 NOR 스트링들의 TFT들(684 및 683)에 의해 공유된다는 것이다. 따라서, TFT들(684 및 683)이 독립적으로 판독되거나 또는 프로그래밍되는 것을 허용하기 위해, 글로벌 비트 라인(614-1)(GBL1)은 로컬 드레인 또는 비트 라인 영역(657-1)("홀수 어드레스들")에 접촉하고, 글로벌 비트 라인(614-2)(GBL2)은 로컬 드레인 또는 비트 라인 영역(657-2)("짝수 어드레스들")에 접촉한다. 상기 효과를 달성하기 위해, 글로벌 비트 라인들(614-1 및 614-2)을 따르는 접촉들은 스태거링되고, 각각의 글로벌 비트 라인은 X-방향 로우를 따라 수직의 NOR 스트링 쌍을 하나 걸러 하나씩 접촉한다.In the embodiment shown in Figure 6A, word lines 623p -L and 623p -R are TFT-translated to reduce reverse-tunneling efficiency during erasing, while increasing tunneling efficiency during programming. They are outlined with fields 684 and 685. In particular, as will be known to those skilled in the art, curvature 675 in channel region 656R reduces the electric field at the interface between the word line and the blocking dielectric during erasing, but reduces the electric field at the interface between the active channel polysilicon and the tunneling dielectric during programming. Amplifies the electric field. This feature is particularly beneficial when storing more than two bits per TFT transistor in a multi-level cell (MLC) configuration. Using this technique, 2, 3, or 4 or more bits can be stored in each TFT. In fact, TFTs 684 and 685 can be used as analog storage TFTs with successive storage states. Following a programming sequence (described below), electrons are confined within the charge-confinement layer 634, as schematically represented by dashed lines 680. In Figure 6A, global bit lines 614-1 and 614-2 run perpendicular to word lines 623p -R and 623p -L, and bit lines 414-1 and 414- in Figure 4C. Corresponding to 2), respectively, vertical NOR strings are provided above or below. As described above with respect to FIG. 2 , word lines may span the entire length of memory block 100 along the X-direction, while global bit lines span memory block 100 along the Y-direction. ) can span the width of 6A, the important thing is that word line 623p -R is shared by TFTs 684 and 683 of two perpendicular NOR strings on opposite sides of word line 623p -R. Accordingly, to allow TFTs 684 and 683 to be read or programmed independently, global bit line 614-1 (GBL 1 ) is connected to local drain or bit line region 657-1 (“odd address and global bit line 614-2 (GBL 2 ) contacts local drain or bit line region 657-2 (“even addresses”). To achieve this effect, the contacts along global bit lines 614-1 and 614-2 are staggered, with each global bit line receiving every other pair of vertical NOR strings along the X-direction row. Contact.

유사한 방식으로, 멀티-게이트 NOR 스트링 어레이 하부에 또는 위에 위치될 수 있는 글로벌 소스 라인들(도 6a에 도시되지 않음)은 글로벌 비트 라인들과 평행하게 나아갈 수 있고, 홀수 또는 짝수 어드레스들에 따라 수직의 NOR 스트링 쌍들의 로컬 소스 라인들에 접촉할 수 있다. 대안적으로, 기생 커패시터(즉, 커패시터(660))를 일시적으로 가상 소스 전압(Vss)으로 사전-충전하는 것이 사용되는 경우, 글로벌 소스 라인들은 제공될 필요가 없게 함으로써, 디코딩 방식뿐만 아니라 공정 복잡성이 단순해진다.In a similar manner, the global source lines (not shown in Figure 6A), which can be positioned below or above the multi-gate NOR string array, can run parallel to the global bit lines and perpendicular to the odd or even addresses. The local source lines of the NOR string pairs of can be contacted. Alternatively, if temporarily pre-charging the parasitic capacitor (i.e., capacitor 660) with the virtual source voltage (V ss ) is used, global source lines do not need to be provided, thereby improving the process as well as the decoding method. Complexity becomes simple.

도 6a는, 수직의 NOR 스트링 쌍들에 스태킹된 워드 라인들이 제공될 수 있는 몇몇의 가능한 실시예들 중 단지 하나만을 도시한다. 예를 들어, 채널 영역(656R) 내의 굴곡(675)은 더욱 강조될 수 있다. 반대로, 이러한 굴곡은 도 6b의 실시예에 도시된 바와 같이 완전히 제거될(즉, 곧게 펴질) 수 있다. 도 6b의 실시예에서, 도 6a의 격리 간격(640)은 채널 영역들(656L 및 656R)을 단일 영역(656(L+R))으로 병합시킴으로써 감소되거나 또는 완전히 제거될 수 있어, 이중-채널 구성을 희생시키지 않으면서 면적 효율을 더욱 높일 수 있다: 예를 들어, TFT(685)(TL) 및 TFT(684)(TR)는 동일한 활성 스트립의 반대 면들 상에 위치한다. 도 6a 및 6b의 실시예들에서, 워드 라인을 공유하는 수직 NOR 스트링들은 서로에 대해 스태거링되는 패턴으로 레이아웃(laid out) 될 수 있어(도시되지 않음), 수직 NOR 스트링들은 서로 근접해질 수 있고, 이에 따라 각각의 수직 NOR 스트링의 효율적인 풋프린트(footprint)를 감소시킬 수 있다. 도 6a 및 6b가 글로벌 비트 라인(614-1)과 N+ 도핑 로컬 드레인 비트 라인 필라(654)(LBL-1) 사이의 접촉을 통한 직접 접속을 도시하지만, 이러한 접속은 또한 비트-라인 액세스 선택 트랜지스터(예를 들어, 도 5a의 비트 라인 액세스 선택 트랜지스터(511), 이미 복잡한 도 6a 및 6b에는 도시되지 않음)를 사용하여 달성될 수 있다.Figure 6A shows just one of several possible embodiments in which vertical NOR string pairs may be provided with stacked word lines. For example, curvatures 675 within channel region 656R may be further emphasized. Conversely, this curvature can be completely eliminated (i.e. straightened) as shown in the embodiment of FIG. 6B. 6B, the isolation gap 640 of FIG. 6A can be reduced or completely eliminated by merging channel regions 656L and 656R into a single region 656(L+R), resulting in a dual-channel Area efficiency can be further increased without sacrificing configuration: for example, TFT 685 (T L ) and TFT 684 (T R ) are located on opposite sides of the same active strip. 6A and 6B, vertical NOR strings that share a word line can be laid out in a pattern that is staggered relative to each other (not shown), so that the vertical NOR strings are close to each other. There is, and thus the effective footprint of each vertical NOR string can be reduced. 6A and 6B show a direct connection through a contact between the global bit line 614-1 and the N+ doped local drain bit line pillar 654 (LBL-1), such connection can also be made through a bit-line access select transistor. This can be achieved using (e.g., bit line access select transistor 511 in Figure 5A, not shown in Figures 6A and 6B, which is already complex).

도 6a 및 6b의 실시예들에서, 전하-구속 층들이 적층되는 동안 함께 병합되도록, N+ 도핑 로컬 드레인 영역(654)과 (도 4a의 격리 영역(436)에 대응하는) 그것의 인접한 로컬 N+ 도핑 소스 영역(658) 사이의 유전체 격리부는, 예를 들어, 워드 라인들(623p-R 및 623p-L) 사이의 분리부(676)를 2개의 마주하고 있는(back-to-back) 전하-구속 층들의 두께 미만으로 정의함으로써 구축될 수 있다. 적층된 전하-구속 층들을 병합한 결과, 바람직한 유전체 격리부가 생성된다. 대안적으로, 인접한 활성 스트링들 사이의 격리부가, 하나의 스트링의 N+ 필라(658)를 인접한 스트링의 N+ 필라(654)로부터 격리시키는 갭(676)(에어 갭 또는 충전된 유전체)을 생성(즉, 도 4a에 도시된 바와 같이 갭(436)을 생성)하기 위해, N+ 폴리실리콘의 높은 가로세로 비율의 에칭을 사용함으로써 달성될 수 있다.In the embodiments of FIGS. 6A and 6B , N+ doped local drain region 654 and its adjacent local N+ doped region (corresponding to isolation region 436 in FIG. 4A) such that the charge-confinement layers are merged together during stacking. The dielectric isolation between source regions 658 may, for example, separate isolation 676 between word lines 623 p -R and 623 p -L to form two back-to-back charges. -Can be built by defining less than the thickness of the constraining layers. Merging the stacked charge-confinement layers results in a desirable dielectric isolation. Alternatively, the isolation between adjacent active strings creates a gap 676 (air gap or filled dielectric) that isolates the N+ pillars 658 of one string from the N+ pillars 654 of an adjacent string (i.e. , can be achieved by using a high aspect ratio etch of N+ polysilicon to create a gap 436, as shown in FIG. 4A.

종래 기술의 수직의 NAND 스트링들과 본 발명의 수직의 NOR 스트링들 간에 대조적으로, 두 유형의 디바이스들이 제어 게이트들로서 유사한 워드 라인 스택들을 갖는 박막 트랜지스터들을 사용하지만, 그들의 트랜지스터 배향들은 상이하다: 종래 기술의 NAND 스트링에서, 각각의 수직의 활성 스트립은 직렬 접속된 32, 48, 또는 그 이상의 TFT들을 가질 수 있다. 반대로, 본 발명의 수직의 NOR 스트링들을 형성하는 각각의 활성 컬럼(수직의 컬럼)은 병렬 접속된 32, 48, 또는 그 이상의 TFT들의 1개 또는 2개의 세트를 가질 수 있다. 종래 기술의 NAND 스트링들에서, 몇몇 실시예들의 워드 라인들은 전형적으로 활성 스트립을 랩 어라운드한다. 본 발명의 수직의 NOR 스트링의 몇몇의 실시예들에서, 도 4c, 6a, 및 6b에 나타낸 바와 같이, 분리 디자인된 좌측 및 우측 워드 라인들이 각각의 활성 스트립을 위해 사용되어, 각각의 글로벌 비트 라인에 대한 저장 밀도가 2배(즉, 쌍)가 된다. 본 발명의 수직의 NOR 스트링들은 프로그래밍-디스터브 또는 판독-디스터브 저하를 겪지 않고, 종래 기술의 NAND 스트링들의 느린 대기 시간도 겪지 않는다. 따라서, 수직의 NAND 스트링들 보다 훨씬 많은 수의 TFT들이 수직의 NOR 스트링에 제공될 수 있다. 그러나, 수직의 NOR 스트링들은 긴 수직의 소스와 드레인 확산들(예를 들어, 각각 도 4a에 나타낸 로컬 소스 영역(455) 및 로컬 드레인 영역(454)) 사이의 임계 이하의 또는 다른 누설에 더욱 취약할 수 있다.In contrast between the vertical NAND strings of the prior art and the vertical NOR strings of the present invention, both types of devices use thin film transistors with similar word line stacks as control gates, but their transistor orientations are different: Prior Art In a NAND string, each vertical active strip can have 32, 48, or more TFTs connected in series. Conversely, each active column (vertical column) forming the vertical NOR strings of the present invention may have one or two sets of 32, 48, or more TFTs connected in parallel. In prior art NAND strings, the word lines in some embodiments typically wrap around the active strip. In some embodiments of the vertical NOR string of the present invention, separate designed left and right word lines are used for each active strip, as shown in Figures 4C, 6A, and 6B, so that each global bit line The storage density for is doubled (i.e., pairs). The vertical NOR strings of the present invention do not suffer from program-disturb or read-disturb degradation, nor do they suffer from the slow latency of prior art NAND strings. Therefore, a much larger number of TFTs can be provided in a vertical NOR string than in vertical NAND strings. However, vertical NOR strings are more susceptible to subthreshold or other leakage between long vertical source and drain diffusions (e.g., local source region 455 and local drain region 454, respectively, shown in Figure 4A). can do.

본 발명의 수직 NOR 스트링의 2개의 추가적인 실시예들이 도 6c 및 도 6d에 도시되어 있다. 상기 실시예들에서, 각각의 워드-라인 스택 내의 모든 워드 라인들은 수직의 활성 스트립을 랩 어라운드한다.Two additional embodiments of the vertical NOR string of the present invention are shown in FIGS. 6C and 6D. In the above embodiments, all word lines within each word-line stack wrap around a vertical active strip.

도 6c에서, 수직의 NOR 스트링은 금속 워드 라인들 및 워드 라인들 사이의 유전체 격리층들의 스택을 에칭함으로써 형성되는 보이드(void)들 내에 형성된다. 제조 공정 흐름은, 수직의 NOR 스트링 내의 트랜지스터들이, 수직의 NAND 스트링에서는 직렬로 제공되는 것과 달리, 서로 병렬로 제공된다는 것만을 제외하고, 종래 기술의 수직의 NAND 스트링들의 제조 공정 흐름과 유사하다. 수직의 NOR 스트링 내에 트랜지스터들을 형성하는 것은 공유된 로컬 소스 라인(655)(LSL) 및 공유된 로컬 비트 라인(드레인)(654)(LBL)을 수직의 NOR 스트링을 따른 TFT들 모두에 제공하면서 상기 보이드의 전체 깊이로 연장하는 N+ 도핑 수직의 필라들에 의해 용이하게, 되는데, 여기서 도핑되지 않은 또는 약-도핑된 채널 영역(656)이 그 둘에 인접하다. 전하 저장 소자(634)는 채널(656)과 워드 라인 스택(623p) 사이에 위치되어, 수직 활성 스트립을 따라 2, 4, 8, ... 32, 64, 또는 그 이상의 TFT들(예를 들어, 디바이스(685)(T10))를 형성한다. 도 6c의 실시예에서, 워드 라인 스택들은 Y-방향으로 나아가고, 개개의 수평의 스트립들(623p(WL31-0), 623p(WL31-1))은 에어 갭 또는 유전체 격리부(610)에 의해 서로 분리된다. 글로벌 비트 라인들(614)(GBL) 및 글로벌 소스 라인들(615)(GSL)은 워드 라인들에 직각인, X-방향을 따라 열들 내에서 수평으로 나아간다. 각각의 글로벌 비트 라인(614)은 액세스 선택 트랜지스터들(도 5a 내의 511, 도 6c에는 도시되지 않음)을 통해 수직 스트립들의 로우를 따라 로컬 비트 라인 필라들(654)(LBL)에 액세스하고, 상기 액세스 선택 트랜지스터는 메모리 어레이 아래에 또는 그 위에 위치될 수 있다. 유사하게, 각각의 글로벌 소스 라인(615)은 로우를 따라 로컬 소스 라인 필라들에 액세스한다. 도 6a 및 6b에 도시된 구조들이 수직의 NOR 스트링들의 쌍을 도 6c의 실시예의 단일의 수직의 NOR 스트링에 의해 취해진 것과 대략 동일한 면적에 맞춰질 수 있지만, 도 6c에 도시된 각각의 수직의 NOR 스트링 내의 각각의 TFT는 2개의 평행한 전도 채널들(즉, 채널 영역들(656a 및 656b))을 가지므로, 더 많은 전하를 저장하고 판독 전류를 증가시키거나 2배로 할 수 있어, 각각의 TFT에 더 많은 비트들을 저장할 수 있다.In Figure 6C, vertical NOR strings are formed within voids formed by etching metal word lines and a stack of dielectric isolation layers between word lines. The manufacturing process flow is similar to that of prior art vertical NAND strings, except that the transistors in a vertical NOR string are provided in parallel with each other, as opposed to in series in a vertical NAND string. Forming the transistors within the vertical NOR string provides a shared local source line 655 (LSL) and a shared local bit line (drain) 654 (LBL) to all of the TFTs along the vertical NOR string. This is facilitated by N+ doped vertical pillars extending the entire depth of the void, with undoped or lightly-doped channel regions 656 adjacent to them. The charge storage element 634 is located between the channel 656 and the word line stack 623 p to store 2, 4, 8, ... 32, 64, or more TFTs (e.g. For example, device 685 (T 10 ) is formed. 6C , the word line stacks run in the Y-direction, with individual horizontal strips 623 p (WL 31-0 ), 623 p (WL 31-1 ) forming an air gap or dielectric isolation. 610). Global bit lines 614 (GBL) and global source lines 615 (GSL) run horizontally in columns along the X-direction, perpendicular to the word lines. Each global bit line 614 accesses local bit line pillars 654 (LBL) along the row of vertical strips via access select transistors (511 in Figure 5A, not shown in Figure 6C), The access select transistor may be located below or above the memory array. Similarly, each global source line 615 accesses local source line pillars along the row. Although the structures shown in Figures 6A and 6B can fit a pair of vertical NOR strings into approximately the same area taken by a single vertical NOR string of the embodiment of Figure 6C, each vertical NOR string shown in Figure 6C Each TFT within has two parallel conducting channels (i.e., channel regions 656a and 656b), allowing it to store more charge and increase or double the read current, allowing each TFT More bits can be stored.

도 6d는 본 발명의 일 실시예에 따른, 랩-어라운드 워드 라인들을 갖는 더욱 콤팩트한 수직의 NOR 스트링을 도시한다. 도 6d에 도시된 바와 같이, 수직의 NOR 스트링들이 서로 더욱 가까워지도록 스태거링되므로, 워드 라인 스택(623p(WL31-0))이 더욱 많은 수직의 NOR 스트링들에 의해 공유될 수 있다. 스태거링된 구성은 로컬 소스 라인 필라(655)(LSL)의 기생 커패시터(즉, 기생 커패시터들(660))를 사용하여 가능해질 수 있다. 후술된 바와 같이, 판독 및 프로그래밍 동작들 동안 커패시터들(660)을 일시적으로 가상 전압(Vss)을 유지하도록 사전-충전함으로써, 하드-와이어링된 글로벌 소스 라인들(예를 들어, 도 6c의 GSL(615))이 불필요해진다. 종래 기술의 수직의 NAND 스트링들(예를 들어, 도 1c의 NAND 스트링들)에 비해, 도 6c 및 6d의 수직의 NOR 스트링들은 스스로는 상당한 면적 효율성을 제공할 수 없지만, 이러한 수직의 NOR 스트링들은 수직의 NAND 스트링들보다 스트링 길이가 훨씬 길다. 예를 들어, 본 발명의 수직의 NOR 스트링들은 각각의 스택 내에 길이가 128 내지 512 또는 그 이상인 TFT들의 스트링들을 잘 지원할 수 있지만, 직렬-접속 TFT 스트링들에 수반되는 심각한 제한사항들을 고려할 때, 그러한 스트링 길이들은 수직의 NAND 스트링에 대해 간단히 실현 가능하지 않다.Figure 6d shows a more compact vertical NOR string with wrap-around word lines, according to one embodiment of the invention. As shown in FIG. 6D, the vertical NOR strings are staggered to be closer to each other, so that the word line stack 623 p (WL 31-0 ) can be shared by more vertical NOR strings. A staggered configuration may be possible using a parasitic capacitor (i.e., parasitic capacitors 660) of the local source line pillar 655 (LSL). As described below, the hard-wired global source lines (e.g., in FIG . 6C GSL(615)) becomes unnecessary. Compared to prior art vertical NAND strings (e.g., the NAND strings of Figure 1C), the vertical NOR strings of Figures 6C and 6D cannot provide significant area efficiency on their own, but these vertical NOR strings The string length is much longer than vertical NAND strings. For example, the vertical NOR strings of the present invention may well support strings of TFTs of length 128 to 512 or more in each stack, but given the severe limitations that come with series-connected TFT strings, such String lengths are simply not feasible for a vertical NAND string.

감지 증폭기들에의 빠른 액세스를 용이하게 하기 위해, 짧고, 세그먼트화된 비트 라인들로 분할되는 긴 글로벌 비트 라인들을 갖는 대안적인 실시예들Alternative embodiments having long global bit lines split into short, segmented bit lines to facilitate quick access to sense amplifiers.

발명자는, 반도체 기판에 제공된 감지 증폭기들 및 다른 지원 회로들과 함께, 메모리 어레이 위에 또는 아래에 제공되는 글로벌 상호접속 전도체들을 사용하여 글로벌 비트 라인들을 수직의 로컬 비트 라인들에 접속(예를 들어, 도 5a에서 글로벌 비트 라인(GBL1)이 수직의 로컬 비트 라인(554)에 접속)하도록 라우팅함으로써 와이어링의 상당한 길이로 인해 수반되는 큰 RC 지연들이 초래된다는 것을 주의한다. 더욱이, (어레이들 옆의 소중한 실리콘 면적을 차지하는 것과는 반대로) 메모리 어레이들 밑의 실리콘 기판의 면적을 사용하여, 감지 증폭기들, 디코더들, 전압 소스들, 및 메모리 동작들을 위해 필요한 다른 회로들과 같은 수많은 지원 회로를 형성하는 것이 매우 바람직하다.The inventors have used global interconnection conductors provided above or below the memory array, along with sense amplifiers and other support circuits provided on the semiconductor substrate to connect global bit lines to vertical local bit lines (e.g. Note that routing the global bit line (GBL 1 ) in Figure 5A (connected to the vertical local bit line 554) results in large RC delays resulting from the significant length of wiring. Moreover, it uses up the area of the silicon substrate beneath the memory arrays (as opposed to taking up precious silicon real estate next to the arrays), such as sense amplifiers, decoders, voltage sources, and other circuitry needed for memory operations. It is highly desirable to form numerous support circuits.

본 발명의 일 실시예에 따르면, 이와 다르게 글로벌 비트 라인으로서 사용될 전도체는 다수의 비교적 짧은 라인 세그먼트들로 세그먼트화될 수 있다(예를 들어, 각각의 라인 세그먼트는 글로벌 비트 라인의 1/100 이하의 길이를 가질 수 있음). 각각의 라인 세그먼트는 한 그룹의 이웃하는 수직의 로컬 비트 라인들을 접속시키기 위한 수평의 라인 접속기를 제공한다. 비트 라인 세그먼트는, 기판과 메모리 어레이들 사이에, 그리고 그들로부터 유전적으로 격리되어 위치하는 것이 바람직할 수 있다. 비트 라인 세그먼트는 그룹 내의 이웃하는 수직의 로컬 비트 라인들과, 수직의 NOR 스트링들의 어레이 밑의 반도체 기판 내에 형성된 전용 감지 증폭기들 및 다른 지원 회로들 사이의 접속들을 용이하게 한다. 이 상세한 설명에서, "비트 라인 세그먼트"란 용어는 라인 접속기에 의해 접속되는 로컬 비트 라인들의 집합을 지칭할 수 있다.According to one embodiment of the invention, the conductor to be used as a global bit line may alternatively be segmented into a number of relatively short line segments (e.g., each line segment being less than 1/100th of the global bit line). can have any length). Each line segment provides a horizontal line connector for connecting a group of neighboring vertical local bit lines. It may be desirable for the bit line segments to be located between and genetically isolated from the substrate and the memory arrays. The bit line segment facilitates connections between neighboring vertical local bit lines within a group and dedicated sense amplifiers and other support circuitry formed in the semiconductor substrate beneath the array of vertical NOR strings. In this detailed description, the term “bit line segment” may refer to a collection of local bit lines connected by a line connector.

유사하게, 이와 다르게 글로벌 소스 라인으로서 사용될 전도체도 또한 다수의 비교적 짧은 라인 세그먼트들로 세그먼트화될 수 있는데, 라인 세그먼트들 각각은 한 그룹의 이웃하는 수직의 로컬 소스 라인들을 접속시키기 위한 수평의 라인 접속기를 제공한다. 라인 접속기 및 그것의 연관된 수직의 로컬 소스 라인들은, 기생 용량이 단지 하나의 수직의 로컬 소스 라인의 기생 용량보다 다수 배 큰 공통 소스 라인을 형성한다. 공통 소스 라인 접속기는, 바람직하게 어레이의 상부에서, 세그먼트-선택 트랜지스터에 의해 글로벌 소스 라인에 접속될 수 있다. 이 상세한 설명에서, "소스 라인 세그먼트"란 용어는 라인 접속기에 의해 접속된 로컬 소스 라인들의 집합을 지칭할 수 있다. 소스 라인 세그먼트가 더욱 작은 그룹들의 접속된 로컬 소스 라인들로 더욱 나뉠 수 있는 경우, 각각의 이러한 더욱 작은 그룹은 "소스 라인 서브-세그먼트(sub-segment)"로 지칭될 수 있다.Similarly, conductors to be alternatively used as global source lines may also be segmented into a number of relatively short line segments, each of which is a horizontal line connector for connecting a group of neighboring vertical local source lines. provides. The line connector and its associated vertical local source lines form a common source line whose parasitic capacitance is many times greater than the parasitic capacitance of just one vertical local source line. The common source line connector may be connected to the global source line by a segment-select transistor, preferably at the top of the array. In this detailed description, the term “source line segment” may refer to a collection of local source lines connected by a line connector. If a source line segment can be further divided into smaller groups of connected local source lines, each such smaller group may be referred to as a “source line sub-segment.”

본 발명의 또다른 대안적인 실시예에서, 메모리 스택들의 상부 상에서, 또는 그 아래에서 나아가는 글로벌 소스 라인들은 제공되지 않지만, 각각의 소스 라인 세그먼트 및 그것의 연관된 그룹의 이웃하는 수직의 로컬 소스 라인들이 로컬 공통 소스 영역으로서 동작된다. 그 구성에서, 하나 이상의 사전-충전 트랜지스터들이, 기판으로부터 가상 접지 전압(Vss)을 전달하기 위해 소스 라인 세그먼트에 접속된 각각의 활성 컬럼에 제공된다. 64-층 수직 NOR 메모리 어레이에서, 각각의 로컬 소스 라인은 약 1 펨토패라드(femtofarad)(즉, 1.0×10-15 패라드)인 기생 용량을 가질 수 있는데, 상기 용량은, 몇몇 사례들에서, 전하-공유 판독 동작 동안 가상 접지 전압(Vss)을 유지하기에 너무 적은 전하를 제공한다. 한 그룹의 64개의 로컬 소스 라인들의 용량들을 조합함으로써, 그들의 조합된 사전-충전 용량(C)이 대략 64 펨토패라드까지 증가하는데, 상기 64 펨토패라드는 전하-공유 판독 동작에 충분한 것 이상이다.In another alternative embodiment of the invention, global source lines running on top of or below the memory stacks are not provided, but the neighboring vertical local source lines of each source line segment and its associated group are localized. It operates as a common source area. In that configuration, one or more pre-charge transistors are provided in each active column connected to a source line segment to transfer a virtual ground voltage (V ss ) from the substrate. In a 64-layer vertical NOR memory array, each local source line may have a parasitic capacitance of approximately 1 femtofarad (i.e., 1.0×10 -15 farad), which in some cases may be , provides too little charge to maintain the virtual ground voltage (V ss ) during charge-sharing readout operations. By combining the capacities of a group of 64 local source lines, their combined pre-charge capacity (C) increases to approximately 64 femtofarads, which is more than sufficient for charge-sharing readout operation.

도 3d, 3e, 3f, 및 3g는, 빠른 판독 액세스를 달성하고, 감지 증폭기들, 디코더들, 레지스터들, 및 전압 소스들과 같은 지원 회로부를 형성하기 위해 어레이 밑의 실리콘 기판을 활용하는 본 발명의 실시예들을 도시한다. 도 3d에 도시된 바와 같이, 수직 NOR 스트링(380)은 비-휘발성 저장 TFT들의 3-차원 구조를 나타내는데, 본 발명의 일 실시예에 따르면, 각각의 TFT는 로컬 소스 라인(375) 및 로컬 비트 라인(374)을 공유한다. 로컬 비트 라인(374) 및 로컬 소스 라인(375)은 바디 영역(356)에 의해 서로 떨어져 있는데, 상기 바디 영역은 수직의 NOR 스트링(380) 내의 TFT들에 대한 채널 영역들을 제공한다. 저장 소자들은 채널 영역(356)과 각각의 수평의 워드 라인(323p) 사이의 교차 지점들에 형성되고, 여기서 p는 워드 라인 스택 내의 워드 라인의 인덱스이고; 상기 예에서, p는 0과 31 사이의 임의의 값을 취할 수 있다. 워드 라인들은 Y-방향을 따라 연장한다. 상기 실시예에서, 소스 라인 공급 전압(Vss)이 수직의 컬럼의 상부 상에서 나아가는 것으로 도시된 글로벌 소스 라인(GSL1)(313)을 통해 기판(310)으로부터 소스 선택 트랜지스터(SLS)(371)를 통해 수직의 로컬 소스 라인(375)에 제공된다. 활성 컬럼의 트랜지스터 채널들을 제공하는 바디 영역(356)은 단자(331)에서 기판 바이어스 전압(Vbb)에 접속될 수 있다는 것에 주의한다. 그러나, P-도핑 채널(556)을 전기적으로 접속시키는 것도 또한 수직의 NOR 스트링의 상부로부터 달성될 수 있다(아래의 도 5b에 관한 설명을 참조).3D, 3E, 3F, and 3G illustrate the present invention utilizing the silicon substrate beneath the array to achieve fast read access and to form support circuitry such as sense amplifiers, decoders, resistors, and voltage sources. shows examples. As shown in Figure 3D, vertical NOR string 380 represents a three-dimensional structure of non-volatile storage TFTs, each TFT having a local source line 375 and a local bit, according to one embodiment of the invention. Share line 374. Local bit line 374 and local source line 375 are separated from each other by body region 356, which provides channel regions for the TFTs in vertical NOR string 380. Storage elements are formed at intersection points between the channel region 356 and each horizontal word line 323 p , where p is the index of the word line in the word line stack; In the above example, p can take any value between 0 and 31. Word lines extend along the Y-direction. In this embodiment, the source line supply voltage (V ss ) is connected to the source select transistor (SLS) 371 from the substrate 310 via the global source line (GSL 1 ) 313, which is shown running on the top of a vertical column. It is provided to the vertical local source line 375 through . Note that the body region 356 providing the transistor channels of the active column may be connected to a substrate bias voltage (V bb ) at terminal 331 . However, electrically connecting the P-doped channel 556 can also be accomplished from the top of the vertical NOR string (see discussion of Figure 5B below).

도 3d에서, 이웃하는 활성 컬럼들(예를 들어, 수직 NOR 스트링(380)의 활성 컬럼)은 그룹화되고, 각각의 그룹의 활성 컬럼들의 로컬 비트 라인들은 메모리 어레이 밑에 제공된 연관된 비트 라인 세그먼트(예를 들어, 비트 라인 세그먼트들(MSBL1 및 MSBL2))에 접속된다. 비트 라인 세그먼트(MSBL1)는 저-저항률 접속기(373)를 제공하는데, 상기 저-저항률 접속기는, 예를 들어, N+ 도핑 폴리실리콘, 실리사이드 또는 내화 금속의 좁은 스트립에 의해 구현될 수 있다. 수평의 비트 라인 세그먼트(MSBL1)에 의해 접속된 한 그룹의 이웃하는 수직의 로컬 비트 라인들(374-1, 374-2, ... 374-n)은 X-방향을 따라 길게 제공되고, 워드 라인들(WL0 내지 WL31)에 수직하게 제공된다. 비트 라인 세그먼트들(MSBL1, MSBL2, ...)은 유전체 절연체(392) 상에 형성되고, 1(즉, 세그먼트화되지 않음) 내지 16, 64, 256, 512, 또는 그 이상의 수직의 로컬 비트 라인들을 포함하는 것과 같이 비교적 짧을 수 있다. 각각의 비트 라인 세그먼트는 세그먼트-선택 트랜지스터(예를 들어, 박막 트랜지스터들로 구현될 수 있는 세그먼트-선택 트랜지스터들(586-1, ..., 586-n))를 통해 다수의 MSBL1-형 비트 라인 세그먼트들을 포함하는 국지적 비트 라인 세그먼트들(SGBL1, SGBL2)을 형성하는 보다 긴 수평의 전도체들에 접속될 수 있다. 수평 영역의 비트 라인 세그먼트(SGBL1)는 기판(310) 위의 절연층(393) 상에 형성될 수 있어, 감지 증폭기들과 같은 논리 소자들이 국지적 비트 라인 세그먼트 바로 밑의 기판 내에 형성되는 것을 허용한다. 바람직하게는, 영역 세그먼트는, 기판 내에 형성되는 감지 증폭기들, 디코더들, 레지스터들, 전압 소스들, 및 다른 회로부가 국지적 비트 라인 세그먼트 밑에 물리적으로 맞도록 허용하기에 충분히 길다.In Figure 3D, neighboring active columns (e.g., active columns of vertical NOR string 380) are grouped, and the local bit lines of the active columns of each group are associated with associated bit line segments (e.g., provided below the memory array). For example, it is connected to bit line segments (MSBL 1 and MSBL 2 ). The bit line segment MSBL 1 provides a low-resistivity connector 373 , which may be implemented by, for example, a narrow strip of N+ doped polysilicon, silicide or a refractory metal. A group of neighboring vertical local bit lines (374-1, 374-2, ... 374-n) connected by a horizontal bit line segment (MSBL 1 ) is provided long along the X-direction, It is provided perpendicular to the word lines (WL 0 to WL 31 ). Bit line segments (MSBL 1 , MSBL 2 , ...) are formed on the dielectric insulator 392 and have between 1 (i.e., unsegmented) and 16, 64, 256, 512, or more vertical local segments. It may be relatively short, such as containing bit lines. Each bit line segment is connected to a plurality of MSBL 1 -type via segment-select transistors (e.g., segment-select transistors 586-1, ..., 586-n, which may be implemented with thin film transistors). It may be connected to longer horizontal conductors forming local bit line segments SGBL 1 and SGBL 2 containing bit line segments. A horizontal bit line segment (SGBL 1 ) may be formed on the insulating layer 393 over the substrate 310, allowing logic elements, such as sense amplifiers, to be formed within the substrate directly underneath the localized bit line segment. do. Preferably, the area segment is long enough to allow sense amplifiers, decoders, resistors, voltage sources, and other circuitry formed within the substrate to physically fit underneath the local bit line segment.

도 6a에 도시된 바와 같은, 2배-밀도 구성에서, 각각의 워드 라인은 워드 라인의 양쪽 측면들 상에서 두 활성 컬럼들 모두를 서브한다. 그 구성에서, 워드 라인의 반대 측면들 상의 2개의 인접한 로컬 비트 라인들은 각각 비트 라인 세그먼트들(MSBL1(L) 및 MSBL1(R)) 및 그들 각각의 세그먼트 감지 증폭기들 및 디코더들과 연관되는데, 이들은 서로 가깝게 떨어져 있으면서 서로 평행하게 나아간다. 상기 간격은 또한 메모리 어레이 내의 인접한 수직의 활성 컬럼들 간의, Y-방향을 따른 간격이다. Y-방향을 따라 레이아웃된 비트 라인 세그먼트들의 각각에 전용 감지 증폭기 및 다른 지원 회로들을 제공하는 것이 불가능할 수 있다. 이러한 구조에서, 각각의 감지 증폭기는 기판 내의 세그먼트-선택 디코더를 통해 1, 2, 4, 8, 또는 그 이상의 인접한 비트 라인 세그먼트들을 서브할 수 있다. X-방향으로, 1-테라비트 3-차원 수직의 NOR 플래시 메모리 칩은 긴 글로벌 비트 라인보다는 오히려 수백 개의 국지적 비트 라인 세그먼트들을 가질 수 있어, 비트-라인 RC 지연을 상당히 감소시킨다.In a double-density configuration, as shown in Figure 6A, each word line serves both active columns on both sides of the word line. In that configuration, two adjacent local bit lines on opposite sides of the word line are each associated with bit line segments (MSBL 1 (L) and MSBL 1 (R)) and their respective segment sense amplifiers and decoders. , they are close together and move parallel to each other. The spacing is also the spacing along the Y-direction between adjacent vertical active columns in the memory array. It may not be possible to provide a dedicated sense amplifier and other support circuits for each of the bit line segments laid out along the Y-direction. In this architecture, each sense amplifier can serve 1, 2, 4, 8, or more adjacent bit line segments through a segment-select decoder in the substrate. In the

도 3e는 도 3d의 실시예의 회로 아키텍처의 변형을 도시하는데, 여기서 그룹들의 이웃하는 수직의 로컬 소스 라인들(375-1, 375-2, ...)은 비트-라인 세그먼트들과 같이 X-방향을 따라 나아가는 소스 라인 세그먼트들(MSSL1, MSSL2, ...)에 의해 접속된다. 소스 라인 세그먼트들에 의해 접속된 로컬 소스 라인들의 상기 그룹화는, 소스 라인 세그먼트에 연관된 수직의 NOR 스트링들의 각각에 소스 전압(Vss)을 제공하기 위해 필요한 소스 라인 선택 트랜지스터들(SLS1, SLS2, ...)의 수를 감소시킨다. 더욱이, 앞서 주의된 바와 같이, 소스 라인 세그먼트에 의한 한 그룹의 수직의 로컬 소스 라인들의 접속은 누적 기생 용량(C)의 증가에 직접적으로 기여한다. 수평의 소스 라인 세그먼트에 의해 접속된 수직의 로컬 소스 라인들은 또한 대응하는 수평의 비트 라인 세그먼트에 의해 접속된 수직의 로컬 비트 라인들에 밀접하게 연관된다. 그러나, 비트 라인 세그먼트에 연관된 수직의 로컬 비트-라인들의 수는 소스 라인 세그먼트에 연관된 수직의 로컬 소스 라인들의 수와 동일할 필요는 없다. 그 결과, 한 비트 라인 세그먼트는, 예를 들어, 다수의 소스 라인 세그먼트들과 연관될 수 있다. 예를 들어, 비트 라인 세그먼트(MSBL1)는 256개의 수직의 로컬 비트 라인들(374-1, 374-2, ...)과 연관될 수 있고, 이는 8개의 소스 라인 세그먼트들과 연관될 수 있고, 상기 소스 라인 세그먼트들 각각은 단지 32개의 로컬 소스 라인들(375-1, 375-2, ...)과 연관될 수 있다. 각각의 소스 라인 세그먼트는 전용 소스-라인 선택 트랜지스터(예를 들어, 소스-라인 선택 트랜지스터(SLS1))를 통해 그것에 개별적으로 전달되는 전압(Vss)을 가질 수 있다.Figure 3e shows a variation of the circuit architecture of the embodiment of Figure 3d, where groups of neighboring vertical local source lines 375-1, 375-2,..., like bit-line segments, have They are connected by source line segments (MSSL 1, MSSL 2 , ...) running along the direction. This grouping of local source lines connected by source line segments includes source line select transistors (SLS 1 , SLS 2 ) required to provide a source voltage (V ss ) to each of the vertical NOR strings associated with the source line segment. , ...) decreases the number. Moreover, as noted earlier, the connection of a group of vertical local source lines by a source line segment directly contributes to an increase in the cumulative parasitic capacitance (C). Vertical local source lines connected by horizontal source line segments are also closely related to vertical local bit lines connected by corresponding horizontal bit line segments. However, the number of vertical local bit-lines associated with a bit line segment need not be the same as the number of vertical local source lines associated with a source line segment. As a result, one bit line segment may be associated with multiple source line segments, for example. For example, bit line segment MSBL 1 may be associated with 256 vertical local bit lines 374-1, 374-2, ..., which may be associated with 8 source line segments. and each of the source line segments may be associated with only 32 local source lines 375-1, 375-2, .... Each source line segment may have a voltage (V ss ) individually delivered to it through a dedicated source-line select transistor (eg, source-line select transistor SLS 1 ).

도 3f는 도 3e의 실시예의 회로 아키텍처의 변형을 도시하는데, 여기서 글로벌 소스 라인(예를 들어, 글로벌 소스 라인(313))도 소스 라인-선택 트랜지스터(예를 들어, 소스-선택 트랜지스터(SLS1))도 제공되지 않는다. 도 3f에서, 각각의 소스 라인 세그먼트에 연관된 수직의 로컬 소스 라인들은 사전-충전 트랜지스터(예를 들어, 사전-충전 트랜지스터(370))를 통해 소스 전압(Vss)으로 사전-충전되고, 사전-충전 트랜지스터의 워드 라인(WLCHG)은 소스 라인 세그먼트에 연관된, 상기 연관된 수직의 로컬 비트 라인들을 통해 기판(310) 내의 회로부로부터 공급된 전압(Vbl)을 전달하기에 충분한 전압 펄스로 턴 온 된다. 소스 라인 세그먼트에 연관된 수직의 로컬 비트 라인들의 수는, 셀의 판독 동안 가상 접지 전압(Vss)을 유지하기 위해 소스 라인 세그먼트의 기생 용량(C)을 최대화하는 것 사이의 최적화이고, 소스 라인 세그먼트와 연관된 수직의 NOR 스트링들 내의 "오프" 트랜지스터들 모두에 수반되는 백그라운드 누설 전류를 충분히 낮게 유지할 필요에 의해 균형이 맞춰져, 소스 라인 세그먼트 내의 연관된 저장 트랜지스터를 판독하는 것을 방해하지 않는다. 비트 라인 세그먼트 내에서, 임의의 선택되지 않은 소스 라인 서브-세그먼트는 그것의 백그라운드 누설 전류를 제거하기 위해 그것의 연관된 비트 라인 세그먼트 전압(Vbl)과 같은 그것의 Vss 전압을 갖도록 사전-충전될 수 있다.3F shows a variation of the circuit architecture of the embodiment of FIG. 3E, where the global source line (e.g., global source line 313) also has a source line-select transistor (e.g., source-select transistor SLS 1 ). )) is also not provided. 3F, the vertical local source lines associated with each source line segment are pre-charged with a source voltage (V ss ) via a pre-charge transistor (e.g., pre-charge transistor 370), and pre-charge The word line (WL CHG ) of the charge transistor is turned on with a voltage pulse sufficient to transfer the voltage (V bl ) supplied from circuitry within substrate 310 through the associated vertical local bit lines associated with the source line segment. . The number of vertical local bit lines associated with a source line segment is an optimization between maximizing the parasitic capacitance (C) of the source line segment to maintain the virtual ground voltage (V ss ) during readout of the cell, and This is balanced by the need to keep the background leakage current associated with all of the "off" transistors in the vertical NOR strings associated with low enough so as not to interfere with reading the associated storage transistor in the source line segment. Within a bit line segment, any unselected source line sub-segment may be pre-charged to have its V ss voltage equal to its associated bit line segment voltage (V bl ) to eliminate its background leakage current. You can.

도 3g는 도 3f의 실시예의 회로 아키텍처의 변형이다. 도 3g에서, 메모리 어레이와 기판 사이의 접속은, 국지적 비트 라인 세그먼트들(SGBL1, SGBL2, ...)을 그들 각각의 로컬 비트 라인 세그먼트들(MSBL1, MSBL2, ...)과 병합시키고, 각각의 비트 라인 세그먼트를 각각의 비아(via)들 또는 전도체들(예를 들어, 매설된 접촉들)을 통해 비트 라인 세그먼트들 밑의 기판 내의 세그먼트-선택 트랜지스터들(315-1, 315-2, ...)에 접속시킴으로써 더욱 단순화된다. 상기 구성에서, 실리콘 기판 위에 박막 세그먼트-선택 트랜지스터들(예를 들어, 도 3f의 세그먼트-선택 트랜지스터들(586-1, ..., 586-n))을 제공하기 보다는, 세그먼트-선택 트랜지스터들이 단-결정 기판(310) 내의 고-효율 트랜지스터들에 의해 제공된다. 상기 구성은 비트 라인 세그먼트와 연관된 감지 증폭기들, 디코더들, 레지스터들, 전압 소스들, 및 다른 회로부에의 강력한 액세스를 제공한다. 글로벌 소스 라인 선택 트랜지스터들(SLS1, SLS2, ...)을 제거함으로써(사전-충전 경로에 의해 가능함), 그리고 세그먼트-선택 박막 트랜지스터들(586-1, ..., 586-n)(또는 종래의 3D NAND 어레이들에서 통상적으로 행해지는 바와 같이, 선택적인 에피텍시 실리콘으로 값비싸게 생성된 선택 트랜지스터들)을 제거함으로써(각각의 비트 라인 세그먼트를 기판 내의 그것의 세그먼트 회로부에 근접하게 위치시킴으로써 가능함), 공정 통합 흐름이 실질적으로 단순해진다.Figure 3G is a variation of the circuit architecture of the embodiment of Figure 3F. In Figure 3g, the connection between the memory array and the substrate connects the local bit line segments (SGBL 1 , SGBL 2 , ...) with their respective local bit line segments (MSBL 1 , MSBL 2 , ...). Merge each bit line segment via respective vias or conductors (e.g., buried contacts) to segment-select transistors 315-1, 315 in the substrate underneath the bit line segments. It is further simplified by connecting to -2, ...). In this configuration, rather than providing thin film segment-select transistors (e.g., segment-select transistors 586-1, ..., 586-n in Figure 3F) on a silicon substrate, segment-select transistors are This is provided by high-efficiency transistors within the single-crystal substrate 310. The configuration provides powerful access to sense amplifiers, decoders, resistors, voltage sources, and other circuitry associated with the bit line segment. By eliminating the global source line select transistors (SLS 1 , SLS 2 , ...) (made possible by the pre-charge path), and the segment-select thin film transistors (586-1, ..., 586-n) (or select transistors expensively fabricated from selective epitaxial silicon, as is commonly done in conventional 3D NAND arrays) positioning), the process integration flow is substantially simplified.

도 3h 및 3i는 도 3g의 실시예와 유사한 또다른 실시예를 도시한다. 도 3h 및 3i에서, 소스 라인 세그먼트 접속기들(MSSL1 및 MSSL2) 상의 전압, 및 따라서 또한 각각의 소스 라인 세그먼트 내의 수직의 로컬 소스 라인들(375)(LSL) 상의 전압은 활성 컬럼(381)("충전 컬럼")을 통해 기판(310)으로부터 공급되는데, 상기 활성 컬럼(381)은 메모리 저장을 위해서는 사용되지 않고 메모리 어레이의 저장 활성 컬럼들 중 임의의 것(예를 들어, 활성 컬럼(380))을 구조적으로 모방한 것이다. 즉, 충전 컬럼(381)은 소스 라인 세그먼트들(MSSL1 및 MSSL2) 내의 로컬 소스 라인들을 충전하는 전용이다. (다른 실시예들에서, 각각의 충전 컬럼은 단일 소스 라인 세그먼트만을 공급할 수 있다.) 도 3h에 도시된 바와 같이, 충전 컬럼(381)은, 예를 들어, 이웃하는 비트 라인 세그먼트들(SEG1 및 SEG2) 사이의 개구부(BLO) 내에 형성될 수 있다. 판독 동작(및 선택적으로 임의의 프로그래밍, 프로그래밍-금지, 또는 삭제 동작) 전반에 걸쳐, 충전 컬럼(381)은 소스 라인 세그먼트들(MSSL1 및 MSSL2) 내의 수직의 로컬 소스 라인들 상에서 필요로 되는 전압을 전달하고 유지한다. (소스 라인 세그먼트들(MSSL1 및 MSSL2)은 모두 충전 컬럼(381)에 의해 서브된다.) 이에 관련하여, 충전 컬럼(381)은, 예를 들어, 도 3e의 글로벌 소스 라인(GSL1)(313)에 대한 필요성을 배제시키고, 연관된 소스 라인 세그먼트-선택 트랜지스터(SLS1)에 대한 필요성을 제거한다. 그것은 또한, 예를 들어, 도 3g의 실시예에 대해 도시된 것과 같이, 메모리 스택 내의 -- 여분의 워드 라인 평면(WLCHG)을 필요로 하는 -- 사전-충전 트랜지스터들(370)에 대한 필요성도 제거한다.Figures 3h and 3i show another embodiment similar to that of Figure 3g. 3H and 3I, the voltage on the source line segment connectors MSSL 1 and MSSL 2 , and thus also on the vertical local source lines 375 (LSL) within each source line segment, is connected to the active column 381. (“filled column”), wherein the active column 381 is not used for memory storage and is supplied from any of the storage active columns of the memory array (e.g., active column 380 )) is structurally imitated. That is, charge column 381 is dedicated to charging local source lines within source line segments MSSL 1 and MSSL 2 . (In other embodiments, each packed column may supply only a single source line segment.) As shown in Figure 3H, packed column 381 is connected to neighboring bit line segments (SEG 1) , for example. and SEG 2 ) may be formed within the opening (BLO). Throughout a read operation (and optionally any program, program-disable , or erase operation), charge column 381 stores the required Transmits and maintains voltage. (Source line segments MSSL 1 and MSSL 2 are both served by packed column 381.) In this regard, packed column 381 is, for example, global source line (GSL 1 ) in Figure 3E. 313 and eliminates the need for the associated source line segment-select transistor (SLS 1 ). There is also a need for pre-charge transistors 370 in the memory stack - which requires extra word line plane (WL CHG ) - for example, as shown for the embodiment of Figure 3G. Also remove.

도 3h 및 3i의 세그먼트 구조에서, 메모리 평면들 중 임의의 것 상의 임의의 저장 트랜지스터의 판독 동작에서, 소스 라인 세그먼트들(MSSL1 및 MSSL2)의 각각의 로컬 소스 라인 상의 소스 전압은 충전 컬럼(381)의 수직 소스 라인(375)(LSL)으로부터의 접속부(VSL)를 통해 Vss(예를 들어, 0 볼트)로 부과(impose)된다. 전압(Vss)은 실리콘 기판(310) 내의 디코딩된 선택 트랜지스터(도 3h에서 315X로 도시됨), 비트-라인 미니-세그먼트(SSVss), 수직의 로컬 비트 라인(374)(LBL), 패스 트랜지스터(pass transistor)(371), 및 수직의 로컬 소스 라인(375)(LSL)을 통해 기판(310)으로부터 전달된다. (패스 트랜지스터(371)는 판독 동작 전반에 걸쳐 워드 라인(WL31)에 의해 활성화되어 전도 또는 "온" 상태로 유지된다.) 임의의 프로그래밍, 프로그래밍-금지, 또는 삭제 동작 동안 소스 라인 세그먼트들(MSSL1 및 MSSL2) 상에 부과되는 소스 전압도 유사하게 제공될 수 있다. 실리콘 기판(310) 내의 선택 트랜지스터(315X)는 삭제 동작 동안 로컬 비트 라인(374)(LBL) 상에 부과되는 고전압을 견딜 수 있는 고전압 트랜지스터일 수 있다.3H and 3I, in the read operation of any storage transistor on any of the memory planes, the source voltage on each local source line of the source line segments MSSL 1 and MSSL 2 is connected to the charge column ( 381) is imposed to Vss (e.g., 0 volts) via connection (VSL) from vertical source line 375 (LSL). Voltage (Vss) is applied to the decoded select transistor (shown as 315 pass transistor 371, and a vertical local source line 375 (LSL). (Pass transistor 371 is activated by word line WL 31 and remains conducting, or “on,” throughout the read operation.) During any program, program-inhibit, or erase operation, source line segments ( The source voltages imposed on MSSL 1 and MSSL 2 ) may also be provided similarly. The selection transistor 315X in the silicon substrate 310 may be a high voltage transistor capable of withstanding the high voltage imposed on the local bit line 374 (LBL) during an erase operation.

도 3i는 도 3h의 실시예의 상부 X-Y 평면 뷰를 매우 상세히 도시하는데, 여기서 소스 세그먼트(MSSL1) 내의 각각의 수직의 로컬 소스 라인은 컬럼(381)을 통해 공급되는 전압(Vss 또는 Vbl)으로 유지된다. 도 3i에서, 메모리 어레이는 도 6b의 실시예에서 도시된 것과 유사한 레이아웃을 갖는다. 도 3i에 도시된 바와 같이, 비트 라인 세그먼트들(SEG1 및 SEG2) 사이에 충전 컬럼들의 어레이가 제공되는데, X-방향을 따라 연장하는 각각의 로우는 2개의 충전 컬럼들을 갖고, 미리 결정된 수(예를 들어, 2048개)의 이러한 로우들은 Y-방향을 따라 레이아웃된다. 충전 컬럼들의 상기 어레이는 비트 라인들 내의 2개의 불연속부들 또는 개구부들(도 3i에서 "BLO"로 레이블됨) 사이에 제공된다. 활성 컬럼들 중 하나의 로우에서, 2개의 점선들 사이에, X-방향을 따라 연장하는 소스 라인 접속기는 우측 충전 컬럼을 비트 라인 세그먼트(SEG1) 내의 소스 라인 세그먼트(MSSL1)(즉, 상부 점선을 따른 하나 걸러 하나의 활성 컬럼) 내의 로컬 소스 라인들에 접속시킨다. 동일한 우측 충전 컬럼이 비트 라인 세그먼트(SEG2) 내의 소스 라인 세그먼트(MSSL2)의 활성 컬럼들의 로컬 소스 라인들에 접속된다. 소스 전압은 실리콘 기판으로부터 우측 활성 컬럼의 로컬 비트 라인에의 비트 라인 접속기에 제공된다. "WL31"로 레이블된 워드 라인들은 충전 컬럼 내의 패스 트랜지스터를 활성화하여, VSL로 레이블된 로컬 소스 라인에 소스 전압을 전달하는데, 상기 로컬 소스 라인은 소스 라인 세그먼트들(MSSL1 및 MSSL2)의 로컬 소스 라인들에 소스 전압을 제공한다. (이 회로 구성은 도 3h의 회로에 도시되어 있다.) 점선들 사이의 충전 컬럼들의 상기 로우 내의 좌측 충전 컬럼은 유사한 방식으로 하단 점선을 따른 소스 라인 세그먼트들의 또다른 쌍에 접속된다.FIG . 3I shows in great detail a top is maintained. In Figure 3I, the memory array has a layout similar to that shown in the embodiment of Figure 6B. As shown in Figure 3I, an array of filling columns is provided between the bit line segments SEG 1 and SEG 2 , with each row extending along the X-direction having two filling columns, and a predetermined number (e.g., 2048) of these rows are laid out along the Y-direction. The array of charge columns is provided between two discontinuities or openings (labeled “BLO” in Figure 3I) within the bit lines. In one row of the active columns, between the two dashed lines, a source line connector extending along the Connect to the local source lines in every other active column (along the dotted line). The same right charging column is connected to the local source lines of the active columns of the source line segment (MSSL 2 ) in the bit line segment (SEG 2 ). The source voltage is provided to the bit line connector from the silicon substrate to the local bit line of the right active column. The word lines labeled "WL 31 " activate the pass transistor in the charge column, delivering the source voltage to the local source line labeled VSL, which is connected to the source line segments MSSL 1 and MSSL 2 . Provides source voltage to local source lines. (This circuit configuration is shown in the circuit of Figure 3H.) The left charge column in the row of charge columns between the dotted lines is connected in a similar manner to another pair of source line segments along the bottom dotted line.

다수의 워드-라인 평면들을 갖는 3-차원 수직 NOR 스트링 메모리 어레이에서, 스택 내의 모든 평면들에 대한 로컬 워드 라인들은 어레이의 가장자리에서 계단식 단계들(WLSTC)로 배열될 수 있다(예를 들어, 도 3i 및 도 6g 참조). (예를 들어, 도 3i에서 "GWLchg"로 레이블된) 하나 이상의 전용 글로벌 워드 라인들은 각각의 메모리 평면에 대해서, 이웃하는 비트 라인 세그먼트들(예를 들어, 도 3h 내의 비트 라인 세그먼트들(SEG1 및 SEG2))의 각각의 쌍에 대한 충전 컬럼(예를 들어, 충전 컬럼(381))을 활성화하기 위해 필요로 될 수 있다. 도 3i의 예에 도시된 바와 같이(삽입 부분을 참조), GWLchg로 레이블된 글로벌 워드 라인들은 모두 활성 컬럼(381)에 대응하는 로컬 워드 라인(WL31)에는 접속되고, 비트 라인 세그먼트들(SEG1 및 SEG2) 내의 모든 다른 워드 라인들은 건너뛴다. 반대로, 메모리 어레이의 저장 트랜지스터들에 대한 각각의 글로벌 워드 라인(예를 들어, GWL)은 비트 라인 세그먼트들(SEG1 및 SEG2)에 연관된 수많은 로컬 워드 라인들에는 하드 와이어-접속되고, 충전 컬럼(381)의 워드 라인들은 건너뛴다. 상이한 메모리 평면들 상의 충전 컬럼(381)의 (도 3i의 삽입부분에서 모두 "GWLchg"로 레이블된) 글로벌 워드 라인들은 주변 회로부(도시되지 않음)에서 함께 쇼트(short)될 수 있어, 워드 라인들(WL0-WL31)에 연관된 충전 컬럼(381)의 패스 트랜지스터들 중 임의의 것(또는 모두)을 활성화한다. 일 실시예에서, 접속된 소스 라인 세그먼트들의 블록 내의 모든 충전 컬럼들의 패스 트랜지스터들은 칩에 전력이 공급될 때 함께 활성화될 수 있지만; 그 블록 내의 임의의 소스 라인 세그먼트 또는 소스 라인 세그먼트 쌍은 그것의 연관된 세그먼트-선택 트랜지스터(예를 들어, 세그먼트-선택 트랜지스터(315X))를 스위치 오프함으로써 그것의 대응하는 충전 컬럼을 실리콘 기판으로부터 격리시킴으로써 선택 해제될 수 있다.In a three-dimensional vertical NOR string memory array with multiple word-line planes, the local word lines for all planes in the stack may be arranged in stepped steps (WL STC ) at the edge of the array (e.g. see Figures 3i and 6g). One or more dedicated global word lines (e.g., labeled “GWL chg ” in Figure 3I) are, for each memory plane, connected to neighboring bit line segments (e.g., bit line segments (SEG) in Figure 3H). 1 and SEG 2 )) may be required to activate a packed column (e.g., packed column 381) for each pair. As shown in the example of FIG. 3I (see inset), the global word lines labeled GWL chg are all connected to the local word line (WL 31 ) corresponding to the active column 381, and the bit line segments ( All other word lines within SEG 1 and SEG 2 are skipped. Conversely, each global word line (e.g., GWL) for the storage transistors of the memory array is hard-wired to the numerous local word lines associated with the bit line segments (SEG 1 and SEG 2 ), and the charge column Word lines at (381) are skipped. The global word lines (all labeled "GWL chg " in the inset of FIG. 3I) of charge column 381 on different memory planes can be shorted together in peripheral circuitry (not shown), resulting in the word lines Activate any (or all) of the pass transistors of charge column 381 associated with WL 0 -WL 31 . In one embodiment, the pass transistors of all charge columns within a block of connected source line segments may be activated together when the chip is powered; Any source line segment or pair of source line segments within that block switches off its associated segment-select transistor (e.g., segment-select transistor 315X) thereby isolating its corresponding packed column from the silicon substrate. Can be deselected.

도 3h 및 3i의 실시예는, 도 3g의 실시예에서 수행된 바와 같이, 플로팅 소스의 사전-충전 시퀀스의 필요성을 제거한다. 사전-충전 시퀀스를 제거하면 판독 동작의 속도가 빨라지는데, 이는, 판독 동작의 시작 전에 소스 전압이 전압 Vss로 설정되어 변함없이 유지될 수 있어, 플로팅 소스 사전-충전 펄스에 필요로 되는 오버헤드 시간을 제거하기 때문이다. 더욱이, 충전 컬럼(381)이 판독 동작 전반에 걸쳐 (즉, 단지 순간적인 사전-충전 펄스만이 아님) 전압 Vss로 소스 라인 세그먼트(MSSL1)의 로컬 소스 라인들을 유지하므로, 접속부(VSL)를 통해 제공되는 변함없는 전류는, 과도한 경우, 어드레싱된 저장 트랜지스터의 판독 감지를 손상시킬 수 있는 임의의 소스-드레인 누설을 보상한다.The embodiment of FIGS. 3H and 3I eliminates the need for a pre-charge sequence of the floating source, as performed in the embodiment of FIG. 3G. Eliminating the pre-charge sequence speeds up the read operation because the source voltage can be set to voltage V ss and kept constant before the start of the read operation, eliminating the overhead required for floating source pre-charge pulses. Because it eliminates time. Moreover, since charge column 381 maintains the local source lines of source line segment MSSL 1 at voltage V ss throughout the read operation (i.e., not just the momentary pre-charge pulse), connection VSL The constant current provided through compensates for any source-drain leakage that, if excessive, could impair read detection of the addressed storage transistor.

요약하면, 충전 컬럼(381)은 전압들(Vss 또는 Vbl)을 실리콘 기판에서부터 수직의 NOR 메모리 스트링들 내의 로컬 소스 라인들로 전달하기 위한 수직의 로컬 접속기의 역할을 한다. 로컬 비트 라인이 또한, 세그먼트-선택 디코더들(315-1)을 통해 실리콘 기판 내의 전압 소스들에 접속될 수 있는, 비트 라인 접속기(MSBL1)로부터 직접 충전될 수도 있지만, 충전 컬럼의 수직의 로컬 소스 라인 상의 임의의 전압들(Vss 또는 Vbl)은 패스 트랜지스터(예를 들어, 패스 트랜지스터(371))를 통해 그것의 연관된 로컬 비트 라인에 전달될 수 있다.In summary, charge column 381 serves as a vertical local connector to transfer voltages (V ss or V bl ) from the silicon substrate to local source lines in vertical NOR memory strings. The local bit line may also be charged directly from the bit line connector (MSBL 1 ), which may be connected to voltage sources in the silicon substrate via segment-select decoders 315-1, but may also be charged directly from the vertical local charge column. Any voltages (V ss or V bl ) on the source line may be transferred to its associated local bit line through a pass transistor (e.g., pass transistor 371).

64개 또는 128개의 메모리 평면들을 갖는 3-차원 수직 NOR 메모리 스택에서, 충전 컬럼(381)의 길이이기도 한 스택의 높이는 5 미크론을 초과할 수 있는데, 이것은 충전 컬럼(381)의 수직의 로컬 소스 라인(375)(LSL) 또는 로컬 비트 라인(374)(LBL)에 대해 꽤 긴 거리이다(도 3h). 대응하는 N+ 도핑 폴리실리콘 필라들(455 및 454)(도 4a를 참조; 또는 도 6e 내에서 655(N+) LSL-l 및 654(N+) LBL-l로도 도시되고 때때로 필론(pylon)들로 지칭됨)의 전기 저항(R; 옴(ohm))은 초과되어, 판독 경로에 주로 악영향을 주는 RC 지연을 도입시킬 수 있다. 필라의 저항(R)은 필라의 코어 내에 저-저항 금속 재료를 제공함으로써 크기 면에서 더욱 감소될 수 있다. 예를 들어, 아래의 상세한 설명에서, 도 4aa(도 4a-1)은 금속 코어(420)(M)를 도시하고, 도 7da(도 7d-1)은 금속 코어(720)(M)를 도시한다.In a three-dimensional vertical NOR memory stack with 64 or 128 memory planes, the height of the stack, which is also the length of the packed column 381, can exceed 5 microns, which is the vertical local source line of the packed column 381. This is quite a long distance for either 375 (LSL) or local bit line 374 (LBL) (Figure 3h). Corresponding N+ doped polysilicon pillars 455 and 454 (see Figure 4A; or also shown as 655(N+) LSL-1 and 654(N+) LBL-1 in Figure 6E and sometimes referred to as pylons. The electrical resistance (R in ohms) can be exceeded, introducing RC delays that have a primarily detrimental effect on the read path. The resistance (R) of the pillar can be further reduced in size by providing a low-resistance metallic material within the core of the pillar. For example, in the detailed description below, Figure 4AA (Figure 4A-1) shows metal core 420 (M) and Figure 7D (Figure 7D-1) shows metal core 720 (M). do.

도 5b는 본 발명의 일 실시예에 따른, (P- 채널 재료를 제공하는) 바디 영역(556)의 (P+ 폴리실리콘으로 유전체층(592) 내에 형성된) 전도성 필라(591)에 의한 접속, 예를 들어, 활성 컬럼(581) 위에 제공되고 하나의 구성에서 워드 라인들과 평행하게 나아가는 전도체(590)에의 접속을 도시하는 Z-Y 평면의 단면도이다. 전도체(590)는 또한 과-도핑된 폴리실리콘, 또는 실리사이드 또는 금속 전도체로 형성될 수 있다. 상기 구조에서, 블록 삭제 동작들을 용이하게 하기 위해, 바디 바이어스 전압(Vbb)(594)은 유전체 격리부(509) 내의 개구부 내의 비아(593)를 통해 기판(505)으로부터 전도체(590)로 제공될 수 있다.5B shows an example connection of body region 556 (providing P - channel material) by conductive pillars 591 (formed in dielectric layer 592 with P + polysilicon), according to one embodiment of the present invention. For example, a cross-sectional view in the ZY plane showing the connection to the conductor 590 provided above the active column 581 and running parallel to the word lines in one configuration. Conductor 590 may also be formed of over-doped polysilicon, or a silicide or metal conductor. In this structure, to facilitate block erase operations, a body bias voltage (V bb ) 594 is provided from the substrate 505 to the conductor 590 through a via 593 in an opening in the dielectric isolation 509. It can be.

도 6e는 전도체들(690-1 및 690-2)("바디 바이어스 전도체들")을 통해 바디 바이어스 전압을 제공하는 것을 나타낸다. 바디 바이어스 전압은 도 6b에 도시된 실시예의 레이아웃을 사용하여, 활성 컬럼들의 인접한 로우들 내의 바디 영역들 사이에 공유된다. 상기 구성에서, 워드 라인(592)(즉, 워드 라인(623p-L))은 바디 바이어스 전도체(690-1)와 일치하게 나아간다. 삭제 동작의 블록 크기는 각각의 바디 바이어스 전도체(예를 들어, 전도체(690-1))의 좌측 상의 활성 컬럼들 및 우측 상의 활성 컬럼들에 제한된다. 더욱 큰 삭제 블록들은, 예를 들어, 비트 라인 세그먼트를 어드레싱한 워드 라인들의 수에 매칭하도록 한 무리의 바디 바이어스 전도체들을 함께 묶음으로써 구성될 수 있다. 기판 내의 디코더는 하나 이상의 선택된 삭제 블록들에 적절한 바디 바이어스 전압(예를 들어, 삭제 전압)을 제공한다.Figure 6E shows providing a body bias voltage via conductors 690-1 and 690-2 (“body bias conductors”). The body bias voltage is shared between body regions within adjacent rows of active columns, using the layout of the embodiment shown in Figure 6B. In this configuration, word line 592 (i.e., word line 623 p -L) runs in line with body bias conductor 690-1. The block size of the erase operation is limited to the active columns on the left and active columns on the right of each body bias conductor (e.g., conductor 690-1). Larger erase blocks can be constructed, for example, by tying together a group of body bias conductors to match the number of word lines addressing the bit line segment. A decoder within the substrate provides an appropriate body bias voltage (eg, erase voltage) to one or more selected erase blocks.

도 5b로 되돌아가서, 활성 컬럼들(예를 들어, 활성 컬럼(581))이 형성된 후에, 유전체층(592)이 활성 컬럼들 위에 형성된다. 그 후, 비아 구멍들이 유전체층(592)의 상부에서부터 바디 영역(556)의 상부까지 이방성(anisotropically) 에칭된다. P+ 도핑 폴리실리콘층이 그 후 유전체층(592) 위에 적층되어, 비아 구멍들을 채워, 전도성 필라들(예를 들어, 전도성 필라들(591))이 형성된다. 그 후 상기 P+ 도핑 폴리실리콘의 층은 패턴화되고 에칭되어 전도체들(예를 들어, 전도체(590))을 형성하여, 비아들(593)을 통해, 바디 바이어스 전압(Vbb)을 제공하는 전압 소스(594)에 접속한다. 바디 바이어스 전압(Vbb)은 TFT 임계 전압을 증가시키거나 그것의 임계-이하 누설을 감소시키기 위한, 삭제 동안 공급되는 양의 고전압이거나 판독 동안 공급되는 낮은 음의 기판 바이어스 전압일 수 있다. 도 6e는 형성된 P+ 도핑 폴리실리콘 특징들(690-1 및 690-2)을 도시하는 상면도이다.Returning to Figure 5B, after the active columns (e.g., active column 581) are formed, a dielectric layer 592 is formed over the active columns. Via holes are then anisotropically etched from the top of the dielectric layer 592 to the top of the body region 556. A P + doped polysilicon layer is then deposited over dielectric layer 592, filling the via holes, forming conductive pillars (e.g., conductive pillars 591). The layer of P + doped polysilicon is then patterned and etched to form conductors (e.g., conductor 590) that, through vias 593, provide a body bias voltage (V bb ). Connect to voltage source 594. The body bias voltage (V bb ) can be a positive high voltage supplied during erase or a low negative substrate bias voltage supplied during readout to increase the TFT threshold voltage or reduce its sub-threshold leakage. Figure 6E is a top view showing formed P+ doped polysilicon features 690-1 and 690-2.

도 5b에 도시된 실시예에서, 전도체(590)는 바디 영역(556)의 위에 제공된다. 그러나, 다른 실시예들에서, 전도체(590)는 바디 영역(556)을 아래에서부터 접촉하도록 바디 영역(556)의 밑에 제공될 수 있다. 사실, 바디 바이어스 전압을 바디 영역(556)의 위 및 아래 모두에서부터 제공하는 것이 유익할 수 있다. 바디 바이어스 전압을 아래에서부터 제공하는 경우, 도 5a에 도시된 바와 유사하게, 전도체(590)와 유사한 전도체는 층간 유전체 내의 비아를 통해 기판으로부터 직접 제공될 수 있다.In the embodiment shown in FIG. 5B , conductor 590 is provided over body region 556 . However, in other embodiments, conductor 590 may be provided underneath body region 556 to contact body region 556 from below. In fact, it may be advantageous to provide the body bias voltage from both above and below the body region 556. When providing the body bias voltage from below, a conductor similar to conductor 590 may be provided directly from the substrate through a via in the interlayer dielectric, similar to that shown in Figure 5A.

세그먼트화된 로컬 비트 라인 및 세그먼트화된 로컬 소스 라인 어레이들의 동작 모드들Operating modes of segmented local bit line and segmented local source line arrays

본 발명의 실시예들에 관련하여 전술된 바와 같이, 비트 라인 세그먼트들을 갖는 64개 평면들의 워드 라인들의 메모리 스택에서, 선택된 비트 라인 세그먼트에 연관된 임의의 평면(예를 들어, 25번째 평면) 상의 저장 트랜지스터를 판독할 때, 선택된 저장 트랜지스터를 어드레싱하고 있는 선택된 평면 상의 워드 라인을 제외하고, 선택된 비트 라인 세그먼트와 연관된 모든 평면들에의 모든 워드 라인들은 그들의 "오프" 임계 전압으로 유지된다. 워드 라인 전압이 들어오면, 삭제된 상태(즉, 전도 또는 "온" 상태)에 있는 저장 트랜지스터는, 미리 가상 접지 전위(Vss)로 사전-충전된 그것의 로컬 소스 라인(및 해당하는 경우, 그것의 연관된 소스 라인 세그먼트)으로 그것의 비트 라인 전압(Vbl)을 방전할 것이다. 비트 라인 전압(Vbl)의 방전 속도는 비트 라인 세그먼트에 대한 감지 증폭기에 의해 감지된다. 동일한 워드 라인을 공유하는 Y-방향을 따르는 다른 비트 라인 세그먼트들에 연관된 선택된 평면(즉, 상기 예에서는 25번째 평면) 상의 다른 저장 트랜지스터들 또는 상이한 워드 라인들에 의해 어드레싱된 X-방향을 따른 다른 비트 라인 세그먼트들에 연관된 다른 저장 트랜지스터들이 동시에 판독될 수 있는데, 이는 각각의 비트 라인 세그먼트가 그들의 전용 감지 증폭기를 갖기 때문이다. 판독 동작을 위하여, 가상 소스 전압이 우선 사전-충전 동작 동안 로컬 비트 라인을 0V로 설정함으로써 사전-충전된다. (대안적으로, 가상 소스 전압은 ~1V까지 높아질 수 있다.) 사전-충전 후, 로컬 비트 라인은 (예를 들어, 소스 전압보다 ~0.1V 내지 0.5V 높은) 감지 증폭기 전압으로 충전되고, 기판은 전압 Vbb(예를 들어, ~0V 내지 ~ -2V)로 설정되고, 워드 라인(WL)은 삭제 임계 전압을 넘어 ~1V-3V로 증가한다.As described above in connection with embodiments of the invention, in a memory stack of word lines in 64 planes with bit line segments, storage on any plane (e.g., the 25th plane) associated with the selected bit line segment. When reading a transistor, all word lines on all planes associated with the selected bit line segment are maintained at their “off” threshold voltages, except the word line on the selected plane that is addressing the selected storage transistor. When the word line voltage comes on, the storage transistor in the cleared state (i.e., conducting or “on” state) is connected to its local source line (and, if applicable, pre-charged to the virtual ground potential (V ss )). will discharge its bit line voltage (V bl ) into its associated source line segment. The discharge rate of the bit line voltage (V bl ) is sensed by a sense amplifier for the bit line segment. Other storage transistors on the selected plane (i.e., plane 25 in the example above) associated with other bit line segments along the Y-direction that share the same word line, or other storage transistors along the X-direction addressed by different word lines. Different storage transistors associated with bit line segments can be read simultaneously because each bit line segment has its own dedicated sense amplifier. For a read operation, the virtual source voltage is first pre-charged by setting the local bit line to 0V during the pre-charge operation. (Alternatively, the virtual source voltage can be as high as ~1V.) After pre-charging, the local bit line is charged to the sense amplifier voltage (e.g., ~0.1V to 0.5V above the source voltage), and the substrate is set to the voltage V bb (e.g., ~0V to ~-2V), and the word line (WL) is increased to ~1V-3V beyond the erase threshold voltage.

저장 트랜지스터들이 각각의 워드 라인의 양쪽 측면들 상에 있는 실시예들에 대하여(예를 들어, 도 6a 및 6e의 실시예들), 판독 동작 동안 언제든지 두 저장 트랜지스터들 중 오직 하나만이 전도성일 것을 보장하도록 주의를 기울여야만 한다. 전술된 바와 같이, 이것은, 각각이 그들 자신만의 감지 증폭기들, 디코더들, 전압 소스들, 및 다른 지원 회로부에 의해 서브되지만, 서로 평행하게 나아가는 개별적인 비트 라인 세그먼트들을 제공함으로써 달성된다. 도 6e에 도시된 바와 같이, 비트 라인 세그먼트들은 좌-측 저장 트랜지스터들에 대해서는 MSBL1(L)이고, 우-측 저장 트랜지스터에 대해서는 MSBL1(R)이다.For embodiments in which storage transistors are on both sides of each word line (e.g., the embodiments of Figures 6A and 6E), ensure that only one of the two storage transistors is conductive at any time during a read operation. Care must be taken to do so. As described above, this is accomplished by providing individual bit line segments that run parallel to each other, although each is served by its own sense amplifiers, decoders, voltage sources, and other support circuitry. As shown in Figure 6E, the bit line segments are MSBL 1 (L) for the left-side storage transistors and MSBL 1 (R) for the right-side storage transistors.

저장 트랜지스터를 프로그래밍하기 위해, 선택된 평면(즉, 상기 예에서는 25번째 평면)을 제외한 모든 평면들 상의 모든 워드 라인들은 접지 전위로 설정되고, (즉, 25번째 평면 상의) 선택된 저장 트랜지스터에 어드레싱하는 워드 라인은, 원하는 프로그래밍된 전압에 도달되었다고 판독 동작에 의해 검증될 때까지, 예를 들어, 증가 전압 단계들(예를 들어, ~8 볼트에서 시작하여 증가 단계들에서 크기가 증가하는 전압 펄스들을 공급함)을 사용하여 적합한 프로그래밍 전압으로 증가한다. 프로그래밍 동작 동안, 비트 라인 세그먼트 상의 전압은 연관된 소스 라인 세그먼트처럼, 접지 전위로 유지된다.To program a storage transistor, all word lines on all planes except the selected plane (i.e., the 25th plane in the above example) are set to ground potential, and a word addressing the selected storage transistor (i.e., on the 25th plane) is set to ground potential. The line supplies voltage pulses, e.g., starting at ~8 volts and increasing in magnitude in increasing steps, until verified by a read operation that the desired programmed voltage has been reached. ) to increase to the appropriate programming voltage. During programming operations, the voltage on the bit line segment, like the associated source line segment, is maintained at ground potential.

동일한 워드 라인을 공유하는 다른 비트 라인 세그먼트들과 연관된 선택된 평면 상의 저장 트랜지스터들을 계속 프로그래밍하는 동안 추가적인 프로그래밍을 금지하기 위해, 비트 라인 세그먼트 및 소스 라인 세그먼트는, 연속하는 프로그래밍 펄스들 사이의 판독 검증 사이클로, 프로그래밍 시퀀스의 마지막까지, 프로그래밍-금지 전압(예를 들어, 프로그래밍 전압의 대략 1/3 내지 1/2)으로 증가한다. 비트 라인 또는 소스 라인 세그먼트 내의 로컬 비트 라인들 및 로컬 소스 라인들에의 모든 프로그래밍 및 프로그래밍 금지 전압들은 (소스 라인에 대한 사전-충전 동작을 통해) 오직 비트 라인 세그먼트를 통해서만 제공된다. 판독 동작과 마찬가지로, Y-방향을 따른 (즉, 선택된 저장 트랜지스터들과 동일한 워드 라인을 공유하는) 다른 비트 라인 세그먼트들에 연관된 저장 트랜지스터들, 및 X-방향을 따른(즉, 상이한 워드 라인들에 연관된) 다른 비트 라인 세그먼트들에 연관된 저장 트랜지스터들은 동시에 프로그래밍되거나 프로그래밍-금지될 수 있다.To inhibit further programming while continuing to program storage transistors on the selected plane associated with other bit line segments sharing the same word line, the bit line segment and the source line segment are configured with a read verify cycle between successive programming pulses, By the end of the programming sequence, the programming-inhibit voltage is increased to approximately 1/3 to 1/2 the programming voltage. All programming and inhibiting voltages on local bit lines and local source lines within a bit line or source line segment are provided only through the bit line segment (via a pre-charge operation on the source line). Similar to a read operation, storage transistors associated with different bit line segments along the Y-direction (i.e., sharing the same word line as the selected storage transistors), and along the X-direction (i.e., with different word lines). Storage transistors associated with different bit line segments may be programmed or program-disabled simultaneously.

삭제 동작은, 바디 바이어스 전압(Vbb)을 버진(virgin) 저장 트랜지스터들(즉, 프로그래밍되거나 삭제된 적이 없는 저장 트랜지스터들)에 대해서는 ~12V로 상승시키고, 높은 사이클-카운트 저장 트랜지스터들에 대해서는 20V 이상으로 상승시키면서, 삭제할 비트 라인 세그먼트들, 소스 라인 세그먼트들, 또는 블록들에 연관된 저장 트랜지스터들에 대한 모든 워드 라인들을 0V로 유지함으로써 달성된다. 삭제 블록 내의 플로팅 N+ 수직의 로컬 소스 라인들 및 N+ 수직의 로컬 비트 라인들이 그들의 p- 바디 영역들에 공급되는 양의 전압을 따르므로, 비트 라인 세그먼트에 연관된 모든 감지 증폭기들은 그들의 비트 라인들 또는 비트 라인 세그먼트들로부터 격리될 수 있다.The erase operation raises the body bias voltage (V bb ) to ~12 V for virgin storage transistors (i.e., storage transistors that have never been programmed or erased) and 20 V for high cycle-count storage transistors. This is achieved by holding all word lines to 0V for the storage transistors associated with the bit line segments, source line segments, or blocks to be erased while raising the above. Since the floating N+ vertical local source lines and N+ vertical local bit lines within a block follow positive voltages supplied to their p-body regions, all sense amplifiers associated with a bit line segment are connected to their bit lines or bit lines. Can be isolated from line segments.

당업자에게 익숙한 다른 조건들을 통해 판독, 프로그래밍, 프로그래밍-금지, 및 삭제가 가능하다.Read, programming, program-inhibit, and erase are possible through different conditions familiar to those skilled in the art.

낮은-대기 시간 분할된 로컬 및 글로벌 워드 라인들Low-latency split local and global word lines

본 발명의 실시예들의 비트 라인 세그멘테이션(segmentation)은 종래의 3D NAND 및 3D NOR 메모리 어레이들의 종래의 글로벌 비트 라인들에서 RC 지연들을 상당히 감소시키는 역할을 한다. 긴 판독 대기 시간에 대한 또다른 주요 원인은 전형적으로 칩 폭의 거의 전체 또는 절반을 나아가는, 글로벌 비트 라인들에 수직한 길고 용량성이 높은 로컬 워드 라인 전도체들이다. 따라서, 종래의 3D NAND 플래시 메모리 어레이들과 같은 US 2017/0092371 Al의 3D 가상 NOR 플래시 메모리 어레이들은 각각의 메모리 평면에 대해 최소한 하나의 층의 로컬 워드 라인 전도체들을 필요로 한다. 64-평면 NAND 또는 NOR 메모리 어레이에서, 이들 워드 라인 전도체들은 높은 계단식 단계들로 구조된다. 로컬 워드 라인들은 프로그래밍 동안 고전압을 공급하기 때문에, 그들의 디코더들은 각각의 이러한 계단식 단계를 위한 상당한 실리콘 면적을 점유할 수 있는 고전압 트랜지스터들 회로부를 필요로 한다.The bit line segmentation of embodiments of the present invention serves to significantly reduce RC delays in conventional global bit lines of conventional 3D NAND and 3D NOR memory arrays. Another major cause for long read latencies are the long, highly capacitive local word line conductors perpendicular to the global bit lines, which typically run nearly all or half the width of the chip. Accordingly, 3D virtual NOR flash memory arrays of US 2017/0092371 Al, like conventional 3D NAND flash memory arrays, require at least one layer of local word line conductors for each memory plane. In a 64-plane NAND or NOR memory array, these word line conductors are structured in high cascading steps. Because local word lines supply high voltages during programming, their decoders require circuitry of high voltage transistors that can occupy significant silicon area for each of these cascade steps.

그들의 연관된 오버헤드 비용을 줄이기 위해, 워드 라인들은 전형적으로 매우 길게 만들어지는데, 이는 높은 RC 지연들 및 (예를 들어, 몇 마이크로초 범위 내의) 불량한 판독 대시 시간을 의미한다. 종래의 3D NAND 메모리 어레이에서, 글로벌 비트 라인들도 또한 길고, 느린 상승 또는 하강 시간을 가지므로, 이는 본질적으로 긴 워드 라인 대기 시간을 감춘다. 본 발명의 비트 라인 세그먼트들로, 비트-라인 응답 시간이 (예를 들어, 100 나노 초의 범위 내로) 매우 짧아질 수 있으므로, 긴 워드 라인 RC 지연들이 빠른 판독 액세스에 대한 제한 요소가 된다. 본 발명의 일 실시에에 따라, 하나의 부분적인 해결법은 3D NOR 메모리 칩을 길게 그리고 짧게 (즉, 워드 라인들의 방향을 따라서는 짧게, 그리고 비트 라인 세그먼트들의 방향을 따라서는 길게) 만든다. 이러한 디자인이 워드 라인 디코더들을 형성하기 위한 실리콘 면적을 감소시키지는 않지만, 비트 라인 세그먼트들에 따른 RC 지연들을 상당히 증가시키지 않으면서, 워드 라인들의 길이들 및 RC 지연들은 상당히 감소된다.To reduce their associated overhead cost, word lines are typically made very long, which means high RC delays and poor read dash times (eg, in the range of a few microseconds). In conventional 3D NAND memory arrays, global bit lines also have long, slow rise or fall times, which inherently masks long word line latency. With the bit line segments of the present invention, bit-line response times can be very short (e.g., in the range of 100 nanoseconds), so long word line RC delays become a limiting factor for fast read access. According to one embodiment of the invention, one partial solution is to make 3D NOR memory chips long and short (i.e., short along the direction of the word lines and long along the direction of the bit line segments). Although this design does not reduce the silicon area for forming the word line decoders, the lengths and RC delays of the word lines are significantly reduced without significantly increasing the RC delays along the bit line segments.

본 발명의 또다른 실시예에 따르면, 워드 라인 지연들은 메모리 어레이를 보다 짧은 워드 라인들을 갖는 더 많은 블록들로 분할함으로써 더욱 감소할 수 있는데, 상기 워드 라인 각각은 그것의 반복적인 계단식 단계들로 형성된다. 계단식 단계들 및 그들의 워드 라인 디코더들의 수를 2배로 함으로써 메모리 어레이들을 분할하면, RC 지연들이 4배 감소한다.According to another embodiment of the invention, word line delays can be further reduced by partitioning the memory array into more blocks with shorter word lines, each of which is formed by its repetitive cascade steps. do. Splitting the memory arrays by doubling the number of cascade stages and their word line decoders reduces RC delays by a factor of 4.

긴 판독 대기 시간에 대한 또다른 주요 원인은 메모리 어레이의 측면들을 따른 계단식 단계들 위의 메모리 어레이의 길이에 걸친 X-방향으로 나아가는 글로벌 워드 라인들(GWL)의 긴 RC 지연들이다. 도 6f는 본 발명의 비트 라인 세그먼테이션 방식에 관련하여, 하나의 평면 상에서 (즉, 하나의 계단식 단계에서) 로컬 워드 라인들에 접속하기 위한 글로벌 워드 라인들의 일 구현예를 나타낸다. 도 6f에는, 단지 메모리 어레이의 측면을 따른 계산식 단계를 통한 한 X-Y 평면에의 로컬 워드 라인들, 계단식 단계들 위의 글로벌 워드 라인들, 및 그들의 상호 접속들만이 도시되어 있다. 명확히 나타내기 위해, 모든 다른 세부사항들(예를 들어, P- 채널 재료 층들 및 전하 구속 층들)은 생략되었다. 도 6f에 도시된 바와 같이, 메모리 어레이(예를 들어, 도 6e에 도시된 실시예에 대응하는 메모리 어레이)의 워드 라인들(WL0, WL1, ...)은 Y-방향을 따라 나아간다. 글로벌 워드 라인들(GWL0, GWL1, ...)은 계단식 단계들 위에서 X-방향을 따라 나아간다. 글로벌 워드 라인들은 메모리 어레이의 각각의 평면에의 워드 라인들을 기판(605) 내의 그들 각각의 디코더들, 전압 소스들, 및 다른 지원 회로부에 접속시킨다. 예를 들어, 도 3d, 3e, 3f, 및 3g의 아키텍처에 비트 라인 세그멘테이션을 적용하여, 계단식에서 각각의 계단은 비트 라인 세그먼트 내의 로컬 워드 라인들의 수 n에 매칭하는 최대 n개의 글로벌 워드 라인들을 수용한다. 도 6f의 실시예에서, 예를 들어, 각각의 비트 라인 세그먼트는 128개의 비트 라인들을 포함할 수 있고, 각각의 단계에의 각각의 저장 트랜지스터는 대응하는 워드 라인에 의해 선택된다. 따라서, 비트 라인 세그먼트의 각각의 단계에는 128개의 워드 라인들이 존재한다. 따라서, 각각의 글로벌 워드 라인은 128번째 워드 라인 마다 접속된다. 예를 들어, 각각의 평면 상에서, 기판(605) 내의 그것의 기판 디코더들 및 전압 소스들까지, 글로벌 워드 라인(GWL0)은 비아들(VIA0, VIA128, ...)을 통해 워드 라인들(WL-0, WL-128)에 접속되고, GWL1은 비아들(VIA1, VIA129, ...)을 통해 워드 라인들(WL-1, WL-129)에 접속된다. 상기 구조는 각각의 평면 상의 128개 세트의 저장 트랜지스터들이 공통 글로벌 워드 라인 및 그들의 전용 감지 증폭기 디코더들을 활성화함으로써 동시에 판독되는 것을 허용한다. 예를 들어, 워드 라인들(WL i , WL i+128 , ...)(대체적으로, WL i+128k , k=0, 1, ...)에 연관된 저장 트랜지스터들은 글로벌 워드 라인(GWL i )을 활성화함으로써 동시에 판독되거나 또는 프로그래밍되지만, 동일한 단계 및 다른 단계들에서의 모든 다른 글로벌 워드 라인들은 접지 전위에 있거나(즉, 모든 다른 저장 트랜지스터들이 오프임) 접지 전위에서 플로팅(floated) 될 수 있다.Another major cause for long read latency is the long RC delays of the global word lines (GWL) running in the X-direction over the length of the memory array over cascades along the sides of the memory array. FIG. 6F shows an example implementation of global word lines for connecting local word lines on one plane (i.e., in one cascade step) with respect to the bit line segmentation method of the present invention. In Figure 6F, only the local word lines in one For clarity, all other details (eg, P - channel material layers and charge confinement layers) have been omitted. As shown in FIG. 6F, the word lines (WL 0 , WL 1 , ...) of the memory array (e.g., the memory array corresponding to the embodiment shown in FIG. 6E) are along the Y-direction. Goes. Global word lines (GWL 0 , GWL 1 , ...) advance along the X-direction in cascading steps. Global word lines connect the word lines in each plane of the memory array to their respective decoders, voltage sources, and other support circuitry in substrate 605. For example, applying bit line segmentation to the architecture of Figures 3D, 3E, 3F, and 3G, each cascade in the cascade accommodates up to n global word lines matching the number n of local word lines within the bit line segment. do. In the embodiment of Figure 6F, for example, each bit line segment may include 128 bit lines, and each storage transistor in each stage is selected by the corresponding word line. Accordingly, there are 128 word lines in each stage of the bit line segment. Accordingly, each global word line is connected every 128th word line. For example, on each plane, to its substrate decoders and voltage sources in substrate 605, the global word line (GWL 0 ) is connected to the word line via vias (VIA 0 , VIA 128 , ...). GWL 1 is connected to word lines (WL-1, WL-129) through vias (VIA 1 , VIA 129 , ...). The structure allows 128 sets of storage transistors on each plane to be read simultaneously by activating a common global word line and their dedicated sense amplifier decoders. For example, the storage transistors associated with the word lines (WL i , WL i+128 , ...) (generally, WL i+128k , k=0, 1, ... ) are connected to the global word line (GWL i) . ), but all other global word lines in the same phase and other phases can be either at ground potential (i.e., all other storage transistors are off) or floated at ground potential. .

도 6f에 나타낸 실시예는 실리콘 면적 면에서 비용이 많이 든다고 간주될 수 있는데: 각각의 비트 라인 세그먼트 내에 128개의 워드 라인들이 있고 64개의 계단식 단계들이 있으면, 64-계단식 단계 마다 128개의 글로벌 워드 라인들이 필요로 될 것이다(또는 총 8192개의 글로벌 워드 라인들). 본 발명의 일 실시예에 따르면, 각각의 글로벌 워드 라인이 각각의 비트-라인 세그먼트 내에 2개 이상의 로컬 워드 라인과 접촉하게 함으로써, 필요로 되는 글로벌 워드 라인들의 수는 2배, 4배, 8배, 16배 또는 그 이상 감소될 수 있다. 예를 들어, 글로벌 워드 라인(GSL1)은 워드 라인(WL1, WL129, ...)뿐만 아니라 워드 라인들(WL33, WL65...)(대체적으로, WL 1+32k , k=0, 1, ...)과도 접촉할 수 있어, 단계 마다 필요로 되는 글로벌 워드 라인들의 수를 4배 감소시키고, 계단의 총 폭을 4배 감소시킨다. 물론, 추가적인 디코딩 회로부 또는 각각의 비트 라인 세그먼트에 대한 4배의 수의 전용 감지 증폭기가 실리콘 기판에서 필요로 된다. (대안적으로, 비트 라인 세그먼트의 단일 감지 증폭기가 4회 연속 판독 또는 프로그래밍 시퀀스들에 걸쳐 시간-공유될 수 있다.)The embodiment shown in Figure 6f can be considered expensive in terms of silicon area: with 128 word lines within each bit line segment and 64 cascade steps, there are 128 global word lines per 64-cascade step. will be needed (or a total of 8192 global word lines). According to one embodiment of the invention, by having each global word line contact two or more local word lines within each bit-line segment, the number of global word lines required is doubled, quadrupled, or eight times. , can be reduced by 16 times or more. For example, the global word line (GSL 1 ) includes word lines (WL 1 , WL 129 , ...) as well as word lines (WL 33 , WL 65 ...) (generally, WL 1+32k , k =0, 1, ... ), which reduces the number of global word lines required per step by a factor of 4 and reduces the total width of the staircase by a factor of 4. Of course, additional decoding circuitry or four times the number of dedicated sense amplifiers for each bit line segment are required on the silicon substrate. (Alternatively, a single sense amplifier in a bit line segment can be time-shared over four consecutive read or programming sequences.)

글로벌 워드 라인들이 계단식 단계들 위의 메모리 어레이 상부에 구현되므로, 글로벌 워드 라인들은 저-저항 구리 상호접속들을 사용하여 구현될 수 있다. 당업자에게 알려진 바와 같이, 단계 내의 인접한 글로벌 워드 라인들 사이의 용량은 그들 사이의 유전체로서의 대체 에어 갭들에 의해 감소될 수 있다. 글로벌 워드 라인 RC 지연들은, 글로벌 워드 라인들의 길이에 따른 브레이크들(breaks)을 통해 그들의 길이의 1/2, 1/4, 또는 1/8 마다 글로벌 워드 라인들에 액세스하도록, 계단식 단계들 밑의 실리콘 기판 내의 글로벌 워드 라인 디코더들 및 전압 소스들을 접속시킴으로써 더욱 감소될 수 있다.Because the global word lines are implemented on top of the memory array over cascading steps, the global word lines can be implemented using low-resistance copper interconnections. As known to those skilled in the art, the capacitance between adjacent global word lines within a stage can be reduced by replacing dielectric air gaps between them. Global word line RC delays are below cascading steps to access global word lines every 1/2, 1/4, or 1/8 of their length with breaks depending on the length of the global word lines. It can be further reduced by connecting global word line decoders and voltage sources within the silicon substrate.

32-층 스택에서 64-층 스택으로 갈 때, 워드 라인 계단식 단계들의 수는 32에서 64로 2배가 된다. 도 6g는, 본 발명의 일 실시예에 따른, 이러한 단계가 2배가 되는 것을 피하는 수직의 NOR 스트링 메모리 어레이의 일 구현예를 도시한다. 도 6g에서, 메모리 어레이 내의 총 수의 평면들이 2개 이상의 연속적으로 형성된 스택들(예를 들어, STK1 및 STK2)(하나가 또다른 하나의 상부에 있음)로서 제공되는, 메모리 어레이의 Z-Y 단면이 도시되어 있다. 각각의 스택에는, 다음 스택이 형성되기 전에 완성된 계단식 단계들의 그들 자신의 세트가 제공된다. 종래 기술의 3-차원 NAND 메모리 어레이들에서는, 각각이 32개의 평면들을 갖는, 2개의 스택들의 메모리 셀들이 형성된다. 그 후, 64-평면 계단식 단계들이 개별적으로 형성되고, 이어서 그들의 연관된 글로벌 워드 라인들이 형성된다. 반대로, 도 6f는 각각이 단지 32개의 계단식 크기 단계들(단계들 A, 단계들 B)을 갖는 스택들(STK1 및 STK2)이 형성되는 것을 도시하는데, 각각의 단계는 (X-방향을 따라 나아가는) 하나의 글로벌 워드 라인(GWL1, GWL2, ..., GWL32) 중 하나에 접속되는 (Y-방향을 따라 나아가는) 워드 라인이다. 스택들(STK1 및 STK2)은 격리층(617)에 의해 서로 격리되므로, 64개의 계단식 단계들을 제공하는 총 폭이 절반으로 감소된다. 상기 방식 하에서, 스택(STK2) 내의 로컬 비트 라인(예를 들어, BL(654)) 및 로컬 소스 라인(예를 들어, SL(655))은 N+ 도핑 수직의 컬럼들의 상부를 노출시키기 위해 격리층(617)에 걸쳐 개구부들을 에칭함으로써 스택(STK1) 내의 그들의 대응하는 로컬 비트 라인 및 로컬 소스 라인에 접속하여, 상부 32개의 평면들의 수직의 활성 컬럼들을 기판(605) 위의 하부 32개의 평면들 내의 그들의 대응하는 수직의 활성 컬럼들에 접속시킨다. 이와 마찬가지로, 두 스택들(STK1 및 STK2) 모두의 P- 도핑 채널 영역들(예를 들어, 도 5b의 채널 영역(556)에 대응하는 채널 영역(656))이 P+ 도핑 플러그들(691)에 의해 서로 접속되는데, 상기 P+ 도핑 플러그들은 STK2를 형성하기 전에 격리층(617) 내에 형성된다.When going from a 32-layer stack to a 64-layer stack, the number of word line cascades doubles from 32 to 64. Figure 6g shows one implementation of a vertical NOR string memory array that avoids this step doubling, according to one embodiment of the invention. 6G , the total number of planes within the memory array are presented as two or more sequentially formed stacks (e.g., STK 1 and STK 2 ), one on top of the other. A cross section is shown. Each stack is provided with its own set of cascading steps that are completed before the next stack is formed. In prior art three-dimensional NAND memory arrays, two stacks of memory cells are formed, each with 32 planes. The 64-plane cascade steps are then formed individually, followed by their associated global word lines. In contrast, Figure 6f shows the formation of stacks (STK 1 and STK 2 ) each having only 32 cascade size steps (steps A, steps B), each step (in the X-direction) It is a word line (running along the Y-direction) connected to one of the global word lines (GWL 1 , GWL 2 , ..., GWL 32 ). The stacks (STK 1 and STK 2 ) are isolated from each other by an isolation layer 617, so that the total width providing 64 cascading steps is reduced by half. Under this scheme, the local bit line (e.g., BL 654) and local source line (e.g., SL 655) within the stack (STK 2 ) are isolated to expose the top of the N+ doped vertical columns. By etching openings across layer 617 to connect their corresponding local bit lines and local source lines in stack STK 1 , vertical active columns in the upper 32 planes are connected to the lower 32 planes on substrate 605 . to their corresponding vertical active columns within the columns. Likewise, the P- doped channel regions of both stacks (STK 1 and STK 2 ) (e.g., channel region 656 corresponding to channel region 556 in FIG. 5B) have P+ doped plugs 691. ), and the P+ doped plugs are formed in the isolation layer 617 before forming STK 2 .

글로벌 워드 라인들에 연관된 실리콘 기판 면적은, 글로벌 워드 라인 디코더들 및 전압 소스들을, 기판 내의 어레이들의 외부에 위치시키기 보다는 계단식 단계들 아래에 또는 메모리 어레이들의 상부에 위치시킴으로써, 감소될 수 있다. 이러한 위치 지정은 도 3f 및 3g의 메모리 어레이들과 관련하여 제공될 수 있다. 그러한 실시예들에서, 메모리 어레이의 상부 표면은 어떤 소스 라인 또는 비트 라인 상호접속부도 없다. 물론, 이러한 워드 라인 디코더들 및 전압 소스들은 프로그래밍 동안 글로벌 워드 라인들 상에서 필요로 되는 (예를 들어, 12V - 20V의 범위 내의) 비교적 높은 전압들을 지원할 수 있어야만 하는 박막 트랜지스터들을 사용하여 구현된다. 이러한 박막 트랜지스터들은 적층된 폴리실리콘을 부분적으로 재결정화하기 위한 얕은 (엑시머(Excimer)) 레이저 어닐(anneal)을 통하거나 또는 태양 전지판들 또는 LED 디스플레이들 또는 다른 응용례들을 위해 개발된 다른 시딩(seeding) 기술들을 통해 달성될 수 있다. 메모리 어레이의 상부 표면은 또한 사이 간격이 더 넓고, 넓거나 키가 큰 글로벌 워드 라인 상호접속들을 나아가게 하도록 이용될 수 있어, 메모리 칩 면적을 과도하게 증가시키지 않으면서 그들의 RC 지연들을 감소시킨다.The silicon substrate area associated with the global word lines can be reduced by locating the global word line decoders and voltage sources below the cascade steps or on top of the memory arrays rather than locating them outside the arrays in the substrate. This positioning may be provided in relation to the memory arrays of FIGS. 3F and 3G. In such embodiments, the top surface of the memory array is free of any source line or bit line interconnections. Of course, these word line decoders and voltage sources are implemented using thin film transistors, which must be able to support the relatively high voltages (e.g., in the range of 12V - 20V) needed on the global word lines during programming. These thin film transistors are produced through shallow (Excimer) laser annealing to partially recrystallize the stacked polysilicon or through other seeding methods developed for solar panels or LED displays or other applications. ) can be achieved through technologies. The top surface of the memory array can also be used to advance global word line interconnections that are more widely spaced, wider or taller, reducing their RC delays without unduly increasing memory chip area.

준-휘발성 NOR 스트링들을 위한 세그먼트화된 비트 라인들을 갖는 3D 수직의 NOR 어레이들3D vertical NOR arrays with segmented bit lines for semi-volatile NOR strings

앞서 참조에 의해 통합되고, 이제 US 2017/0092371A1("'237 공개공보")로서 공개된 정규 특허 출원 Ⅲ은 매우 높은 사이클 내구성을 필요로 하지 않는 특정 저장 응용례들 내에서 DRAM을 대체하기에 적합한 준-휘발성 NOR 스트링들을 게시한다('237 공개공보에서 [0128] - [0131] 문단을 참조). 이를 위해, 준-휘발성 NOR 스트링들의 판독 액세스 시간은 DRAM의 판독 액세스 시간에 근접하는데, 상기 판독 액세스 시간은 100 나노초 미만으로, 종래의 3D NAND 플래시 메모리보다 대략 500 배 빠른 것이다. 이 상세한 설명에 게시된 3-차원 수직 NOR 스트링들에서, 비트 라인 세그먼트 아래의 기판 내에 그들의 전용 감지 증폭기들, 디코더들을 갖는 어레이의 하부에의 세그먼트화된 비트-라인들(예를 들어, 도 3d, 3e, 3f, 및 3g에 도시됨)은 정규 특허 출원 Ⅲ의 수평의 스트링들을 밀접하게 모방하고 거의 비슷한-DRAM 판독 대기 시간을 가질 수 있다. 상기 준-휘발성 수직의 NOR 스트링들을 제작하기 위한 공정 단계들은 '237 공개공보의 [0129] 문단에 설명된 단계들과 유사하다. 준-휘발성 저장 트랜지스터들의 (예를 들어, 1 시간 내지 며칠의 범위 내의) 비교적 짧은 유지 시간으로 인해, 준-휘발성 트랜지스터들은 자주 판독-새로고침 될 필요가 있고; 그 맥락에서, 많은 수의 저장 트랜지스터들을 동시에 판독하거나 또는 재프로그래밍하는 능력을 갖는 것(즉, 병렬의 많은 비트 라인 세그먼트들에 연관된 저장 트랜지스터들을 판독 및 재프로그래밍하는 것)은, 칩 밀도가 1-테라바이트(terabit)에 근접할 때 정상의 판독들의 중단을 최소화하는 데 중요하다.Provisional Patent Application III, previously incorporated by reference and now published as US 2017/0092371A1 ("'237 Publication"), describes a method suitable as a replacement for DRAM within certain storage applications that do not require very high cycle endurance. Publish semi-volatile NOR strings (see paragraphs [0128] - [0131] in '237 Publication). To this end, the read access time of semi-volatile NOR strings approaches that of DRAM, which is less than 100 nanoseconds, approximately 500 times faster than conventional 3D NAND flash memory. In the three-dimensional vertical NOR strings published in this detailed description, the segmented bit-lines at the bottom of the array have their dedicated sense amplifiers, decoders, in the substrate below the bit line segment (e.g., Figure 3D , 3e, 3f, and 3g) closely mimics the horizontal strings of regular patent application III and can have nearly similar DRAM read latency. The process steps for fabricating the semi-volatile vertical NOR strings are similar to those described in paragraph [0129] of the '237 publication. Due to the relatively short retention time (e.g., in the range of 1 hour to several days) of semi-volatile storage transistors, semi-volatile storage transistors need to be read-refreshed frequently; In that context, having the ability to read or reprogram a large number of storage transistors simultaneously (i.e., read and reprogram storage transistors associated with many bit line segments in parallel) means that the chip density can be reduced to 1- This is important to minimize disruption to normal reads when approaching terabytes.

정규 특허 출원 Ⅲ은 또한 수평의 NOR 스트링들 내의 빠른-판독 캐시 메모리를 위한 2개의 저장 트랜지스터들의 페어링(pairing)을 게시한다('237 공개공보에서 [0194] - [0196] 문단을 참조). 이 상세한 설명에 게시된 것과 같은, 수직의 NOR 스트링들 내에 전용 세그먼트 감지 증폭기를 갖는 세그먼트화된 비트 라인은 이러한 빠른 판독 캐시 메모리에 매우 적합한데, 여기서 이중 트랜지스터 쌍은 하나의 트랜지스터 상에서는 데이터를 프로그래밍하고 동일한 워드 라인을 공유하는 인접한 트랜지스터 상에서는 역 데이터(즉, 삭제된 상태)를 프로그래밍하기 위해 사용될 수 있다. 예를 들어, 도 6e에서, 동일한 워드 라인(WL31-1)의 2개의 측면들을 공유하는 2개의 인접한 비트-라인 세그먼트들(MSBL1(L), MSBL1(R)) 내의 2개의 트랜지스터들(TL(683), TR(682))로부터의 판독 출력 신호들은 실리콘 기판 내의 차동 감지 증폭기에 제공된다. 차동 감지 증폭기는 Y-방향을 따라 2개의 인접한 비트 라인 세그먼트들 사이에 공유된다. 이 이중 세그먼트 구조는, 비록 어레이 비트 효율을 50% 감소시키지만, 매우 빠른 감지, 보다 높은 사이클 내구성, 및 프로그래밍가능한 기준 스트링들에 대한 필요성 제거를 제공하면서, 공정 변화들 및 스트링 누설, 매개변수 변화들 또는 칩에 걸친 디바이스 민감도들을 잘 견딜 수 있다. 다른 블록들은 밀도가 2배인 때에 단일 트랜지스터들의 보통의 감지를 사용하지만, X-방향을 따른(즉, 글로벌 비트 라인들과 동일한 방향을 따른) 비트 라인 세그먼트들 사이의 격리부로 인해, 페어링된 트랜지스터들로 구성되는 비트 라인 세그먼트들의 동일한 칩 블록들 상에서는 캐시 저장소에 대한 차동 감지를 갖는 것이 가능하다. 이 유연성은, 동일한 칩이 부분적으로는 캐시 메모리로, 부분적으로는 저장 메모리로서 역할 하는 것을 허용한다. 그것은 또한 많은 저장 페이지들을 필요로 하는 파일들을 저장하는 것(예를 들어, 4MB의 저장소를 필요로 하는 하나의 사진 이미지는 2KB 마다 2,000 페이지들을 점유함)이, 그들의 처음 하나 이상의 페이지들은 빠른 캐시 메모리를 갖는 세그먼트들에 기록되고 나머지 페이지들은 동일한 칩 상의 비-캐시 세그먼트들에 기록되는 것을 허용하여, 그것의 처음 페이지는 매우 빠르게 판독하고 다른 페이지들에 대해서는 파이프라인 판독들을 사용하여 이미지를 검색함으로써, 전체 4MB에 대한 판독 대기 시간이 보다 짧아진다.Regular Patent Application III also discloses the pairing of two storage transistors for fast-read cache memory in horizontal NOR strings (see paragraphs [0194] - [0196] in the '237 publication). Segmented bit lines with dedicated segment sense amplifiers in vertical NOR strings, such as those posted in this detailed description, are well suited for these fast read cache memories, where a dual transistor pair programs data on one transistor and It can be used to program reverse data (i.e. erased state) on adjacent transistors that share the same word line. For example, in Figure 6E, two transistors in two adjacent bit-line segments (MSBL 1 (L), MSBL 1 (R)) sharing two sides of the same word line (WL 31-1 ). Readout output signals from (T L (683), T R (682)) are provided to a differential sense amplifier within the silicon substrate. A differential sense amplifier is shared between two adjacent bit line segments along the Y-direction. This dual-segment structure provides very fast sensing, higher cycle endurance, and eliminates the need for programmable reference strings, although it reduces array bit efficiency by 50%, due to process variations, string leakage, and parameter changes. Alternatively, device sensitivities across the chip can be well tolerated. Other blocks use normal sensing of single transistors at double density, but due to the isolation between bit line segments along the X-direction (i.e. along the same direction as the global bit lines), paired transistors It is possible to have differential sensing for cache storage on the same chip blocks of bit line segments consisting of . This flexibility allows the same chip to act partly as cache memory and partly as storage memory. It also supports storing files that require many storage pages (for example, a single photo image that requires 4 MB of storage occupies 2,000 pages per 2 KB), so that their first one or more pages can be stored in fast cache memory. and allowing the remaining pages to be written to non-cache segments on the same chip, reading its first page very quickly and retrieving the image using pipelined reads for the other pages. The read waiting time for the entire 4MB becomes shorter.

(도 6f 및 6h에 관련하여 설명된) 본 발명의, 글로벌 비트 라인의 대응하는 세그먼트 감지 증폭기들을 갖는 국지적 비트 라인 세그먼트들로의 세그멘테이션 및 글로벌 워드 라인 세그멘테이션은 3-차원 수직의 NOR 스트링들에 대하여 설명되었지만, 그것은 종래의 3D 수직의 NAND 메모리 스트링들에도 유사하게 적용될 수 있다.The present invention (described with respect to FIGS. 6F and 6H), segmentation of a global bit line into local bit line segments with corresponding segment sense amplifiers and global word line segmentation for three-dimensional vertical NOR strings Although described, it can be similarly applied to conventional 3D vertical NAND memory strings.

제조 공정Manufacture process

도 7a, 7b, 7c, 및 7d는 본 발명의 일 실시예에 따른, 멀티-게이트 NOR 스트링 어레이를 위한 제조 공정에서 형성되는 중간 구조들의 단면도들이다.7A, 7B, 7C, and 7D are cross-sectional views of intermediate structures formed in a manufacturing process for a multi-gate NOR string array, according to an embodiment of the present invention.

도 7a는 본 발명의 일 실시예에 따르면, 낮은 저항률 층들(723p)이 기판(701) 위에 형성된 후의, 반도체 구조(700)의 Z-Y 평면의 단면도를 도시한다. 상기 예에서, p는 0 내지 31 사이의 정수이고, 각각은 32개의 워드 라인들을 표현한다. 도 7a에 도시된 바와 같이, 반도체 구조(700)는 낮은 저항률 층들(723-0 내지 723-31)을 포함한다. 예를 들어, 반도체 기판(701)은 P- 도핑 벌크(bulk) 실리콘 웨이퍼를 표현하고, 그 위에 및 그 안에는 메모리 구조(700)를 위한 지원 회로들이 수직의 NOR 스트링들을 형성하기 전에 형성될 수 있다. 이러한 지원 회로들은 아날로그 및 디지털 논리 회로들 모두를 포함할 수 있다. 이러한 지원 회로들의 몇몇의 예들은 시프트 레지스터들, 래치들, 감지 증폭기들, 기준 셀들, 전력 공급 라인들, 바이어스 및 기준 전압 생성기들, 인버터들, NAND, NOR, 배타적 논리합(Exclusive-Or) 및 다른 논리 게이트들, 입력/출력 드라이버들, 비트-라인 및 워드 라인 디코더들을 포함하는 어드레스 디코더들, 다른 메모리 소자들, 시퀀서들, 및 상태 기계들을 포함할 수 있다. 당업자에게 알려져 있는 바와 같이, 이들 지원 회로들을 제공하기 위해, 종래의 N-웰(Well)들, P-웰들, 3중 웰들(도시되지 않음), N+ 확산 영역들(예를 들어, 영역(707-0)) 및 P+ 확산 영역들(예를 들어, 영역(706)), 격리 영역들, 낮은 및 높은 전압 트랜지스터들, 커패시터들, 저항기들, 다이오드들, 및 상호접속들이 제공된다.FIG. 7A shows a cross-sectional view of the ZY plane of the semiconductor structure 700 after low resistivity layers 723 p are formed over the substrate 701, according to one embodiment of the invention. In the above example, p is an integer between 0 and 31, each representing 32 word lines. As shown in Figure 7A, semiconductor structure 700 includes low resistivity layers 723-0 through 723-31. For example, semiconductor substrate 701 represents a P-doped bulk silicon wafer, on and within which support circuits for memory structure 700 may be formed prior to forming the vertical NOR strings. . These support circuits may include both analog and digital logic circuits. Some examples of these support circuits include shift registers, latches, sense amplifiers, reference cells, power supply lines, bias and reference voltage generators, inverters, NAND, NOR, Exclusive-Or and other It may include logic gates, input/output drivers, address decoders including bit-line and word line decoders, other memory elements, sequencers, and state machines. As known to those skilled in the art, to provide these support circuits, conventional N-wells, P-wells, triple wells (not shown), N + diffusion regions (e.g., regions ( 707-0)) and P + diffusion regions (e.g., region 706), isolation regions, low and high voltage transistors, capacitors, resistors, diodes, and interconnections are provided.

지원 회로들이 반도체 기판(701) 내에 및 그 위에 형성된 후, 절연층들(708)이 제공되는데, 상기 절연층들은, 예를 들어, 두꺼운 이산화규소가 적층되거나 또는 성장된 것일 수 있다. 몇몇의 실시예들에서, 하나 이상의 금속 상호접속층들이 글로벌 소스 라인(713-0)을 포함하며 형성될 수 있는데, 이는 미리 결정된 방향을 따라 나아가는 수평의 길고 좁은 스트립들로 제공될 수 있다. 글로벌 소스 라인(713-0)은 에칭된 개구부들(714)을 통해 기판(701) 내의 회로부(707)에 접속된다. 이 상세한 설명의 설명을 용이하게 하기 위해, 글로벌 소스 라인들은 X-방향을 따라 나아가는 것으로 가정된다. 금속 상호접속 라인들은 하나 이상의 적층된 금속층들에 포토-리소그레픽(photo-lithographical) 패터닝 및 에칭 단계들을 적용함으로써 형성될 수 있다. (대안적으로, 이들 금속 상호접속 라인들은 종래의 구리 또는 텅스텐 다마신(damascene) 공정와 같은 종래의 다마신 공정을 사용하여 형성될 수 있다.) 그 후 두꺼운 유전체층(709)이 적층되고, 이어서 종래의 화학 기계 연마(chemical mechanical polishing; CMP)를 사용하는 평면화가 이어진다.After the support circuits have been formed in and on the semiconductor substrate 701, insulating layers 708 are provided, which may be, for example, laminated or grown thick silicon dioxide. In some embodiments, one or more metal interconnect layers may be formed including global source line 713-0, which may be provided as horizontal long narrow strips running along a predetermined direction. Global source line 713-0 is connected to circuit portion 707 in substrate 701 through etched openings 714. To facilitate explanation of this detailed description, the global source lines are assumed to run along the X-direction. Metal interconnect lines can be formed by applying photo-lithographical patterning and etching steps to one or more stacked metal layers. (Alternatively, these metal interconnect lines can be formed using a conventional damascene process, such as a conventional copper or tungsten damascene process.) A thick dielectric layer 709 is then deposited, followed by a conventional damascene process. This is followed by planarization using chemical mechanical polishing (CMP).

그 후 전도체층들(723-0 내지 723-31)이 연속하여 형성되는데, 각각의 전도체층은 사이에 있는 절연 층들(intervening insulating layers)(726)에 의해 그 밑의 층 및 그 위의 층으로부터 절연된다. 도 7a에서, 32개의 전도체층들이 나타나 있지만, 임의의 수의 이러한 층들이 제공될 수 있다. 실제로, 제공될 수 있는 전도체층들의 수는 다수의 전도체층들 및 그들 사이에 있는 유전체 격리층들(726)에 걸친 절삭을 허용하는 잘-제어된 이방성 에칭 공정의 가능성과 같은 공정 기술에 따를 수 있다. 예를 들어, 전도체층들(723p)은 우선 1-2nm 두께의 질화티타늄(TiN)층을 적층하고, 이어서 10-50nm 두께의 텅스텐(W) 또는 유사한 내화 금속, 또는 다른 것들 중에서 니켈, 코발트, 또는 텅스텐의 실리사이드들과 같은 실리사이드, 또는 샐리사이드(salicide)의 층을 적층하고, 이어서 산화 알루미늄(Al203)과 같은 얇은 에칭-정지 재료 층을 적층시킴으로써 형성될 수 있다. 각각의 전도체층은 적층 이후에 블록(700) 내에서 에칭되거나, 종래 다마신 공정을 통한 블록으로 적층된다. 도 7a에 도시된 실시예에서, 각각의 연속적인 전도체층(723p)은 바로 앞의 금속층의 가장자리보다 거리(727)만큼 짧게(즉, 가장자리로부터 들어간(recessed)) Y-방향으로 연장되므로, 공정의 나중 단계에서 모든 전도체층들은 구조(700)의 상부에서부터 접촉될 수 있다. 그러나, 도 7a의 단계화된 전도체 스택을 형성하기 위해 필요한 마스킹 및 에칭 단계들의 수를 감소시키기 위해, 노출된 들어간 표면들(727)을 생성하기 위해 각각의 개개의 전도체 평면을 개별적으로 마스킹 및 에칭하는 것을 필요로 하지 않는, 당업자에게 알려진 다른 공정 기술들을 사용함으로써, 다수의 전도체층들에 대해 들어간 표면들(727)을 동시에 만드는 것이 가능하다. 전도체층이 적층되고 에칭된 후에, 대응하는 유전체 격리층(726)이 적층된다. 예를 들어, 유전체 격리층들(726)은 두께가 15 내지 50 나노미터인 이산화규소일 수 있다. 종래의 CMP는 다음 전도체층을 적층하기 위해 각각의 유전체층의 표면을 준비한다. 블록(700)의 스택 내의 전도체층들의 수는 적어도 수직 NOR 스트링 내의 메모리 TFT들의 수와, 사전-충전 TFT들(예를 들어, 도 5a의 사전-충전 TFT(575))과 같은 비-메모리 TFT들의 제어 게이트들로서 또는 비트-라인 액세스 선택 TFT들(예를 들어, 도 5a의 비트-라인 액세스 선택 TFT(511))의 제어 게이트들로서 사용될 수 있는 임의의 추가적인 전도체층들의 합에 대응한다. 전도체층 적층 및 에칭 단계들과 유전체층 적층 및 CMP 공정은, 모든 전도체층들이 제공될 때까지 반복된다.After that, conductor layers 723-0 to 723-31 are formed in succession, and each conductor layer is insulated from the layer below it and the layer above it by intervening insulating layers 726. It is insulated. In Figure 7a, 32 conductor layers are shown, but any number of such layers could be provided. In practice, the number of conductor layers that can be provided may depend on the processing technique, such as the possibility of a well-controlled anisotropic etching process allowing cutting across multiple conductor layers and the dielectric isolation layers 726 between them. there is. For example, the conductor layers 723 p may be formed by first depositing a 1-2 nm thick layer of titanium nitride (TiN), followed by a 10-50 nm thick layer of tungsten (W) or similar refractory metal, or nickel, cobalt, among others. , or may be formed by depositing a layer of silicide, such as those of tungsten, or salicide, followed by depositing a thin layer of etch-stop material, such as aluminum oxide (Al 2 0 3 ). Each conductor layer is etched within the block 700 after lamination, or is laminated as a block through a conventional damascene process. In the embodiment shown in FIG. 7A , each successive conductor layer 723 p extends in the Y-direction shorter than the edge of the immediately preceding metal layer by a distance 727 (i.e., recessed from the edge), At a later stage in the process all conductive layers may be contacted from the top of structure 700. However, to reduce the number of masking and etching steps required to form the staged conductor stack of FIG. 7A, each individual conductor plane is individually masked and etched to create exposed recessed surfaces 727. It is possible to create recessed surfaces 727 for multiple conductor layers simultaneously by using other processing techniques known to those skilled in the art that do not require After the conductive layer is deposited and etched, a corresponding dielectric isolation layer 726 is deposited. For example, dielectric isolation layers 726 may be silicon dioxide with a thickness of 15 to 50 nanometers. Conventional CMP prepares the surface of each dielectric layer for depositing the next conductive layer. The number of conductor layers in the stack of block 700 can be at least the number of memory TFTs in the vertical NOR string and non-memory TFTs, such as pre-charge TFTs (e.g., pre-charge TFT 575 in FIG. 5A). corresponds to the sum of any additional conductor layers that can be used as control gates of or as control gates of bit-line access selection TFTs (e.g., bit-line access selection TFT 511 in Figure 5A). The conductor layer deposition and etching steps and the dielectric layer deposition and CMP process are repeated until all conductor layers are provided.

그 후 유전체 격리층(710) 및 하드 마스크층(715)이 적층된다. 하드 마스크(715)는, 워드 라인들이 아직 형성되지 않은 긴 스트립들을 형성하기 위해 전도체층들(723p)을 에칭하는 것을 허용하도록 패터닝된다. 워드 라인들은 Y-방향을 따라 길게 연장한다. 워드 라인들(623p-R, 623p-L)에 대한 마스킹 패턴의 일례가 도 6에 도시되어 있는데, 이것은, 분리부(676)에서의 서로를 향한 인접한 워드 라인들의 연장 부분들 및 원하는 굴곡들(675)을 생성하기 위한 각각의 워드 라인의 들어간 부분들과 같은 특징들을 포함한다. 전도체층들(723p)의 하부에의 유전체층(709)에 도달할 때까지, 연속적인 전도체층들(723p) 및 그들 각각의 사이에 있는 유전체 절연층들(726)을 이방성 에칭함으로써 깊은 트랜치들이 생성된다. 많은 수의 전도체층들이 에칭되기 때문에, 포토레지스트 마스크 자체는 수많은 연속적인 에칭들을 통해 원하는 워드 라인 패턴을 유지할만큼 충분히 강력하지 않을 수 있다. 강력한 마스크를 제공하기 위해, 당업자에게 알려진 바와 같이, 하드 마스크층(715)(예를 들어, 탄소)이 선호된다. 에칭은 유전체 재료(709), 또는 글로벌 소스 라인들 상의 랜딩 패드들(landing pads)(713), 또는 기판(701)에서 종료될 수 있다. 랜딩 패드들(713)을 에칭으로부터 보호하기 위해, 에칭-정지 베리어 막(barrier film)(예를 들어, 산화알루미늄)을 제공하는 것이 유익할 수 있다.Afterwards, the dielectric isolation layer 710 and the hard mask layer 715 are stacked. Hard mask 715 is patterned to allow etching conductor layers 723 p to form long strips in which word lines have not yet been formed. Word lines extend long along the Y-direction. An example of a masking pattern for word lines 623p -R, 623p -L is shown in FIG. 6, which includes extensions of adjacent word lines facing each other at separation 676 and the desired curvature. Includes features such as recessed portions of each word line to create fields 675. A deep trench is created by anisotropically etching the successive conductor layers 723 p and the dielectric insulating layers 726 between each of them until the dielectric layer 709 at the bottom of the conductor layers 723 p is reached. are created. Because a large number of conductive layers are etched, the photoresist mask itself may not be strong enough to maintain the desired word line pattern through numerous successive etches. To provide a strong mask, a hard mask layer 715 (e.g., carbon) is preferred, as known to those skilled in the art. The etch may be terminated at the dielectric material 709, or at landing pads 713 on the global source lines, or at the substrate 701. To protect the landing pads 713 from etching, it may be beneficial to provide an etch-stop barrier film (eg, aluminum oxide).

도 7b는, 반도체 구조(700)의 Z-X 평면의 단면도에서, 본 발명의 일 실시예에 따라, 유전체층(709)까지 도달해 내려가는 트랜치들(예를 들어, 깊은 트랜치(795))을 형성하기 위해 연속적인 전도체층들(723p) 및 대응하는 유전체층들(726)을 통한 에칭을 나타낸다. 도 7b에서, 전도체층들(723p)은 깊은 트랜치(795)에 의해 서로로부터 분리된 전도체 스택들(723p-R 및 723p-L)을 형성하기 위해 이방성 에칭된다. 상기 이방성 에칭은 종횡-비가 높은 에칭이다. 최상의 결과를 달성하기 위해, 당업자에게 알려진 바와 같이, 에칭 화학 작용(chemistry)은 전도체 재료 에칭과 유전체 에칭 사이에 교대로 이루어져야만 하는데, 이는 상이한 층들의 재료들이 에칭되기 때문이다. 다-단계 에칭의 이방성이 중요한데, 이는, 스택 하부에의 결과적인 워드 라인이 스택 상부에의 또는 상부 근방에의 워드 라인의 대응하는 전도체 폭 및 트랜치 간격과 대략적으로 동일한 전도체 폭 및 트랜치 간격을 갖도록 임의의 층의 언더커팅(undercutting)을 피해야하기 때문이다. 자연적으로, 스택 내의 전도체층들의 수가 많을수록, 수많은 연속적인 에칭들에 걸쳐 타이트한 패턴 내성을 유지하는 것이 더욱 어려워진다. 예를 들어, 64개 또는 128개 또는 그 이상의 전도체층들을 에칭하는 것에 연관된 어려움을 완화시키기 위해, 에칭이 32개의 층들의 섹션 각각에서 수행될 수 있다. 그런 다음, 예를 들어, 전술된 참조문헌 "킴"에 교시된 바와 같이, 개별적으로 에칭된 섹션들은 그 후 서로 스티칭(stitching)될 수 있다. FIG. 7B is a cross-sectional view in the Z Etching through successive conductive layers 723 p and corresponding dielectric layers 726 is shown. In FIG. 7B , conductor layers 723 p are anisotropically etched to form conductor stacks 723 p -R and 723 p -L separated from each other by a deep trench 795 . The anisotropic etching is a high aspect-ratio etching. To achieve best results, as known to those skilled in the art, the etch chemistry should alternate between etching the conductive material and etching the dielectric since different layers of materials are etched. The anisotropy of the multi-step etch is important so that the resulting word lines at the bottom of the stack have conductor widths and trench spacings that are approximately the same as the corresponding conductor widths and trench spacings of the word lines at or near the top of the stack. This is because undercutting of any layer must be avoided. Naturally, the greater the number of conductor layers in a stack, the more difficult it is to maintain tight pattern tolerance over numerous successive etches. For example, to alleviate difficulties associated with etching 64 or 128 or more conductor layers, etching may be performed in sections of each of the 32 layers. The individually etched sections can then be stitched together, for example, as taught in the above-mentioned reference “Kim.”

전도성 재료(예를 들어, 텅스텐 또는 다른 내화 재료들)의 다수의 전도체층들(723p)을 에칭하는 것은 사이에 있는 절연층들(726)을 에칭하는 것보다 어렵고 시간-소모적이다. 그 이유로, 전도체층들(723p)을 여러 번 에칭할 필요성을 제거하는 대안적인 공정이 채택될 수 있다. 당업자에게 잘 알려진 그 공정은 도 7b의 전도체층들(723p)의 위치에 용이하게 에칭가능한 재료의 희생층들을 우선 대체시키는 것으로 이루어져 있다. 예를 들어, 절연층들(726)은 이산화규소일 수 있고, (도 7b 내의 723p로 도시된 공간들을 차지하는) 희생층들은 질화규소 또는 또다른 빠른 에칭 유전체 재료일 수 있다. 그 후 깊은 트랜치들이 산소-질소-산소-질소(Oxide-Nitride-Oxide-Nitride; ONON) 교번하는 유전체층들을 이방성 에칭하여, 이 중 유전체들의 키가 큰 스택들이 생성된다. (후술될) 제조 공정 흐름의 후반 단계에서, 상기 스택들은 폴리실리콘의 활성 수직 스트립들에 의해 지원되어, 희생층들이 바람직하게 선택적인 화학적 또는 등방성 에칭을 통해 에칭되는 것이 허용된다. 이렇게 생성된 공동들은 그 후 전도체 재료의 등각 적층을 통해 채워지고, 그 결과 사이에 있는 절연층들(726)에 의해 전도체층들(723p)이 분리된다.Etching multiple conductive layers 723 p of conductive material (eg, tungsten or other refractory materials) is more difficult and time-consuming than etching the intervening insulating layers 726 . For that reason, an alternative process may be adopted that eliminates the need to etch the conductor layers 723 p multiple times. The process, well known to those skilled in the art, consists in first replacing sacrificial layers of easily etchable material in place of the conductor layers 723 p in Figure 7b. For example, the insulating layers 726 may be silicon dioxide, and the sacrificial layers (occupying the spaces shown at 723 p in FIG. 7B) may be silicon nitride or another fast etch dielectric material. Deep trenches then anisotropically etch alternating Oxide-Nitride-Oxide-Nitride (ONON) dielectric layers, creating tall stacks of these dielectrics. At a later stage of the manufacturing process flow (described below), the stacks are supported by active vertical strips of polysilicon, allowing the sacrificial layers to be etched, preferably via selective chemical or isotropic etching. The cavities thus created are then filled through conformal stacking of the conductive material, resulting in the conductor layers 723 p being separated by the intervening insulating layers 726.

도 7b의 구조가 형성된 후, 전하-구속 층들(734) 및 폴리실리콘층들(730)이 에칭된 전도체 워드 라인 스택들의 수직 측벽들 상에 연속하여 등각 적층된다. 결과적인 구조의 Z-X 평면의 단면도가 도 7c에 도시되어 있다. 도 7c에 도시된 바와 같이, 전하-구속 층들(734)이, 예를 들어, 두께가 5 내지 15 나노미터이고 유전율이 높은 (예를 들어, 산화알루미늄, 산화하프늄(hafnium oxide), 또는 이산화규소와 질화규소의 몇몇 조합) 유전체막으로 이루어진 차단 유전체(732a)를 우선 적층함으로써 형성된다. 그 후, 전하-구속 재료(732b)가 4 내지 10 나노미터의 두께로 적층된다. 전하-구속 재료(732b)는, 예를 들어, 질화규소, 규소가-풍부한 산화질화물, 유전체막에 함유된 전도성 나노점들, 또는 동일한 수직 활성 스트립을 공유하는 인접한 TFT들로부터 격리된 얇은 전도성 플로팅 게이트들일 수 있다. 그 후 전하-구속(732b)은 2 내지 10 나노미터의 두께 범위의 적층된 등각의 얇은 터널 유전체막으로 덮일 수 있다(예를 들어, 이산화규소층, 또는 산화규소-질화규소-산화규소(silicon oxide-silicon nitride-silicon oxide; "ONO") 3중 층). 전하-구속층들(734)로 형성된 저장 소자는 SONOS, TANOS, 나노점 저장소, 격리된 플로팅 게이트들, 또는 당업자에게 알려진 임의의 적합한 전하-구속 샌드위치 구조들 중 임의의 하나일 수 있다. 전하-구속 층들(734)의 조합된 두께는 전형적으로 15 내지 25 나노미터이다.After the structure of FIG. 7B is formed, charge-confinement layers 734 and polysilicon layers 730 are conformally deposited sequentially on the vertical sidewalls of the etched conductor word line stacks. A cross-sectional view in the Z-X plane of the resulting structure is shown in Figure 7c. As shown in FIG. 7C, charge-confinement layers 734 may be, for example, 5 to 15 nanometers thick and have a high dielectric constant (e.g., aluminum oxide, hafnium oxide, or silicon dioxide). It is formed by first stacking a blocking dielectric 732a made of a dielectric film (some combination of silicon nitride and silicon nitride). Charge-confinement material 732b is then deposited to a thickness of 4 to 10 nanometers. Charge-confinement material 732b can be, for example, silicon nitride, silicon-rich oxynitride, conductive nanodots contained in a dielectric film, or a thin conductive floating gate isolated from adjacent TFTs that share the same vertical active strip. You can take it in. Charge-confinement 732b may then be covered with a stacked conformal thin tunnel dielectric film ranging in thickness from 2 to 10 nanometers (e.g., a silicon dioxide layer, or a silicon oxide-silicon nitride-silicon oxide layer). -silicon nitride-silicon oxide; "ONO") triple layer). The storage device formed from charge-constrained layers 734 may be any one of SONOS, TANOS, nanodot storage, isolated floating gates, or any suitable charge-constrained sandwich structures known to those skilled in the art. The combined thickness of charge-confinement layers 734 is typically 15 to 25 nanometers.

전하-구속 층(734)의 적층 이후, 마스킹 단계를 사용하고 트랜치(795)의 하부에서 전하-구속 층들(734) 및 유전체층(709)을 이방성 에칭하였다가, 소스 공급 전압(Vss)을 위한 하부 글로벌 소스 라인 랜딩 패드(713)에서, 글로벌 비트 라인 전압(Vbl)(도시되지 않음)에서, 또는 백 바이어스 공급 전압(Vbb)에의 접촉을 위한 P+ 영역(706)(도 7c를 참조)에서 정지함으로써, 접촉 개구부들이 트랜치(795)의 하부에 만들어진다. 몇몇 실시예들에서, 상기 에칭 단계 전에, 트랜치(795)의 하부에서 전하-구속 재료(734)의 접촉-개구부를 에칭하는 동안, 터널 유전체층(732c)의 수직 표면들을 보호하기 위해 매우-얇은 (예를 들어, 2 내지 5 나노미터 두께) 폴리실리콘막을 적층하는 것이 선행된다. 일 실시예에서, 각각의 글로벌 소스 라인은 수직의 NOR 스트링 쌍들의 로우 내의 교번하는 것들에만 접속된다. 예를 들어, 도 5a에서, 홀수 어드레스 워드 라인들에 대해서만, N+ 도핑 로컬 소스 라인들(예를 들어, 도 5a의 로컬 소스 라인(555))을 글로벌 소스 라인(513-1)에 접속시키기 위한 전기 접촉부들(예를 들어, 접촉 개구부(557))이 에칭된다. 이와 마찬가지로, 짝수 어드레스 워드 라인들에 대해서만, 수직의 NOR 스트링 쌍들의 로우 내의 N+ 도핑 로컬 소스 라인들을 글로벌 소스 라인(513-2)에 접속시키기 위한 전기 접촉부들이 에칭된다(도 5a에 도시되지 않음). 기생 커패시터(C)(즉, 도 5a의 커패시터들(560))를 통해 가상 Vss를 사용하는 실시예에서, 트랜치(795)의 하부에서 전하-구속 층(734)을 에칭하는 단계는 건너뛸 수 있다.After deposition of the charge-confined layer 734, a masking step was used and the charge-confined layers 734 and the dielectric layer 709 were anisotropically etched from the bottom of the trench 795 and then anisotropically etched for the source supply voltage (V ss ). P+ region 706 for contact at the lower global source line landing pad 713, at the global bit line voltage (V bl ) (not shown), or to the back bias supply voltage (V bb ) (see FIG. 7C ). By stopping at , contact openings are created in the bottom of trench 795. In some embodiments, prior to the etching step, a very-thin ( This is preceded by laminating a polysilicon film (e.g., 2 to 5 nanometers thick). In one embodiment, each global source line is connected only to alternating ones within a row of vertical NOR string pairs. For example, in Figure 5A, for odd address word lines only, N+ doped local source lines (e.g., local source line 555 in Figure 5A) to connect to global source line 513-1. Electrical contacts (eg, contact opening 557) are etched. Likewise, for even address word lines only, electrical contacts are etched to connect the N+ doped local source lines in a row of vertical NOR string pairs to the global source line 513-2 (not shown in Figure 5A). . In embodiments that use virtual V ss through parasitic capacitor C (i.e., capacitors 560 in FIG. 5A), the step of etching charge-confinement layer 734 from the bottom of trench 795 is skipped. You can.

그 후, 폴리실리콘 박막(730)이 5 내지 10 나노미터 범위의 두께로 적층된다. 도 7c에서, 폴리실리콘 박막(730)은 트랜치(795)의 반대 측벽들 상에 도시되어 있는데, 각각 730R 및 730L로 레이블되어 있다. 폴리실리콘 박막(730)은 도핑되지 않거나 또는, 전형적으로 cm3 당 1×1016 내지 cm3 당 1×1017 범위의 도핑 농도에서 바람직하게 붕소로 p- 도핑되는데, 이는 TFT가 증가된 기본 임계 전압을 갖도록 그것 내에 형성되는 것을 허용한다. 트랜치(795)는 그것의 반대 측벽들 상에 전하-구속 층들(734) 및 폴리실리콘 박막(730)을 수용하기에 충분하게 넓다. 폴리실리콘(730)의 적층 이후, 전술된 스택 내의 희생층들이 에칭되어, 형성된 공동들이 등각 적층된 전도체층들(723p)로 채워진다(도 7c).Afterwards, a polysilicon thin film 730 is deposited to a thickness ranging from 5 to 10 nanometers. In Figure 7C, polysilicon thin film 730 is shown on opposite sidewalls of trench 795, labeled 730R and 730L, respectively. The polysilicon thin film 730 is either undoped or p-doped, preferably with boron, at a doping concentration typically ranging from 1×10 16 per cm 3 to 1×10 17 per cm 3 , which allows the TFT to operate at an increased basic threshold. Allows a voltage to form within it. Trench 795 is wide enough to accommodate charge-confinement layers 734 and polysilicon thin film 730 on its opposite sidewalls. After deposition of polysilicon 730, the sacrificial layers in the above-described stack are etched away, so that the formed cavities are filled with conformally stacked conductor layers 723 p (FIG. 7C).

도 7b에 도시된 바와 같이, 트랜치(795)는 Y-방향을 따라 연장한다. 격리된 워드 라인 스택들(723p-L 및 723p-R)의 형성 이후, 일례에서, 반도체 구조(700)는 16,000개 이상의 나란한 워드 라인 스택들을 가질 수 있고, 각각의 워드 라인 스택은 각각의 스택의 길이를 따라 형성되는 8,000개 이상의 활성 컬럼들 또는 16,000개의 TFT들(스택의 각각의 측면 상에 8,000개의 TFT들)에 대한 제어 게이트들의 역할을 한다. 각각의 스택 내에 64개의 워드 라인들이 있으면, 결국 160억개의 TFT들이 이러한 멀티-게이트 수직의 NOR 스트링 어레이 각각에 형성될 수 있다. 각각의 TFT가 2개의 데이터 비트들을 저장한다면, 이러한 멀티-게이트 수직의 NOR 스트링 어레이는 32 기가 바이트의 데이터를 저장할 것이다. 대략 32개의 이러한 멀티-게이트 수직의 NOR 스트링 어레이들(및 예비 어레이들)이 단일 반도체 기판 상에 형성될 수 있으므로, 1-테라비트 집적 회로 칩이 제공된다.As shown in Figure 7B, trench 795 extends along the Y-direction. After forming isolated word line stacks 723 p -L and 723 p -R, in one example, semiconductor structure 700 can have more than 16,000 side-by-side word line stacks, each word line stack having a respective It serves as control gates for more than 8,000 active columns or 16,000 TFTs (8,000 TFTs on each side of the stack) formed along the length of the stack. With 64 word lines in each stack, eventually 16 billion TFTs can be formed in each of these multi-gate vertical NOR string arrays. If each TFT stores two data bits, this multi-gate vertical NOR string array would store 32 gigabytes of data. Approximately 32 of these multi-gate vertical NOR string arrays (and spare arrays) can be formed on a single semiconductor substrate, providing a 1-terabit integrated circuit chip.

도 7d는 일 실시예에서의 도 7c 구조의 상부 표면의 X-Y 평면의 단면도이다. 워드 라인들(723p-L 및 723p-R) 사이에 수직 적층 P- 도핑 폴리실리콘 구조(즉, 활성 컬럼)의 2개의 측벽들(730L 및 730R)이 있다. 측벽들(730L 및 730R) 사이의 깊은 보이드(740)는 빠른-에칭 절연 유전체 재료(예를 들어, 이산화규소 또는 액상 유리 또는 탄소 도핑 산화규소)로 채워질 수 있다. 그 후 상부 표면은 종래의 CMP를 사용하여 평탄화 될 수 있다. 그 후 포토리소그래피 단계가 개구들(776 및 777)을 노출시키고, 이어서 높은 종횡비 선택적 에칭에 의해 노출된 면적들(776 및 777) 내의 빠른-에칭 유전체 재료가 트랜치(795)의 하부까지 파진다. 상기 에칭 단계에서는, 에칭 동안의 과도한 패턴 저하를 피하기 위해, 하드 마스크가 필요로 될 수 있다. 그 후 파진 보이드들은 인-시추(in-situ) N+ 도핑 폴리실리콘으로 채워진다. N+ 도펀트들이 노출된 보이드들 내의, 매우 얇은 약-도핑 활성 폴리실리콘 필라들(730L 및 730R)로 확산되어, 상기 활성 폴리실리콘 필라들은 N+ 도핑된다. 대안적으로, 인-시추 N+ 도핑 폴리실리콘으로 보이드들을 채우기 전에, 보이드들 내의 약- 도핑 폴리실리콘이 간단한 등방성 플라즈마 에칭 또는 선택적인 습식 에칭을 통해 에칭될 수 있다. 그 후 CMP 또는 상부 표면 에칭이 상부 표면에서 N+ 폴리실리콘을 제거하여, 면적들(754(N+) 및 755(N+)) 내에 키가 큰 N+ 폴리실리콘 필론(pylon)들이 남게 된다. 상기 N+ 필론들이 결과적인 수직의 NOR 스트링들 내의 TFT들을 위한 공유되는 수직의 로컬 소스 라인 및 공유되는 수직의 로컬 비트 라인을 형성한다.FIG. 7D is a cross-sectional view in the XY plane of the top surface of the FIG. 7C structure in one embodiment. Between word lines 723 p -L and 723 p -R are two sidewalls 730L and 730R of vertically stacked P-doped polysilicon structure (i.e., active column). The deep void 740 between sidewalls 730L and 730R may be filled with a fast-etch insulating dielectric material (eg, silicon dioxide or liquid glass or carbon doped silicon oxide). The top surface can then be planarized using conventional CMP. A photolithography step then exposes the openings 776 and 777, followed by a high aspect ratio selective etch to etch the fast-etch dielectric material in the exposed areas 776 and 777 to the bottom of the trench 795. The etching step may require a hard mask to avoid excessive pattern degradation during etching. The excavated voids are then filled with N+ doped polysilicon in-situ. N+ dopants diffuse into the very thin lightly-doped active polysilicon pillars 730L and 730R within the exposed voids, thereby making the active polysilicon pillars N+ doped. Alternatively, prior to filling the voids with in-situ N+ doped polysilicon, the lightly-doped polysilicon within the voids can be etched through a simple isotropic plasma etch or selective wet etch. CMP or top surface etch then removes the N+ polysilicon from the top surface, leaving tall N+ polysilicon pylons in areas 754(N+) and 755(N+). The N+ pilons form a shared vertical local source line and a shared vertical local bit line for the TFTs in the resulting vertical NOR strings.

도 7da(도 7d-1)는 수직 필론들(754 및 755)의 노출된 보이드들(776)을 오로지 부분적으로 채움으로써, 예를 들어, N+ 도핑 폴리실리콘(754(N+) 및 755(N+))의 (각각의 두께는 (보이드들을 채우기에는 불충분한) 5 내지 15 나노미터인) 매우-얇은 층들을 우선 적층하고, 이어서 소스/드레인 필론들의 코어에 남아있는 보이드(720)(M)를 채우기 위해 금속 전도성 재료(예를 들어, 질화티타늄, 질화텅스텐, 또는 텅스텐)를 (예를 들어, 원자층 적층(Atomic Layer Deposition; ALD)을 사용하여) 적층함으로써, 키가 큰(tall) 수직의 소스/드레인 필론들의 전기 전도성을 실질적으로 증가시키는 것을 도시한다. 또한, 금속 전도체(420)(M)가 매우-얇은 N+ 폴리(454)(N+)와 밀접하게 접촉하여 필론들의 코어를 차지하는 것을 Y-Z 평면으로 도시하는 도 4aa(도 4a-1)을 보자. 코어에서의 금속 재료의 비교적 상당히 높은 전도성으로 인해, 매우-얇은 N+ 도핑 폴리실리콘의 N-형 도핑 농도는 하나 또는 두 크기 정도 감소될 수 있어, 채널의 P-형 도펀트로의 N-형 도펀트의 원하지 않는 열 확산이 감소된다. N+/금속 전도체 구조가 소스 및 드레인 필론들 중 하나 또는 둘 모두에 적용될 수 있다. 또다른 실시예에서, -- 채널 영역(756) 외부의 -- 영역(757) 내에 있는 얇은 P- 도핑 폴리실리콘이 우선 cm3 당 2×1018 이상일 수 있는 채널 영역(756) 내의 P-도핑에 비해, 보다 P+ 과-도핑(예를 들어, cm3 당 1019 이상)될 수 있다. 로컬 소스 라인이 삭제 동작 동안 높은 양의 전압으로 상승될 때, 채널 내의 P- 폴리에 접촉하는 소스 필론 내의 P+ 폴리를 추가함으로써, 삭제 효율이 증가될 수 있다.FIG. 7D (FIG. 7D-1) only partially fills the exposed voids 776 of vertical pilons 754 and 755, e.g., with N+ doped polysilicon 754(N+) and 755(N+). ) of (each having a thickness of 5 to 15 nanometers (insufficient to fill the voids)) first, then filling the remaining voids 720 (M) in the core of the source/drain pilons. By depositing (e.g., using Atomic Layer Deposition (ALD)) a metallic conductive material (e.g., titanium nitride, tungsten nitride, or tungsten) to form a tall vertical source. /shows a substantial increase in the electrical conductivity of the drain pilons. See also FIG. 4AA (FIG. 4A-1), which shows in the YZ plane the metal conductor 420 (M) occupying the core of the pilons in intimate contact with the very-thin N+ poly 454 (N+). Due to the relatively fairly high conductivity of the metallic material in the core, the N-type doping concentration of very-thin N+ doped polysilicon can be reduced by one or two orders of magnitude, thereby reducing the transfer of the N-type dopant to the P-type dopant in the channel. Unwanted heat diffusion is reduced. An N+/metal conductor structure may be applied to one or both of the source and drain pilons. In another embodiment, a thin P-doped polysilicon within region 757 -- outside of channel region 756 -- is first added to the P-doped polysilicon within channel region 756, which may be greater than 2×10 18 per cm 3 . Compared to , it may be more P+ over-doped (eg, more than 10 19 per cm 3 ). When the local source line is raised to a high positive voltage during a erase operation, the erase efficiency can be increased by adding a P+ poly in the source pilon contacting the P- poly in the channel.

다음으로, 유전체 격리층이 포토리소그래피 마스킹 및 에칭 단계들을 사용하여 적층되고 패터닝된다. 에칭 단계는 수직의 로컬 비트 라인들을 수평의 글로벌 비트 라인들에 접속시키기 위한 접촉부들(예를 들어, 도 6에 도시된 바와 같은, 홀수 어드레스들의 스트링들에 대한 접촉부들(657-1) 및 짝수 어드레스들의 스트링들에 대한 접촉부들(657-2))을 연다. 저-저항률 금속층(예를 들어, 텅스텐)이 적층된다. 그 후 적층된 금속은 포토리소그래피 및 에칭 단계들을 사용하여 패턴화되어, 글로벌 비트-라인들(예를 들어, 도 6에 도시된 바와 같은, 홀수 어드레스들의 스트링들에 대한 글로벌 워드 라인(614-1 또는 GBL1) 및 짝수 어드레스들의 스트링들에 대한 글로벌 비트 라인(614-2 또는 GBL2))을 형성한다. 대안적으로, 글로벌 비트 라인들은 종래의 구리 다마신 공정을 사용하여 형성될 수 있다. 당업자에게 알려진 바와 같이, 모든 글로벌 비트 라인들 및 워드 라인 스택들의 모든 금속층들(723p)(도 7a)은 에칭된 바이어스들에 의해 기판 내의 비트-라인 디코딩 및 감지 회로들과 워드 라인에 접속된다. 스위치 및 감지 회로들, 디코더들, 및 기준 전압 소스들은 개별적으로 또는 몇몇의 비트 라인들 및 워드 라인들에 의해 공유되면서 글로벌 비트 라인들 및 글로벌 워드 라인들에 제공될 수 있다.Next, a dielectric isolation layer is deposited and patterned using photolithographic masking and etching steps. The etching step includes contacts to connect the vertical local bit lines to the horizontal global bit lines (e.g., contacts 657-1 for strings of odd addresses and even contacts 657-1, as shown in FIG. 6). Open the contacts 657-2) for the strings of addresses. A low-resistivity metal layer (eg, tungsten) is deposited. The deposited metal is then patterned using photolithography and etching steps to form global bit-lines (e.g., the global word line 614-1 for strings of odd addresses, as shown in Figure 6). or GBL 1 ) and a global bit line 614-2 or GBL 2 ) for strings of even addresses. Alternatively, global bit lines can be formed using a conventional copper damascene process. As known to those skilled in the art, all metal layers 723 p of all global bit lines and word line stacks (FIG. 7A) are connected to the word line and bit-line decoding and sensing circuits in the substrate by etched vias. . Switch and sense circuits, decoders, and reference voltage sources can be provided to the global bit lines and global word lines individually or shared by several bit lines and word lines.

몇몇 실시예들에서, 당업자에게 알려진 바와 같이, 비트 라인 액세스 선택 트랜지스터들(도 5a의 511) 및 그들의 연관된 제어 게이트 워드 라인들(예를 들어, 도 5a의 워드 라인들(585))은 격리된 수직의 N+P-N+ 트랜지스터들로서 형성되어, 홀수 및 짝수 글로벌 비트 라인들(예를 들어, 도 6a의 비트 라인들(614-1 및 614-2))을 교번하는 홀수 및 짝수 어드레스들의 수직의 NOR 스트링들(예를 들어, 도 6a의 로컬 비트 라인들(657-1 및 657-2) 각각)에 선택적으로 접속된다.In some embodiments, as known to those skilled in the art, the bit line access select transistors (511 in Figure 5A) and their associated control gate word lines (e.g., word lines 585 in Figure 5A) are isolated Formed as vertical N+P-N+ transistors, vertical rows of odd and even addresses alternate across odd and even global bit lines (e.g., bit lines 614-1 and 614-2 in FIG. 6A). It is selectively connected to NOR strings (e.g., local bit lines 657-1 and 657-2, respectively, in FIG. 6A).

판독 동작reading operation

본 발명의 모든 실시예들에서, 수직의 NOR 스트링의 TFT들이 병렬 접속되므로, 공유된 로컬 소스 라인과 공유된 로컬 비트 라인(예를 들어, 도 4c에 도시된 로컬 비트 라인(455)과 로컬 소스 라인(454)) 사이의 판독 동작 동안의 누설 전류를 억제하기 위해, (수직의 NOR 스트링 쌍에 형성된 활성 컬럼을 포함하는) 활성 컬럼 내의 모든 TFT들은 증가 모드에 있어야 하는 것이 바람직하다 -- 즉, 각각의 TFT는 양의 게이트-대-소스 임계 전압을 가져야 한다 --. 증가 모드 TFT들은 채널 영역들(예를 들어, 도 7c의 P-채널 영역(756))을 전형적으로 cm3 당 1×1016 내지 1×l017의 농도의 붕소로 도핑함으로써 달성되어, 약 1V의 기본 TFT 임계 전압을 목표로 한다. 이러한 TFT들을 사용하여, 활성 컬럼의 수직의 NOR 스트링 쌍 내의 모든 선택 해제된 워드 라인들은 0V로 유지될 수 있다. 대안적으로, 판독 동작은 공유된 로컬 N+ 소스 라인(예를 들어, 도 4c의 로컬 소스 라인(455)) 상의 전압을 약 1.5V로 상승시키고, 공유된 로컬 N+ 드레인 라인(예를 들어, 로컬 비트 라인(454)) 상의 전압을 약 2V로 상승시키고, 모든 선택 해제된 로컬 워드 라인들은 0V로 유지한다. 이러한 구성은 소스에 관련하여 워드 라인을 -1.5V로 설정하는 것과 같으므로, 예를 들어, TFT들이 약간 과도하게 삭제되면 발생하는 약간 격감된 임계 전압에서 있는 TFT들에 의한 누설 전류가 억제된다.In all embodiments of the invention, the vertical NOR string of TFTs is connected in parallel, so that a shared local source line and a shared local bit line (e.g., local bit line 455 and local source line shown in Figure 4C) are connected in parallel. To suppress leakage current during read operations between lines 454, it is desirable for all TFTs in the active column (including the active column formed in a vertical NOR string pair) to be in augmented mode - i.e. Each TFT must have a positive gate-to-source threshold voltage --. Enhancement mode TFTs are achieved by doping the channel regions (e.g., P-channel region 756 in FIG. 7C) with boron, typically at a concentration of 1×10 16 to 1×10 17 per cm 3 , resulting in a voltage of about 1 V. Aim for the default TFT threshold voltage of Using these TFTs, all deselected word lines within the vertical NOR string pair of the active column can be maintained at 0V. Alternatively, a read operation raises the voltage on the shared local N+ source line (e.g., local source line 455 in FIG. 4C) to about 1.5V and the shared local N+ drain line (e.g., local source line 455 in FIG. The voltage on the bit line 454 is raised to approximately 2V and all deselected local word lines are held at 0V. This configuration is equivalent to setting the word line to -1.5V relative to the source, so that leakage current by the TFTs at a slightly reduced threshold voltage, which would occur if the TFTs were slightly over-cleared, for example, is suppressed.

수직의 NOR 스트링의 TFT들을 삭제한 후, 과도하게-삭제된(즉, 이제 격감 모드 임계 전압을 가짐) 수직의 NOR 스트링 내의 임의의 TFT를 증가 모드 임계 전압으로 다시 전이 시키기 위한 소프트 프로그래밍 동작이 필요로 될 수 있다. 도 5a에서, 동작 접속부(556)는, P-채널이 백 바이어스 전압(506)(Vbb)(또한 도 4c에서는 바디 접속부(456)로 도시됨)에 접속된 것으로 도시된다. 공유된 N+ 소스와 공유된 N+ 드레인/로컬 비트 라인 사이의 임계 이하 누설 전류를 감소시키도록 각각의 활성 컬럼 내의 TFT들의 임계 전압을 조절하기 위해, 음의 전압이 Vbb에 대해 사용될 수 있다. 몇몇 실시예들에서, 제어 게이트들이 0V로 유지되는 TFT들을 터널-삭제하기 위해 삭제 동작 동안 양의 Vbb 전압이 사용될 수 있다.After clearing the TFTs in the vertical NOR string, a soft programming operation is required to transition any TFTs in the vertical NOR string that were over-cleared (i.e., now have a derating mode threshold voltage) back to the increasing mode threshold voltage. It can be. In Figure 5A, operative connection 556 is shown with the P-channel connected to a back bias voltage 506 (V bb ) (also shown as body connection 456 in Figure 4C). A negative voltage can be used for V bb to adjust the threshold voltage of the TFTs in each active column to reduce subthreshold leakage current between the shared N+ source and the shared N+ drain/local bit line. In some embodiments, a positive V bb voltage may be used during an erase operation to tunnel-erase the TFTs whose control gates are held at 0V.

수직의 NOR 스트링 쌍의 TFT에 저장된 데이터를 판독하기 위해, 수직의 NOR 스트링 쌍의 두 수직의 NOR 스트링들 상의 모든 TFT들이, 멀티-게이트 NOR 스트링 어레이 내의 모든 워드 라인들을 0V로 유지함으로써, 초기에 "오프" 상태에 있게 된다. 어드레싱된 수직의 NOR 스트링은 디코딩 회로부의 사용을 통해 공통 워드 라인을 따라 몇몇의 수직의 NOR 스트링들 사이에서 감지 회로를 공유할 수 있다. 대안적으로, 각각의 수직의 NOR 스트링은 글로벌 비트-라인(예를 들어, 도 4c의 GBL1)을 통해 전용 감지 회로에 직접 접속될 수 있다. 후자의 경우, 동일한 워드 라인 평면을 공유하는 하나 이상의 수직의 NOR 스트링들은 병렬로 감지될 수 있다. 각각의 어드레싱된 수직의 NOR 스트링은 도 8a에 개략적으로 도시된 것과 같은 그것의 하드-와이어링된 글로벌 소스 라인(예를 들어, 도 4c의 GSL1)을 통해 Vss ~ 0V로 설정되거나, 또는 사전-충전 트랜지스터(예를 들어, 도 4c의 사전-충전 트랜지스터(470) 또는 도 3c의 트랜지스터(317))를 통해 가상 Vss ~ 0V로 설정되는 그것의 로컬 소스 라인을 갖는데, 상기 사전-충전 트랜지스터는 도 8b에 개략적으로 도시된 바와 같이, 사전-충전 동안 Vbl~0V를 플로팅 로컬 소스 라인(455 또는 355)의 기생 용량(C)(예를 들어, 커패시터(460) 또는 커패시터(360))으로 순간적으로 전달한다.To read data stored in the TFTs of a vertical NOR string pair, all TFTs on the two vertical NOR strings of the vertical NOR string pair are initially powered by maintaining all word lines in the multi-gate NOR string array at 0V. It is in the “off” state. An addressed vertical NOR string can share sensing circuitry among several vertical NOR strings along a common word line through the use of decoding circuitry. Alternatively, each vertical NOR string can be connected directly to a dedicated sensing circuit via a global bit-line (e.g., GBL 1 in Figure 4C). In the latter case, one or more vertical NOR strings sharing the same word line plane can be sensed in parallel. Each addressed vertical NOR string is set to V ss ~ 0V via its hard-wired global source line (e.g., GSL 1 in Figure 4C) as schematically shown in Figure 8A, or It has its local source line set to a virtual V ss ~ 0V via a pre-charge transistor (e.g., pre-charge transistor 470 in Figure 4C or transistor 317 in Figure 3C), wherein the pre-charge The transistor has a parasitic capacitance (C) of the local source line 455 or 355 (e.g., capacitor 460 or capacitor 360) floating V bl ~0V during pre-charge, as schematically shown in FIG. 8B. ) is transmitted instantaneously.

사전-충전 트랜지스터(470)를 턴 오프 한 직후, 로컬 비트 라인(예를 들어, 도 4c의 로컬 비트 라인(454))은 비트 라인 액세스 선택 트랜지스터(예를 들어, 도 4c의 비트 라인 액세스 선택 트랜지스터(411) 또는 도 5a의 액세스 선택 트랜지스터(511))를 통해 Vbl ~ 2V로 설정된다. Vbl ~ 2V는 또한 어드레싱된 수직의 NOR 스트링들에 대한 감지 증폭기들에의 전압이다. 이때, 어드레싱된 워드 라인은 작은 증가 전압 단계들에서 0V에서부터 전형적으로 약 6V로 상승하는데, 수직의 NOR 스트링 쌍의 홀수 어드레스 TFT들 및 짝수 어드레스 TFT들 모두에의 선택 해제된 워드 라인들 모두는 0V에 남아있다. 도 8a의 하드-와이어링된 Vss의 실시예에서, 어드레싱된 TFT는, 일례에서, 2.5V의 임계 전압으로 프로그래밍 되었으므로, 로컬 비트 라인(LBL)의 전압(Vbl)은 그것의 WL들이 2.5V를 초과하자마자, 선택된 TFT를 통해 로컬 소스 라인(Vss)의 0V를 향해 방전하기 시작하여, 선택된 글로벌 비트 라인을 서브하는 감지 증폭기에서 탐지되는(도 8a에서 점선 화살표로 도시되는) 전압 강하가 제공된다. 도 8b의 가상 Vss의 실시예에서, 사전-충전 트랜지스터 워드 라인(WLCHG)은 판독 시퀀스가 시작할 때 플로팅 로컬 소스 라인(LSL)을 0V로 사전-충전하기 위해 턴 온 된다. 그 후, 선택된 워드 라인들(WL)은 그것의 증가 전압 단계들을 거치고, 그것이 프로그래밍된 2.5V를 초과하자마자, 선택된 TFT는 그것의 Vbl ~ 2V로부터 그것의 로컬 비트 라인 상에서의 전압을 순간적으로 하락시킨다. (도 8b에서 점선 화살표로 도시된) 상기 전압 하락은 선택된 로컬 비트 라인에 접속된 글로벌 비트 라인의 감지 증폭기에 의해 탐지된다. 당업자에게 알려진 바와 같은, 선택된 TFT의 프로그래밍된 임계 전압을 올바르게 판독하기 위한 다른 대안적인 방식들이 존재한다. 가상 전압(Vss)을 일시적으로 유지하기 위해 기생 용량(C)에 의존하는 실시예들은, 수직의 스택이 높아질수록, 용량(C)이 커지고, 따라서, 보유 시간이 길어질수록 선택된 감지 증폭기에 제시되는 판독 신호가 커진다. C를 더욱 증가시키기 위해, 일 실시예에서, 주요 목적이 용량(C)을 증가시키기 위한 하나 이상의 더미(dummy) 전도체들을 수직의 스트링에 추가하는 것이 가능하다.Immediately after turning off pre-charge transistor 470, the local bit line (e.g., local bit line 454 in Figure 4C) is connected to the bit line access select transistor (e.g., bit line access select transistor in Figure 4C). V bl is set to 2V through (411) or access selection transistor 511 in FIG. 5A. V bl ~ 2V is also the voltage to the sense amplifiers for the addressed vertical NOR strings. At this time, the addressed word line rises from 0 V in small incremental voltage steps, typically to about 6 V, with all deselected word lines to both the odd and even address TFTs of a vertical NOR string pair reaching 0 V. remains in In the hard-wired V ss embodiment of Figure 8A, the addressed TFT was programmed, in one example, with a threshold voltage of 2.5 V, so the voltage (V bl ) on the local bit line (LBL) is such that its WLs are 2.5 V. As soon as V is exceeded, it begins to discharge towards 0V of the local source line (V ss ) through the selected TFT, resulting in a voltage drop (shown by the dashed arrow in Figure 8a) that is detected at the sense amplifier serving the selected global bit line. provided. In the virtual V ss embodiment of FIG. 8B , the pre-charge transistor word line (WL CHG ) is turned on to pre-charge the floating local source line (LSL) to 0V when the read sequence begins. The selected word lines (WL) then go through its increasing voltage steps, and as soon as it exceeds the programmed 2.5V, the selected TFT momentarily drops the voltage on its local bit line from its V bl ~ 2V. I order it. The voltage drop (shown as a dashed arrow in Figure 8B) is detected by a sense amplifier on the global bit line connected to the selected local bit line. As known to those skilled in the art, other alternative ways to properly read the programmed threshold voltage of a selected TFT exist. Embodiments that rely on parasitic capacitance (C) to temporarily maintain the virtual voltage (V ss ) are presented in the selected sense amplifier as the higher the vertical stack, the larger the capacitance (C) and, therefore, the longer the retention time. The read signal becomes larger. To further increase C, in one embodiment, it is possible to add one or more dummy conductors to the vertical string, the main purpose of which is to increase the capacitance C.

MLC 구현예(즉, 각각의 TFT가 2 이상의 비트를 저장하는 "멀티-레벨 셀" 구현예)의 경우, 어드레싱된 TFT는 몇몇의 전압들(예를 들어, 1V(삭제된 상태), 2.5V, 4V, 또는 5.5V) 중 하나로 프로그래밍될 수 있다. 어드레싱된 워드 라인들(WL)은, TFT 내의 전도성이 감지 증폭기에서 탐지될 때까지, 증가 전압 단계들에서 상승된다. 대안적으로, 단일 워드 라인 전압이 공급될 수 있고(예를 들어, ~6 볼트), 로컬 비트 라인(LBL)(Vbl)의 방전 속도가 저장된 멀티-비트의 전압 상태들을 표현하는 몇몇의 프로그래밍가능한 기준 전압들로부터 방전하는 속도와 비교될 수 있다. 이 접근법은 상태들의 연속에 대하여 확장될 수 있고, 아날로그 저장을 효율적으로 제공한다. 프로그래밍가능 기준 전압들은 멀티-게이트 수직의 NOR 스트링 어레이 내에 위치한 전용 기준 수직의 NOR 스트링들에 저장될 수 있어, 판독, 프로그래밍, 및 백그라운드 누설 동안의 특징들이 밀접하게 추적된다. 수직의 NOR 스트링 쌍에서, 2개의 수직의 NOT 스트링들 중 하나 상의 TFT들만이 각각의 판독 사이클에서 판독될 수 있고; 다른 수직의 NOR 스트링 상의 TFT들은 "오프" 상태에 있게 된다(즉, 모든 워드 라인들이 0V에 있음). 판독 사이클 동안, 수직의 NOR 스트링 내의 TFT들 중 오직 하나만이 판독 전압들에 노출되므로, 판독 디스터브 조건들이 근본적으로 없어진다.For MLC implementations (i.e., “multi-level cell” implementations in which each TFT stores two or more bits), the addressed TFT may be supplied at several voltages (e.g., 1 V (cleared state), 2.5 V). , 4V, or 5.5V). Addressed word lines (WL) are raised in increasing voltage steps until conduction within the TFT is detected at the sense amplifier. Alternatively, a single word line voltage can be supplied (e.g., ~6 volts) and the discharge rate of the local bit line (LBL) (V bl ) can be programmed to represent the stored multi-bit voltage states. The rate of discharge can be compared from possible reference voltages. This approach can be extended to sequences of states and provides analog storage efficiently. Programmable reference voltages can be stored in dedicated reference vertical NOR strings located within a multi-gate vertical NOR string array so that characteristics during readout, programming, and background leakage are closely tracked. In a vertical NOR string pair, only the TFTs on one of the two vertical NOT strings can be read in each read cycle; The TFTs on the other vertical NOR strings are in the "off" state (i.e., all word lines are at 0V). During a read cycle, only one of the TFTs in the vertical NOR string is exposed to read voltages, so read disturb conditions are essentially eliminated.

본 발명의 실시예의 일례에서, 64개의 TFT들 및 하나 이상의 사전-충전 TFT들이 수직의 NOR 스트링 쌍의 각각의 수직의 NOR 스트링 상에 제공될 수 있다. 로컬 수직 N+ 소스 라인 필라와의 교차 지점에의 각각의 워드 라인은 커패시터(예를 들어, 도 6a의 커패시터(660)를 참조)를 형성한다. 이러한 커패시터에 대한 전형적인 값은, 예를 들어, 1×1018 패라드일 수 있다. 수직의 NOR 스트링 쌍의 양쪽 수직의 NOR 스트링들 모두 내의 모든 커패시터들을 포함하여, 전체 분산된 용량(C)은 대략적으로 총 1×10-16 패라드에 달하는데, 이는 로컬 소스 라인이 판독 사이클 동안 사전-충전 소스 전압(Vss)을 보존하기에 충분하고, 사전-충전 동작 직후에 전형적으로 1 마이크로초 이내에 완료된다. 비트-라인 액세스 선택 트랜지스터들(411) 및 사전-충전 TFT(470)를 통한 충전 시간은 몇 나노초 정도이므로, 충전 시간은 판독 대기 시간에 눈에 띄게 추가되지 않는다. 수직의 NOR 스트링 내의 TFT로부터의 판독은 빠른데, 이는 직렬 접속된 많은 TFT들이 전도성이 될 필요가 있는 NAND 스트링 상에서의 판독 동작과는 달리, 판독 동작이 수직의 NOR 스트링 내의 TFT들 중 오직 하나만에서의 전도를 수반하기 때문이다.In one example of an embodiment of the invention, 64 TFTs and one or more pre-charged TFTs may be provided on each vertical NOR string of the vertical NOR string pair. Each word line at its intersection with the local vertical N+ source line pillar forms a capacitor (e.g., see capacitor 660 in FIG. 6A). A typical value for this capacitor may be, for example, 1×10 18 Farad. Including all capacitors in both vertical NOR strings of a pair of vertical NOR strings, the total distributed capacitance (C) amounts to approximately a total of 1 -sufficient to preserve the charging source voltage (V ss ) and is typically completed within 1 microsecond immediately after the pre-charge operation. Charging time through bit-line access select transistors 411 and pre-charge TFT 470 is on the order of nanoseconds, so charging time does not add appreciably to read latency. A read from a TFT in a vertical NOR string is fast, because unlike a read operation on a NAND string where many TFTs in series need to be conductive, a read operation takes place on only one of the TFTs in a vertical NOR string. This is because it involves evangelism.

본 발명의 수직의 NOR 스트링들의 판독 대기 시간에 기여하는 2개의 주요 요소가 있다: (a) 글로벌 비트 라인(예를 들어, 도 6a의ㅣ GBL(614-1))의 저항(Rbl) 및 용량(Cbl)에 연관된 RC 시간 지연, 및 (b) 어드레싱된 TFT가 전도성이 되기 시작할 때 로컬 비트 라인(예를 들어, LBL-1) 상에서의 전압 강하(Vbl)에 대한 감지 증폭기의 응답 시간. 예를 들어, 16,000개의 수직의 NOR 스트링들을 서브하는 글로벌 비트 라인에 연관된 RC 시간 지연은 수십 나노 초 정도이다. 종래 기술의 수직의 NAND 스트링(예를 들어, 도 1b의 NAND 스트링)의 TFT를 판독하기 위한 판독 대기 시간은 32개 이상의 직렬-접속된 TFT들에 걸친 전류 및 글로벌 비트 라인의 선택 트랜지스터 방전 용량(Cbl)에 의해 결정된다. 반대로, 본 발명의 수직의 NOR 스트링에서, 판독 전류 방전(Cbl)은 비트 라인 액세스 선택 트랜지스터(411)를 갖는 시리즈들 내의 단지 하나의 어드레싱된 트랜지스터(예를 들어, 도 4a의 트랜지스터(416L))만을 통해서 제공되므로, 그 결과 로컬 비트 라인 전압(Vbl)의 방전이 훨씬 빨라진다. 그 결과, 대기 시간이 훨씬 짧아진다.There are two main factors that contribute to the read latency of the vertical NOR strings of the present invention: (a) the resistance (R bl ) of the global bit line (e.g., GBL 614-1 in Figure 6A) and RC time delay associated with the capacitance (C bl ), and (b) the response of the sense amplifier to the voltage drop (V bl ) on the local bit line (e.g., LBL-1) when the addressed TFT begins to conduct. hour. For example, the RC time delay associated with a global bit line serving 16,000 vertical NOR strings is on the order of tens of nanoseconds. The read latency for reading a TFT of a prior art vertical NAND string (e.g., the NAND string in Figure 1B) depends on the current across 32 or more series-connected TFTs and the select transistor discharge capacity of the global bit line ( C bl ) is determined by. In contrast, in the vertical NOR string of the present invention, the read current discharge (C bl ) flows through only one addressed transistor in series with the bit line access select transistor 411 (e.g., transistor 416L in Figure 4A). ), resulting in much faster discharge of the local bit line voltage (V bl ). As a result, waiting times are much shorter.

도 4c에서, 하나의 TFT(예를 들어, 수직의 NOT 스트링(451b) 내의 TFT(416L))가 한 번 판독되면, 수직의 NOR 스트링 쌍(491)의 수직의 NOR 스트링(451a 또는 451b) 내의 모든 다른 TFT들은 그들의 "오프" 상태들로 유지되고, 그들의 워드 라인들은 0V에 유지된다. 수직의 NOR 스트링 쌍(492)의 수직의 NOR 스트링(452a) 내의 TFT(416R)가 TFT(416L)와 워드 라인(WL31)을 공유하지만, TFT(416R)는 TFT(416L)와 동시에 판독될 수 있는데, 이는 수직의 NOR 스트링(452a)은 글로벌 비트 라인(414-2)에 의해 서브되고, 수직의 NOR 스트링(451b)은 글로벌 비트 라인(414-1)에 의해 서브되기 때문이다. (도 6a 및 6b는 글로벌 비트 라인들(614-1 및 614-2)이 인접한 수직의 NOR 스트링 쌍들을 어떻게 서브하는지를 나타낸다.)In FIG. 4C , once one TFT (e.g., TFT 416L in vertical NOT string 451b) is read, once in vertical NOR string 451a or 451b of vertical NOR string pair 491. All other TFTs remain in their “off” states and their word lines remain at 0V. Although TFT 416R in vertical NOR string 452a of vertical NOR string pair 492 shares word line WL 31 with TFT 416L, TFT 416R can be read simultaneously with TFT 416L. This is because the vertical NOR string 452a is served by the global bit line 414-2, and the vertical NOR string 451b is served by the global bit line 414-1. (FIGS. 6A and 6B illustrate how global bit lines 614-1 and 614-2 serve adjacent vertical NOR string pairs.)

일 실시예에서, 워드 라인 스택은 32개의 평면들에 제공되는 32개 이상의 워드 라인들을 포함한다. 하나의 멀티-게이트 수직의 NOR 스트링 어레이에서, 각각의 평면은 16,000개의 TFT들을 제어하는 8000개의 워드 라인들을 포함할 수 있고, 각각의 비트 라인이 전용 감지 증폭기에 접속되어 있으면, 상기 16,000개의 TFT들 각각은 16,000개의 글로벌 비트 라인들을 통해 병렬로 판독될 수 있다. 대안적으로, 몇몇의 글로벌 비트 라인들이 디코드 회로를 통해 감지 증폭기를 공유하면, 16,000개의 TFT들은 몇 번의 연속적인 판독 사이클들을 통해 판독된다. 대량의 방전하는 TFT들을 병렬로 판독하는 것은 칩의 접지 공급 장치(Vss) 내에서 전압 바운스(voltage bounce)를 유발할 수 있어, 그 결과 판독 오류들이 초래될 수 있다. 그러나, 로컬 소스 라인 내의 사전-충전 기생 커패시터(C)를 사용하는 실시예는 (즉, 수직의 NOR 스트링에 가상 소스 전압(Vss)을 제공함) 이러한 접지 전압 바운스가 제거되는 특별한 이점을 갖는다. 이는 수직의 NOR 스트링들 내의 가상 소스 전압들이 독립적이고 칩의 접지 공급 장치에 접속되지 않기 때문이다.In one embodiment, the word line stack includes 32 or more word lines presented in 32 planes. In one multi-gate vertical NOR string array, each plane may include 8000 word lines controlling 16,000 TFTs, with each bit line connected to a dedicated sense amplifier. Each can be read in parallel via 16,000 global bit lines. Alternatively, if several global bit lines share a sense amplifier with a decode circuit, 16,000 TFTs are read over several consecutive read cycles. Reading large numbers of discharging TFTs in parallel can cause voltage bounce within the chip's ground supply (V ss ), resulting in read errors. However, embodiments that use a pre-charge parasitic capacitor C in the local source line (i.e., providing a virtual source voltage V ss to the vertical NOR string) have the particular advantage that this ground voltage bounce is eliminated. This is because the virtual source voltages in the vertical NOR strings are independent and not connected to the chip's ground supply.

프로그래밍(기록) 및 프로그래밍-금지 동작들Programming (recording) and programming-inhibit operations

어드레싱된 TFT의 프로그래밍은, 높은 프로그래밍 전압이 선택된 워드 라인(예를 들어, 워드 라인(423p-R))과 활성 채널 영역(예를 들어, 도 4a의 활성 채널 영역(456)) 사이에 공급될 때, TFT의 채널 영역(예를 들어, 도 4b에 도시된 채널 영역(430L))으로부터 전하-구속 층(예를 들어, 전하-구속 층(434))으로의 전자들의 터널링 -- 직접 터널링 또는 파울러-노드하임 터널링 -- 에 의해 달성될 수 있다. 터널링이 매우 효율적이므로, TFT를 프로그래밍하기 위해 전류가 거의 필요하지 않고, 수만 개의 TFT들의 병렬 프로그래밍이 낮은 전력 소모로 달성될 수 있다. 터널링에 의한 프로그래밍은, 예를 들어, 20V, 100-마이크로초 펄스를 필요로 할 수 있다. 바람직하게, 프로그래밍은 약 14V에서 시작하여 대략 20V만큼 높아지는, 보다 짧은 지속시간의 계단식 전압 펄스들의 연속을 통해 구현된다. 계단식 전압 펄싱은 TFT에 걸친 전기 응력을 감소시키고, 의도된 프로그래밍된 임계 전압의 오버슈팅(overshooting)을 피한다.Programming of an addressed TFT occurs when a high programming voltage is supplied between a selected word line (e.g., word line 423p -R) and an active channel region (e.g., active channel region 456 in FIG. 4A). Tunneling of electrons from the channel region of the TFT (e.g., channel region 430L shown in FIG. 4B) to the charge-confined layer (e.g., charge-confined layer 434) - direct tunneling. Or it can be achieved by Fowler-Nordheim tunneling. Because tunneling is so efficient, very little current is needed to program a TFT, and parallel programming of tens of thousands of TFTs can be achieved with low power consumption. Programming by tunneling may require, for example, 20V, 100-microsecond pulses. Preferably, programming is implemented through a series of shorter duration cascading voltage pulses starting at approximately 14V and rising to approximately 20V. Cascade voltage pulsing reduces electrical stress across the TFT and avoids overshooting the intended programmed threshold voltage.

고-전압 펄스를 각각 프로그래밍한 후, 어드레싱된 트랜지스터는, 그것이 그것의 목표 임계 전압에 도달했는지 여부를 확인하기 위해 판독된다. 목표 임계 전압에 도달하지 않았으면, 선택된 워드 라인에 공급되는 다음 프로그래밍 펄스는 전형적으로 몇 백 밀리볼트만큼 증가된다. 이 프로그래밍-검증 시퀀스는 활성 컬럼(예를 들어, 도 4b의 컬럼(430L))의 로컬 비트 라인(예를 들어, 도 4a의 로컬 비트 라인(454))에 0V가 공급되면서 하나의 어드레싱된 워드 라인(즉, 제어 게이트)에 반복적으로 적용된다. 이 프로그래밍 높은 워드 라인 전압들에서, TFT(416L)의 채널 영역이 인버팅되고 0V로 유지되어, 전자들이 TFT(416L)의 전하 저장 층으로 터널링된다. 판독 감지가, 어드레싱된 TFT가 그것의 목표 임계 전압에 도달했다는 것을 나타내면, 어드레싱된 TFT는 더욱 프로그래밍되는 것이 금지되어야만 하고, 동일한 워드 라인을 공유하는 다른 TFT들은 그들의 보다 높은 목표 임계 전압들까지 계속 프로그래밍할 수 있다. 예를 들어, 수직의 NOR 스트링(451b) 내의 TFT(416L)를 프로그래밍할 때, 수직의 NOR 스트링들(451b 및 451a) 내의 모든 다른 TFT들을 프로그래밍하는 것은 모든 그들의 워드 라인들을 0V에 유지함으로써 금지되어야만 한다.After programming each high-voltage pulse, the addressed transistor is read to determine whether it has reached its target threshold voltage. If the target threshold voltage has not been reached, the next programming pulse supplied to the selected word line is typically increased by a few hundred millivolts. This program-verify sequence produces one addressed word with 0V supplied to the local bit line (e.g., local bit line 454 in FIG. 4A) of the active column (e.g., column 430L in FIG. 4B). It is applied repeatedly to the line (i.e. control gate). At these programming high word line voltages, the channel region of TFT 416L is inverted and held at 0V, allowing electrons to tunnel into the charge storage layer of TFT 416L. If read detection indicates that the addressed TFT has reached its target threshold voltage, the addressed TFT should be inhibited from further programming, and other TFTs sharing the same word line should continue to be programmed up to their higher target threshold voltages. can do. For example, when programming TFT 416L in vertical NOR string 451b, programming all other TFTs in vertical NOR strings 451b and 451a should be inhibited by holding all their word lines at 0V. do.

TFT(416L)가 그것의 목표 임계 전압에 도달하면 TFT(416L)를 더욱 프로그래밍하는 것을 금지하기 위해, 절반-선택 전압(즉, 대략 10V)이 로컬 비트 라인(454)에 공급된다. 채널 영역에 10V가 있고 제어 게이트에 20V가 있으면, 순 10V만이 충전-구속 층에 걸쳐 공급되므로, 파울러-노드하임 터널링 전류가 미미하고(insignificant) 최대 20V까지의 계단식 펄스 전압들의 나머지 시퀀스 동안 TFT(416L) 상에서 의미 있는 추가의 프로그래밍이 수행되지 않는다. 워드 라인(WL31) 상의 프로그래밍 전압 펄스들을 계속 증가시키면서 로컬 비트 라인(454)을 10V로 상승시킴으로써, 동일한 선택된 워드 라인을 공유하는 수직의 NOR 스트링들의 모든 TFT들이 그들의 더욱 높은 목표 임계 전압들로 올바르게 프로그래밍된다. "프로그래밍-판독-프로그래밍 금지"의 시퀀스는 수만 개의 TFT들을 멀티 레벨 셀 저장소 내에, 다양한 목표 임계 전압 상태들로, 병렬로 A half-select voltage (i.e., approximately 10V) is applied to local bit line 454 to inhibit further programming of TFT 416L once TFT 416L reaches its target threshold voltage. With 10 V in the channel region and 20 V at the control gate, only a net 10 V is supplied across the charge-confinement layer, so the Fowler-Nordheim tunneling current is insignificant and the TFT ( No further programming of significance is performed on 416L). By raising the local bit line 454 to 10V while continuing to increase the programming voltage pulses on the word line (WL 31 ), all TFTs in the vertical NOR strings sharing the same selected word line are correctly aligned with their higher target threshold voltages. It is programmed. The “program-read-don’t-program” sequence allows tens of thousands of TFTs to be placed in parallel, at various target threshold voltage states, within multi-level cell storage.

올바르게 프로그래밍하는 데 필수적이다. 개개의 TFT들의 과-프로그래밍(over-programming)에 대한 이러한 프로그래밍 금지가 없으면 오버스테핑(overstepping)되거나 또는 다음의 보다 높은 목표 임계 전압 상태의 임계 전압과 병합을 일으킬 수 있다. TFT(416R) 및 TFT(416L)가 동일한 워드 라인을 공유하지만, 그들은 상이한 수직의 NOR 스트링 쌍들(452 및 451)에 속한다. TFT(416R) 및 TFT(416L) 모두를 동일한 프로그래밍 펄싱된 전압 시퀀스에서 프로그래밍하는 것이 가능한데, 이는 그들 각각의 비트 라인 전압들이 GBL1 및 GBL2를 통해 공급되고 개별적으로 제어되기 때문이다. 예를 들어, 어느 때에 TFT(416R)는 더욱 프로그래밍되는 것이 금지될 수 있으면서, TFT(416L)는 계속 프로그래밍될 수 있다. 수직의 NOR 스트링 쌍(491)의 수직의 NOR 스트링들(451a 및 451b)이 개별적인 워드 라인들(423p-L 및 423p-R)에 의해 각각 제어될 수 있고, 각각의 로컬 비트 라인 상의 전압이 모든 다른 수직의 NOR 스트링 쌍들로부터 독립적으로 설정될 수 있으므로, 이들 프로그래밍 및 프로그래밍-금지 전압 조건들은 만족될 수 있다. 프로그래밍 동안, 어드레싱된 워드 라인 스택 내의 또는 어드레싱 해제된 워드 라인 스택들 내의 임의의 선택 해제된 워드 라인은 0V가 되거나, 절반-선택 10 볼트가 되거나, 플로팅 될 수 있다. 글로벌 소스 라인(예를 들어, 도 4c의 GSL1)이 소스 액세스 선택 트랜지스터(도 4c에 도시되지 않음)를 통해 액세스되는 실시예에서, 프로그래밍 동안 액세스 선택 트랜지스터는 오프되고, 그 결과 프로그래밍 및 프로그래밍 금지 동안 로컬 소스 라인(455) 상의 전압이 로컬 비트 라인(454) 상의 전압을 따른다. 로컬 소스 라인 상의 전압이 도 4c의 커패시터(460)에 의해 표현되는 그것의 기생 용량(C)에 의해 제공되는 실시예에 대해서도 마찬가지이다. 도 4c의 실시예에서, 글로벌 소스 라인은 있지만 소스 액세스 선택 트랜지스터는 없는 경우, 프로그래밍 및 프로그래밍-금지 동안 어드레싱된 스트링의 글로벌 소스 라인(413-1)에 공급되는 전압은 어드레싱된 글로벌 비트 라인(414-1)의 전압을 추적해야 하는 것이 바람직하다.It is essential for programming correctly. Without this programming prohibition against over-programming of individual TFTs could result in overstepping or merging of the threshold voltage of the next higher target threshold voltage state. Although TFT 416R and TFT 416L share the same word line, they belong to different vertical NOR string pairs 452 and 451. It is possible to program both TFT 416R and TFT 416L from the same programming pulsed voltage sequence because their respective bit line voltages are supplied through GBL 1 and GBL 2 and are individually controlled. For example, at one time TFT 416R may be inhibited from being further programmed, while TFT 416L may continue to be programmed. Vertical NOR strings 451a and 451b of vertical NOR string pair 491 can each be controlled by individual word lines 423 p -L and 423 p -R, and the voltage on each local bit line Since it can be set independently from all other vertical NOR string pairs, these programming and non-programming voltage conditions can be satisfied. During programming, any deselected word line within the addressed or unaddressed word line stacks can be brought to 0V, half-selected 10 Volts, or floated. In embodiments where the global source line (e.g., GSL 1 in Figure 4C) is accessed through a source access select transistor (not shown in Figure 4C), the access select transistor is turned off during programming, resulting in programming and inhibiting programming. While the voltage on local source line 455 follows the voltage on local bit line 454. The same holds true for the embodiment in which the voltage on the local source line is provided by its parasitic capacitance (C), represented by capacitor 460 in Figure 4C. In the embodiment of Figure 4C, with a global source line but no source access select transistor, the voltage supplied to the global source line 413-1 of the addressed string during programming and program-inhibit is greater than or equal to the global bit line 414 of the addressed string. It is desirable to track the voltage of -1).

TFT들(416L 및 416R)이 그들 각각의 목표 임계 전압에 도달했는지 여부를 결정하기 위한 판독 사이클이, 각각의 증가적으로 보다 높아지는 전압 프로그래밍 펄스들을 따른다. 목표 임계 전압에 도달했으면, 드레인, 소스, 및 바디 전압들은 10V로 상승하여(대안적으로, 상기 전압들은 10V에 근접하게 플로팅됨) 추가의 프로그래밍이 금지되지만, 워드 라인(WL31)은 그들의 목표 임계 전압들에 아직 이르지 않은 동일한 평면 상의 다른 어드레싱된 TFT들을 계속 프로그래밍한다. 상기 시퀀스는, 모든 어드레싱된 TFT들이 올바르게 프로그래밍되었다고 판독-검증될 때 종료한다. MLC의 경우, 다수의 임계 전압 상태들 중 하나를 프로그래밍하는 것은 각각의 어드레싱된 글로벌 비트 라인을 몇몇의 미리 결정된 전압들(예를 들어, 2-비트 데이터가 저장되는 4가지 별개의 상태들을 표현하는 0V, 1.5V, 3.0V, 또는 4.5V) 중 하나로 설정한 후 스테핑된 프로그래밍 펄스들(최고 약 20V)을 워드 라인(WL31)에 공급함으로써 가속화될 수 있다. 상기 방식으로, 어드레싱된 TFT는 효율적인 터널링 전압들(즉, 각각 20, 18.5, 17, 및 15.5 볼트) 중 미리 결정된 하나를 받아들이고, 그 결과 미리 결정된 임계 전압들 중 하나가 단일 프로그래밍 시퀀스 내의 TFT로 프로그래밍된다. 이어서 미세 프로그래밍 펄스들이 개개의 TFT 레벨에 제공될 수 있다.A read cycle follows each incrementally higher voltage programming pulse to determine whether TFTs 416L and 416R have reached their respective target threshold voltages. Once the target threshold voltage has been reached, the drain, source, and body voltages are raised to 10V (alternatively, they float close to 10V), inhibiting further programming, but the word lines (WL 31 ) are raised to their target. Continue programming other addressed TFTs on the same plane that have not yet reached their threshold voltages. The sequence ends when it has been read-verified that all addressed TFTs have been correctly programmed. For MLC, programming one of multiple threshold voltage states means programming each addressed global bit line to several predetermined voltages (e.g., representing four distinct states in which 2-bit data is stored). It can be set to one of 0V, 1.5V, 3.0V, or 4.5V and then accelerated by supplying stepped programming pulses (up to about 20V) to the word line (WL 31 ). In this way, the addressed TFT accepts a predetermined one of the effective tunneling voltages (i.e., 20, 18.5, 17, and 15.5 volts, respectively), and consequently one of the predetermined threshold voltages is programmed to the TFT within a single programming sequence. do. Fine programming pulses can then be provided to individual TFT levels.

가속화된 전체-평면 병렬 프로그래밍Accelerated full-plane parallel programming

멀티-게이트 수직의 NOR 스트링 어레이 내의 모든 로컬 소스 라인에 내재된 기생 용량(C)으로 인해, 멀티-게이트 수직의 NOR 스트링 어레이 내의 모든 로컬 소스 라인들은, 높은 전압 펄싱 시퀀스가 공급되기 전에, (예를 들어, 글로벌 비트 라인(GBL1) 및 비트 라인 액세스 스트링 선택 트랜지스터(411) 및 사전-충전 트랜지스터(470)를 통해) 모든 수직의 NOR 스트링들 상에서 순간적으로 (프로그래밍에 대하여) 0V에 놓이거나 또는 10V(금지에 대하여)에 놓일 수 있다. 이 절차는 워드 라인 평면들을 평면-차례로 어드레싱함으로써 수행될 수 있다. 각각의 어드레싱된 워드 라인 평면에 대하여, 프로그래밍 펄싱 시퀀스가 어드레싱된 워드 라인 평면 상의 많은 또는 모든 워드 라인들에 적용되고, 다른 워드 라인 평면들 상의 모든 워드 라인들은 0V로 유지되어, 어드레싱된 평면 상의 다수의 TFT들이 병렬 프로그래밍되고, 이어서 개개의 판독-검증이 이어지고, 필요한 경우, 올바르게 프로그래밍된 TFT의 로컬 소스 라인이 프로그램-금지 전압으로 재설정된다. 이 접근법은 상당히 유익한데, 이는, 프로그래밍 시간은 비교적 길지만(즉, 약 100 마이크로초), 모든 로컬 소스 라인 커패시터들을 사전-충전하고 어드레싱된 워드 라인 평면을 공유하는 모든 TFT들을 판독-검증하는 것이 1,000배 이상 보다 빠르기 때문이다. 따라서, 각각의 워드 라인 평면 내에 가능한 많은 TFT들을 병렬 프로그래밍하는 것은 이롭다. 상기 가속화된 프로그래밍 특징은 단일 비트 프로그래밍보다 상당히 느린 MLC 프로그래밍에서도 훨씬 큰 이점을 제공한다.Due to the parasitic capacitance (C) inherent in all local source lines in a multi-gate vertical NOR string array, all local source lines in a multi-gate vertical NOR string array are For example, the global bit line (GBL 1 ) and bit line access string select transistor 411 and pre-charge transistor 470 are placed at 0V momentarily (for programming) on all vertical NOR strings, or Can be set to 10V (against prohibition). This procedure can be performed by addressing the word line planes plane-by-plane. For each addressed word line plane, a programming pulsing sequence is applied to many or all word lines on the addressed word line plane, and all word lines on the other word line planes are held at 0V, thereby reducing the number of word lines on the addressed plane. TFTs are programmed in parallel, followed by individual read-verify and, if necessary, the local source lines of the correctly programmed TFTs are reset to the program-inhibit voltage. This approach is quite beneficial because, although the programming time is relatively long (i.e., about 100 microseconds), pre-charging all local source line capacitors and read-verifying all TFTs that share the addressed word line plane takes about 1,000 microseconds. This is because it is more than twice as fast. Therefore, it is advantageous to parallel program as many TFTs as possible within each word line plane. This accelerated programming feature provides a significant advantage even in MLC programming, which is significantly slower than single-bit programming.

삭제 동작delete action

몇몇의 전하-구속 재료들에 대하여, 삭제 동작은 구속된 전하의 역 터널링(reverse-tunneling)에 의해 수행되는데, 이는 다소 느릴 수 있고, 때로는 수십 밀리초의 20V 이상의 펄싱을 필요로 한다. 따라서, 삭제 동작은 수직의 NOR 스트링 어레이 레벨에서 구현될 수 있고("블록 삭제"), 종종 백그라운드에서 수행된다. 전형적인 수직의 NOR 스트링 어레이는 64개의 워드 라인 평면들을 가질 수 있고, 각각의 워드 라인 평면은 총 대략적으로 170억개의 TFT들에 대한, 예를 들어, 16,384 × 16,384개의 TFT들을 제어한다. 따라서, 2 비트의 데이터가 각각의 TFT에 저장되면, 1-테라비트 칩이 대략 30개의 이러한 수직의 NOR 스트링 어레이들을 포함할 수 있다. 몇몇 실시예들에서, 블록 삭제는 수직의 NOR 스트링 내의 모든 TFT들에 의해 공유되는 P-채널(예를 들어, 도 4c의 바디 접속부(456) 및 도 5a의 접촉부(556))에는 약 20V를 공급하고, 블록 내의 모든 워드 라인들은 0V로 유지함으로써 수행될 수 있다. 삭제 펄스의 지속 시간은, 블록 내의 대부분의 TFT들이 약간 증가 모드 임계 전압으로(즉, 0 내지 1 볼트 사이) 삭제되도록 해야 한다. 몇몇의 TFT들은 오버슈팅되어 격감 모드로 삭제될 것이다(즉, 약한 음의 임계 전압). 삭제 명령의 일부로서, 삭제 펄스들의 종료 이후, 과하게-삭제된 TFT들을 약간 증가 모드 임계 전압으로 되돌리기 위한 소프트 프로그래밍이 필요로 될 수 있다. 증가 모드로 프로그래밍될 수 없는 하나 이상의 격감 모드 TFT들을 포함할 수 있는 수직의 NOR 스트링들은 예비 스트링들로 대체되기 위해 폐기되어야(retired) 할 수 있다.For some charge-constrained materials, the erasure operation is performed by reverse-tunneling of the confined charge, which can be rather slow, sometimes requiring tens of milliseconds of pulsing above 20 V. Therefore, delete operations can be implemented at the level of a vertical NOR string array (“block delete”) and are often performed in the background. A typical vertical NOR string array may have 64 word line planes, each word line plane controlling 16,384 x 16,384 TFTs, for a total of approximately 17 billion TFTs. Therefore, with 2 bits of data stored in each TFT, a 1-terabit chip can contain approximately 30 such vertical NOR string arrays. In some embodiments, block erase applies approximately 20V to the P-channel (e.g., body contact 456 in FIG. 4C and contact 556 in FIG. 5A) shared by all TFTs in the vertical NOR string. This can be done by supplying and maintaining all word lines in the block at 0V. The duration of the erase pulse should be such that most of the TFTs in the block are erased with a slightly increasing mode threshold voltage (i.e. between 0 and 1 volt). Some TFTs will overshoot and fall into derating mode (i.e., slightly negative threshold voltage). As part of the erase command, after the end of the erase pulses, soft programming may be required to return the over-erase TFTs to a slightly increased mode threshold voltage. Vertical NOR strings, which may contain one or more decrement mode TFTs that cannot be programmed in augmentation mode, may have to be retired to be replaced with spare strings.

대안적으로, 바디(즉, P-층)에 삭제 펄스들을 제공하기 보다는 오히려, 삭제 펄스의 지속 시간 동안, 수직의 NOR 스트링 어레이 내의 모든 수직의 NOR 스트링 쌍들 상의 로컬 소스 라인들 및 로컬 비트 라인들(예를 들어, 도 4c의 로컬 소스 라인(455) 및 로컬 비트 라인(454))이 약 20V로 상승하고, 모든 워드 라인 평면들 상의 모든 워드 라인들을 0V로 유지한다. 이 방식은, 글로벌 소스 라인 및 글로벌 비트 라인 선택 디코더들이 그들의 접합부들에서 20V를 견딜 수 있는 고전압 트랜지스터들을 사용하는 것을 필요로 한다. 대안적으로, 어드레싱된 워드 라인 평면을 공유하는 모든 TFT들이 어드레싱된 평면 상의 모든 워드 라인들에 -20V 펄스들을 공급하고, 모든 다른 평면들 상의 워드 라인들은 0V로 유지하면서 함께 삭제될 수 있다. 수직의 NOR 스트링 쌍들 내의 모든 다른 전압들은 0V로 유지된다. 이는 워드 라인들의 하나의 어드레싱된 평면에 의해 터치되는 모든 TFT들의 X-Y 슬라이스(slice)만을 삭제할 것이다.Alternatively, rather than providing erase pulses to the body (i.e., P-layer), for the duration of the erase pulse, local source lines and local bit lines on all vertical NOR string pairs in the vertical NOR string array. (e.g., local source line 455 and local bit line 454 in Figure 4C) rises to approximately 20V, maintaining all word lines on all word line planes at 0V. This method requires that the global source line and global bit line select decoders use high voltage transistors that can withstand 20V at their junctions. Alternatively, all TFTs that share the addressed word line plane could be cleared together, supplying -20V pulses to all word lines on the addressed plane, while keeping word lines on all other planes at 0V. All other voltages within the vertical NOR string pairs are maintained at 0V. This will delete only the X-Y slice of all TFTs touched by one addressed plane of word lines.

반(semi) 비-휘발성 NOR TFT 스트링들Semi-non-volatile NOR TFT strings

수직의 NOR 스트링에서 사용하기에 적합한 몇몇의 전하-구속 재료들(예를 들어, 산소-질소-산소 또는 "ONO")은, 전형적으로 몇 년 정도의 긴 데이터 유지 시간을 갖지만, 비교적 내구성이 낮다(즉, 전형적으로 1만 번 이하 정도의 사이클들의 몇 번의 기록-삭제 사이클들 이후 성능이 저하됨). 그러나, 몇몇의 실시예들에서, 훨씬 감소된 유지 시간 동안 저하를 저장하지만 내구성이 훨씬 높은 (예를 들어, 몇 분 또는 몇 시간 정도의 유지 시간, 수천만 번 정도의 기록-삭제 사이클의 내구성) 전하-구속 재료들이 선택될 수 있다. 예를 들어, 도 7c의 실시예에서, 터널 유전체층(732c), 전형적으로 6-8 나노미터의 SiO2 층,이 약 2 나노미터의 두께로 얇아지거나 비슷한 두께의 또다른 유전체 재료(예를 들어, SiN)로 대체될 수 있다. 훨씬 더 얇은 유전체층은 (보다 높은 전압을 필요로 하는 파울러-노드하임 터널링과 구별되는) 직접 터널링에 의해 전자들을 전하-구속 층으로 도입시키는데 알맞은 전압들의 사용을 가능하게 할 수 있는데, 여기서 전하들은 몇 분에서 몇 시간 또는 몇일 동안 구속될 것이다. 전하-구속 층(732b)은 질화규소, 얇은 유전체막에 분산된 전도성 나노점들, 또는 격리된 얇은 플로팅 게이트들을 포함하는 다른 전하-구속 막들의 조합일 수 있다. 차단층(732a)은 이산화규소, 산화알루미늄, 산화하프늄, 질화규소, 고 유전율 유전체, 또는 이들의 임의의 조합일 수 있다. 차단층(732a)은 전하-구속 층(732b) 내의 전자들이 제어 게이트 워드 라인으로 빠져나가는 것을 차단한다. 구속된 전자들은, 매우-얇은 터널 유전체층의 파괴의 결과로서 또는 역의 직접 터널링에 의해, 결국 활성 영역(730R)으로 다시 누설될 것이다. 그러나, 이러한 구속 전자들의 손실은 비교적 느리다. 다른 조합의 전하 저장 재료들이 또한 사용될 수 있어, 그 결과 내구성은 높지만 유지성이 낮은 "반-휘발성" 저장 TFT가 생성되는데, 상기 "반-휘발성" 저장 TFT는 손실된 전하를 보충하기 위해 정기적인 기록 또는 판독 새로고침 동작들을 필요로 한다. 본 발명의 수직의 NOR 스트링들이 비교적 빠른 판독 액세스(즉, 짧은 대기 시간)를 갖기 때문에, 그들은 현재 동적 랜덤 액세스 메모리들(DRAMs)의 사용을 필요로 하는 몇몇의 응용례에서 사용될 수 있다. 본 발명의 수직의 NOR 스트링들은 DRAM들에 비해 상당한 이점들을 갖는데, DRAM들이 3차원 스택들로 구축될 수 없으므로, 본 발명의 수직의 NOR 스트링들은 훨씬 저렴한 비트-당-비용을 갖게 되고, DRAM들을 몇 밀리초 마다 새로고침 할 필요가 있는 것에 비해, 본 발명의 수직의 NOR 스트링들은 새로고침 사이클들이 대략 몇 분 또는 몇 시간 마다 수행될 필요가 있기 때문에, 전력 손실이 훨씬 낮다. 본 발명의 3-차원 반-휘발성 저장 TFT들은 전하-구속 재료를 위해 전술된 것과 같은 적절한 재료를 선택하고 프로그래밍/판독/프로그래밍-금지/삭제 조건들을 적절하게 조정하고, 주기적인 데이터 새로고침들을 포함시킴으로써 달성된다.Some charge-confinement materials suitable for use in vertical NOR strings (e.g., oxygen-nitrogen-oxygen, or "ONO") have long data retention times, typically on the order of several years, but are relatively low durability. (i.e. performance degrades after a few write-erase cycles, typically less than 10,000 cycles). However, in some embodiments, charges store degradation for much reduced retention times but with much higher durability (e.g., retention times on the order of minutes or hours, endurance on the order of tens of millions of write-erase cycles). -Confining materials may be selected. For example, in the embodiment of Figure 7C, tunnel dielectric layer 732c, typically a 6-8 nanometer layer of SiO2, may be thinned to a thickness of about 2 nanometers or may be layered with another dielectric material of similar thickness (e.g. SiN) can be replaced. Much thinner dielectric layers can enable the use of suitable voltages to introduce electrons into the charge-confinement layer by direct tunneling (as distinct from Fowler-Nordheim tunneling, which requires higher voltages), where the charges are You will be detained for anywhere from minutes to hours or even days. Charge-confinement layer 732b may be silicon nitride, conductive nanodots dispersed in a thin dielectric film, or a combination of other charge-confinement films including isolated thin floating gates. The blocking layer 732a may be silicon dioxide, aluminum oxide, hafnium oxide, silicon nitride, a high-k dielectric, or any combination thereof. The blocking layer 732a blocks electrons in the charge-confined layer 732b from escaping to the control gate word line. The trapped electrons will eventually leak back into the active region 730R, either as a result of destruction of the very-thin tunnel dielectric layer or by reverse direct tunneling. However, the loss of these bound electrons is relatively slow. Other combinations of charge storage materials can also be used, resulting in highly durable but low retention “semi-volatile” storage TFTs that record periodically to replenish lost charge. or require read refresh operations. Because the vertical NOR strings of the present invention have relatively fast read access (i.e., low latency), they can be used in several applications that currently require the use of dynamic random access memories (DRAMs). The vertical NOR strings of the present invention have significant advantages over DRAMs: since DRAMs cannot be built in three-dimensional stacks, the vertical NOR strings of the present invention have a much lower cost-per-bit, and the DRAMs Compared to needing to refresh every few milliseconds, the vertical NOR strings of the present invention have much lower power losses since refresh cycles need to be performed on the order of minutes or hours. The three-dimensional semi-volatile storage TFTs of the present invention select an appropriate material as described above for the charge-confinement material, appropriately adjust the programming/reading/program-disable/erase conditions, and include periodic data refreshes. It is achieved by doing.

NROM/미러 비트(Mirror Bit) NOR TFT 스트링들NROM/Mirror Bit NOR TFT Strings

본 발명의 또다른 실시예에서, 수직의 NOT 스트링들은 당업자에게 알려진 2-차원 NROM/미러 비트 트랜지스터들에서 사용되는 것과 유사한, 채널 열-전자 주입 접근법을 사용하여 프로그래밍될 수 있다. 예로서 도 4a의 실시예를 사용하여, 채널 열-전자 주입을 위한 프로그래밍 조건들은 다음과 같을 수 있다: 제어 게이트(423p) 상의 8V, 로컬 소스 라인(455) 상의 0V, 로컬 드레인 라인(454) 상의 5V. 1 비트를 표현하는 전하는 로컬 비트 라인(454)과의 접합점 옆의 채널 영역(456)의 한쪽 끝에의 전하 저장층에 저장된다. 로컬 소스 라인(455) 및 로컬 비트 라인(454)의 극성을 반대로 함으로써, 두번째 비트를 표현하는 전하가 프로그래밍되어, 로컬 소스 라인(455)과의 접합점 옆의 채널 영역(456)의 반대쪽 끝에의 전하 저장층에 저장된다. 당업자들에게 알려진 바와 같이, 두 비트들 모두를 판독하는 것은 프로그래밍의 역순으로 판독하는 것을 필요로 한다. 채널 열-전자 프로그래밍은 직접 터널링 또는 파울러-노드하임 터널링에 의한 프로그래밍보다 훨씬 덜 효율적이므로, 터널링에 의해 가능한 대규모 병렬 프로그래밍에 참여하지 않는다. 그러나, 각각의 TFT는 2배의 비트 밀도를 가지므로, 활성 메모리와 같은 응용례들에 매력이게 된다. NROM TFT 실시예에 대한 삭제는 구속된 전자들의 전하를 중화시키기 위해 대역 대 대역 터널링-도입 열-구멍 주입의 종래의 NROM 삭제 메커니즘을 사용하여 달성될 수 있는데: 워드 라인 상에는 -5V를 공급하고, 로컬 소스 라인(455)에는 0V을 공급하고, 로컬 비트 라인(454)에는 5V를 공급한다. 대안적으로, NROM TFT는 0V의 워드 라인을 가지면서 바디 영역(456)에 높은 양의 기판 전압(Vbb)을 공급합으로써 삭제될 수 있다. 채널 열 전자 주입 프로그래밍에 수반되는 높은 프로그래밍 전류로 인해, 도 3a 및 6c의 실시예에서처럼, 수직의 NROM TFT 스트링들의 모든 실시예들은 하드-와이어링된 로컬 소스 라인 및 로컬 비트 라인을 사용해야만 한다. In another embodiment of the invention, vertical NOT strings can be programmed using a channel hot-electron injection approach, similar to that used in two-dimensional NROM/mirror bit transistors known to those skilled in the art. Using the embodiment of FIG. 4A as an example, programming conditions for channel hot-electron injection may be as follows: 8 V on control gate 423 p , 0 V on local source line 455, local drain line 454 ) 5V on. The charge representing one bit is stored in a charge storage layer at one end of the channel region 456 next to the junction with the local bit line 454. By reversing the polarity of local source line 455 and local bit line 454, the charge representing the second bit is programmed such that the charge at the opposite end of the channel region 456 next to its junction with local source line 455 It is stored in the storage layer. As known to those skilled in the art, reading both bits requires reading them in reverse order of programming. Channel thermo-electronic programming is much less efficient than programming by direct tunneling or Fowler-Nordheim tunneling, and therefore does not participate in the massively parallel programming made possible by tunneling. However, each TFT has twice the bit density, making it attractive for applications such as active memory. Erase for the NROM TFT embodiment can be accomplished using the conventional NROM erase mechanism of band-to-band tunneling-introducing heat-hole injection to neutralize the charge of the bound electrons: supplying -5V on the word line; 0V is supplied to the local source line 455, and 5V is supplied to the local bit line 454. Alternatively, the NROM TFT can be erased by supplying a high positive substrate voltage (V bb ) to the body region 456 with the word line at 0V. Due to the high programming currents involved in channel thermal electron injection programming, all embodiments of vertical NROM TFT strings, as in the embodiments of Figures 3A and 6C, must use hard-wired local source lines and local bit lines.

상기 상세한 설명은 본 발명의 특정 실시예들을 나타내기 위해 제공된 것으로, 제한하기 위해 의도된 것은 아니다. 본 발명의 영역 내의 수많은 변형 및 수정이 가능하다. 본 발명은 첨부된 특허 청구 범위에 명시되어 있다.The above detailed description is provided to illustrate specific embodiments of the invention and is not intended to be limiting. Numerous variations and modifications are possible within the scope of the invention. The invention is specified in the appended patent claims.

101: 기판층
454: 로컬 비트 라인
455: 로컬 소스 라인
456: 바디 영역
423p: 제어 게이트
101: substrate layer
454: Local bit line
455: Local source line
456: Body area
423 p : control gate

Claims (33)

반도체 기판의 평편한 표면 위에 형성된 박막 NOR 메모리 스트링(string)으로서, 상기 반도체 기판은 메모리 회로 동작을 지원하기 위해 내부에 또는 그 위에 형성된 회로부를 포함하고, 상기 박막 NOR 메모리 스트링은:
상기 평편한 표면에 실질적으로 직각인 제1 방향을 따라 각각 길게(lengthwise) 연장하는 공통 소스 영역 및 공통 드레인 영역;
복수의 채널 영역들 - 각각은 상기 공통 드레인 영역과 상기 공통 소스 영역 사이에서 그리고 상기 공통 드레인 영역 및 상기 공통 소스 영역 모두와 접촉하여 제공됨 -;
복수의 데이터 저장 재료의 영역들 - 각각은 상기 채널 영역들 중 각각의 하나와 연관되어 그리고 상기 채널 영역들 중 각각의 하나와 접촉하여 제공됨 -; 및
서로 떨어져 있고, 유전체 재료에 의해 서로 절연된 복수의 게이트 전극들 -각각의 게이트 전극은 데이터 저장 재료의 연관된 영역에 의해 상기 채널 영역으로부터 분리된, 상기 채널 영역들 중 하나에 인접하도록 위치되고, 각각의 게이트 전극은 상기 평편한 표면에 실질적으로 평행한 제2 방향을 따라 길게 연장함 -을 포함하는, 박막 NOR 메모리 스트링.
A thin film NOR memory string formed on a planar surface of a semiconductor substrate, wherein the semiconductor substrate includes circuitry formed therein or on the semiconductor substrate to support memory circuitry, the thin film NOR memory string having:
a common source region and a common drain region each extending lengthwise along a first direction substantially perpendicular to the planar surface;
a plurality of channel regions, each provided between the common drain region and the common source region and in contact with both the common drain region and the common source region;
a plurality of regions of data storage material, each associated with and provided in contact with a respective one of the channel regions; and
a plurality of gate electrodes spaced apart from each other and insulated from each other by a dielectric material, each gate electrode positioned adjacent one of the channel regions, each gate electrode being separated from the channel region by an associated region of data storage material, and the gate electrode extends elongatedly along a second direction substantially parallel to the planar surface.
제1항에 있어서,
상기 박막 NOR 메모리 스트링은 반도체 구조로 형성되고, 상기 반도체 구조는:
상기 공통 소스 영역에 전기적으로 연결되는 소스 라인 선택 트랜지스터(source line select transistor) - 상기 소스 라인 선택 트랜지스터는 전도성 상태와 비전도성 상태 사이에서 상기 소스 라인 선택 트랜지스터를 스위칭하는 제어 신호를 수신하도록 구성됨 -; 및
제1 및 제2 방향 모두에 실질적으로 직교하는 제3 방향을 따라 연장하는 상호접속 전도체 - 상기 상호접속 전도체는 메모리 동작을 지원하기 위한 상기 회로부를 상기 소스 라인 선택 트랜지스터에 전기적으로 접속하여, 상기 소스 라인 선택 트랜지스터가 전도성 상태일 때 상기 공통 소스 영역이 상기 상호접속 전도체를 통해 메모리 동작을 위한 상기 회로부에 전기적으로 연결됨 -을 더 포함하는, 박막 NOR 메모리 스트링.
According to paragraph 1,
The thin film NOR memory string is formed of a semiconductor structure, the semiconductor structure having:
a source line select transistor electrically connected to the common source region, the source line select transistor configured to receive a control signal to switch the source line select transistor between a conductive state and a non-conductive state; and
an interconnection conductor extending along a third direction substantially orthogonal to both the first and second directions, the interconnection conductor electrically connecting the circuitry for supporting memory operation to the source line select transistor, and wherein the common source region is electrically connected to the circuitry for memory operation through the interconnection conductor when the line select transistor is in a conducting state.
제2항에 있어서,
상기 상호접속 전도체는 상기 박막 NOR 메모리 스트링 위에 제공되는, 박막 NOR 메모리 스트링.
According to paragraph 2,
A thin film NOR memory string, wherein the interconnection conductor is provided over the thin film NOR memory string.
제3항에 있어서,
상기 소스 라인 선택 트랜지스터는 상기 제1 방향을 따라 적층된 소스 영역, 채널 영역 및 드레인 영역을 갖는 박막 트랜지스터를 포함하는, 박막 NOR 메모리 스트링.
According to paragraph 3,
The source line select transistor includes a thin film transistor having a source region, a channel region, and a drain region stacked along the first direction.
제1항에 있어서,
각각의 채널 영역은 실질적으로 반환형(semi-annular)인, 박막 NOR 메모리 스트링.
According to paragraph 1,
A thin-film NOR memory string, where each channel region is substantially semi-annular.
제1항에 있어서,
메모리 동작을 지원하기 위한 상기 회로부 내의 회로는 하나 이상의 전압 소스를 포함하는, 박막 NOR 메모리 스트링.
According to paragraph 1,
A thin film NOR memory string, wherein circuitry within said circuitry for supporting memory operations includes one or more voltage sources.
제1항에 있어서,
상기 박막 NOR 메모리 스트링은 박막 NOR 메모리 스트링의 어레이(array)의 하나의 박막 NOR 메모리 스트링인, 박막 NOR 메모리 스트링.
According to paragraph 1,
A thin film NOR memory string, wherein the thin film NOR memory string is one thin film NOR memory string of an array of thin film NOR memory strings.
반도체 기판의 평편한 표면 위에 형성된 메모리 구조로서, 상기 반도체 기판은 메모리 회로 동작을 지원하기 위해 내부에 또는 그 위에 형성된 회로부를 포함하고, 상기 메모리 구조는:
제1, 제2, 및 제3 박막 NOR 메모리 스트링들을 포함하는 박막 NOR 메모리 스트링의 어레이 - 상기 제1, 제2, 및 제3 박막 NOR 메모리 스트링들 각각은 실질적으로 제1항에 따른 박막 NOR 메모리 스트링으로 구성됨 -;
제1, 제2, 및 제3 전도체 세그먼트들 - (a) 상기 제1 전도체 세그먼트는 상기 제1 박막 NOR 메모리 스트링의 공통 드레인 영역과 상기 제2 박막 NOR 메모리 스트링의 공통 드레인 단자 모두에 전기적으로 접속되고, (b) 상기 제2 전도체 세그먼트는 상기 제3 박막 NOR 메모리 스트링의 상기 공통 드레인 영역에 전기적으로 접속되고, 그리고 (c) 상기 제3 전도체 세그먼트는 메모리 동작을 지원하기 위한 상기 회로부에 전기적으로 접속됨 -; 및
제1 및 제2 비트-라인 선택 트랜지스터(bit-line select transistor) - 각각은 상기 비트-라인 선택 트랜지스터가 전도성 상태와 비전도성 상태 사이에서 스위칭하게 하는 제어 신호를 수신하도록 구성되고, (i) 상기 제1 비트-라인 선택 트랜지스터가 전도성 상태로 바이어싱 될(biased) 때, 상기 제1 비트-라인 선택 트랜지스터는, 상기 제1 전도체 세그먼트를 상기 제3 전도체 세그먼트로 접속시키고, (ii) 상기 제2 비트-라인 선택 트랜지스터가 전도성 상태로 바이어싱 될 때, 상기 제2 비트-라인 선택 트랜지스터는, 상기 제2 전도체 세그먼트를 상기 제3 전도체 세그먼트로 접속시킴 -를 포함하는, 메모리 구조.
A memory structure formed on a planar surface of a semiconductor substrate, wherein the semiconductor substrate includes circuitry formed therein or on the semiconductor substrate to support memory circuit operation, the memory structure comprising:
An array of thin film NOR memory strings comprising first, second, and third thin film NOR memory strings, wherein each of the first, second, and third thin film NOR memory strings is substantially a thin film NOR memory according to claim 1. Consists of strings -;
First, second, and third conductor segments - (a) the first conductor segment is electrically connected to both a common drain region of the first thin-film NOR memory string and a common drain terminal of the second thin-film NOR memory string; and (b) the second conductor segment is electrically connected to the common drain region of the third thin film NOR memory string, and (c) the third conductor segment is electrically connected to the circuitry to support memory operations. Connected -; and
First and second bit-line select transistors, each configured to receive a control signal to cause the bit-line select transistor to switch between a conducting state and a non-conducting state, (i) When the first bit-line select transistor is biased into a conducting state, the first bit-line select transistor connects the first conductor segment to the third conductor segment, and (ii) the second conductor segment. When the bit-line select transistor is biased into a conducting state, the second bit-line select transistor connects the second conductor segment to the third conductor segment.
제8항에 있어서,
상기 제1 및 제2 전도체 세그먼트들은 상기 제1, 제2 및 제3 박막 NOR 메모리 스트링들 중 임의의 하나와 상기 반도체 기판의 평편한 표면 사이에 제공되는, 메모리 구조.
According to clause 8,
wherein the first and second conductor segments are provided between any one of the first, second and third thin film NOR memory strings and a planar surface of the semiconductor substrate.
제8항에 있어서,
상기 제1 비트-라인 선택 트랜지스터 및 상기 제2 비트-라인 선택 트랜지스터는 각각 상기 제1 방향을 따라 적층된 소스 영역, 채널 영역 및 드레인 영역을 갖는 박막 트랜지스터를 포함하는, 메모리 구조.
According to clause 8,
The first bit-line select transistor and the second bit-line select transistor each include a thin film transistor having a source region, a channel region, and a drain region stacked along the first direction.
제8항에 있어서,
상기 박막 NOR 메모리 스트링의 어레이는 박막 NOR 메모리 스트링의 로우(row)들 및 컬럼(column)들로 조직되고, 박막 NOR 메모리 스트링의 각각의 로우는 상기 제1 방향에 실질적으로 직교하는 제3 방향을 따라 연장하는, 메모리 구조.
According to clause 8,
The array of thin film NOR memory strings is organized into rows and columns of thin film NOR memory strings, each row of thin film NOR memory strings extending in a third direction substantially orthogonal to the first direction. Extended memory structure.
제11항에 있어서,
상기 박막 NOR 메모리 스트링의 어레이 내의 상기 박막 NOR 메모리 스트링들은 격리 유전체 재료 또는 에어 갭(air gap)에 의해 서로 격리되는, 메모리 구조.
According to clause 11,
A memory structure wherein the thin film NOR memory strings in the array of thin film NOR memory strings are isolated from each other by an isolating dielectric material or an air gap.
제8항에 있어서,
박막 NOR 메모리 스트링의 어레이에서 각각의 박막 NOR 메모리 스트링의 게이트 전극은 계단식 구조에서 종료되고, 각각의 게이트 전극은 상기 계단식 구조에서 전도체로 채워진 비아(conductor-filled via)들에 의해 메모리 동작을 지원하기 위한 상기 회로부에 전기적으로 연결되는, 메모리 구조.
According to clause 8,
In an array of thin-film NOR memory strings, the gate electrode of each thin-film NOR memory string terminates in a stepped structure, and each gate electrode is supported by conductor-filled vias in the stepped structure to support memory operations. A memory structure electrically connected to the circuit unit for.
제1항에 있어서,
전도성 상태로 바이어싱 될 때, 상기 공통 소스 영역 및 상기 공통 드레인 영역을 전기적으로 접속하는 사전-충전 트랜지스터를 더 포함하는, 박막 NOR 메모리 스트링.
According to paragraph 1,
A thin film NOR memory string, further comprising a pre-charge transistor that electrically connects the common source region and the common drain region when biased into a conductive state.
제1항에 있어서,
메모리 동작을 지원하기 위한 상기 회로부는 바디 바이어스 전압 소스(body bias voltage source)를 포함하고, 상기 박막 NOR 메모리 스트링의 상기 채널 영역들은 상기 바디 바이어스 전압 소스에 접속되는, 박막 NOR 메모리 스트링.
According to paragraph 1,
A thin film NOR memory string, wherein the circuitry for supporting memory operation includes a body bias voltage source, and the channel regions of the thin film NOR memory string are connected to the body bias voltage source.
하나가 다른 하나의 상부 상에 제공되는 제1 및 제2 모듈식 메모리 구조들을 포함하는 복합 메모리 구조로서,
각각의 모듈식 메모리 구조는 제8항에 따른 메모리 구조와 같은 메모리 구조를 포함하는, 복합 메모리 구조.
A composite memory structure comprising first and second modular memory structures, one provided on top of the other,
A composite memory structure, wherein each modular memory structure comprises a memory structure such as the memory structure according to claim 8.
제16항에 있어서,
상기 제1 및 제2 모듈식 메모리 구조들은 유전체층에 의해 서로 격리되는, 복합 메모리 구조.
According to clause 16,
wherein the first and second modular memory structures are isolated from each other by a dielectric layer.
제17항에 있어서,
상기 제1 및 제2 모듈식 메모리 구조들 내의 상기 박막 NOR 메모리 스트링들은 상기 제1 방향을 따라 정렬되고, 상기 제1 및 제2 모듈식 메모리 구조들의 대응하는 박막 NOR 메모리 스트링들의 상기 공통 소스 영역들은 상기 유전체층을 통해 전도체로 채워진 비아들에 의해 접속되는, 복합 메모리 구조.
According to clause 17,
The thin film NOR memory strings in the first and second modular memory structures are aligned along the first direction, and the common source regions of corresponding thin film NOR memory strings in the first and second modular memory structures are: A composite memory structure connected by vias filled with conductors through the dielectric layer.
제1항에 있어서,
상기 공통 소스 영역 및 상기 공통 드레인 영역 모두에 내장된 금속 필론(pylon)들을 더 포함하는, 박막 NOR 메모리 스트링.
According to paragraph 1,
The thin film NOR memory string further comprising metal pylons embedded in both the common source region and the common drain region.
제19항에 있어서,
상기 금속 필론들 각각은 질화티타늄, 질화텅스텐, 또는 텅스텐 중 하나 이상을 포함하는, 박막 NOR 메모리 스트링.
According to clause 19,
A thin film NOR memory string, wherein each of the metal pilons includes one or more of titanium nitride, tungsten nitride, or tungsten.
제19항에 있어서,
각각의 금속 필론은 원자층 적층 기술을 사용하여 형성되는, 박막 NOR 메모리 스트링.
According to clause 19,
Thin-film NOR memory strings, where each metal pilon is formed using atomic layer deposition technology.
삭제delete 삭제delete 삭제delete 삭제delete 삭제delete 삭제delete 삭제delete 삭제delete 삭제delete 삭제delete 삭제delete 삭제delete
KR1020227033231A 2018-02-02 2019-01-18 Three-dimensional vertical nor flash thin-film transistor strings KR102626137B1 (en)

Applications Claiming Priority (8)

Application Number Priority Date Filing Date Title
US201862625818P 2018-02-02 2018-02-02
US62/625,818 2018-02-02
US201862630214P 2018-02-13 2018-02-13
US62/630,214 2018-02-13
US16/107,732 US10249370B2 (en) 2015-09-30 2018-08-21 Three-dimensional vertical NOR flash thing-film transistor strings
US16/107,732 2018-08-21
KR1020207025160A KR102448489B1 (en) 2018-02-02 2019-01-18 3-D Vertical NOR Flash Thin Film Transistor Strings
PCT/US2019/014319 WO2019152226A1 (en) 2018-02-02 2019-01-18 Three-dimensional vertical nor flash thin-film transistor strings

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020207025160A Division KR102448489B1 (en) 2018-02-02 2019-01-18 3-D Vertical NOR Flash Thin Film Transistor Strings

Publications (2)

Publication Number Publication Date
KR20220133333A KR20220133333A (en) 2022-10-04
KR102626137B1 true KR102626137B1 (en) 2024-01-18

Family

ID=67478415

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020207025160A KR102448489B1 (en) 2018-02-02 2019-01-18 3-D Vertical NOR Flash Thin Film Transistor Strings
KR1020227033231A KR102626137B1 (en) 2018-02-02 2019-01-18 Three-dimensional vertical nor flash thin-film transistor strings

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020207025160A KR102448489B1 (en) 2018-02-02 2019-01-18 3-D Vertical NOR Flash Thin Film Transistor Strings

Country Status (4)

Country Link
JP (2) JP7141462B2 (en)
KR (2) KR102448489B1 (en)
CN (1) CN111937147A (en)
WO (1) WO2019152226A1 (en)

Families Citing this family (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11251199B2 (en) 2019-12-09 2022-02-15 Sandisk Technologies Llc Three-dimensional NOR array including active region pillars and method of making the same
KR102602494B1 (en) * 2020-05-28 2023-11-14 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 Three-dimensional memory device and method
US11716855B2 (en) 2020-05-28 2023-08-01 Taiwan Semiconductor Manufacturing Co., Ltd. Three-dimensional memory device and method
DE102020132373A1 (en) * 2020-05-28 2021-12-02 Taiwan Semiconductor Manufacturing Co. Ltd. FERROELECTRIC STORAGE DEVICE AND ITS TRAINING METHOD
US11695073B2 (en) 2020-05-29 2023-07-04 Taiwan Semiconductor Manufacturing Co., Ltd. Memory array gate structures
US11710790B2 (en) 2020-05-29 2023-07-25 Taiwan Semiconductor Manufacturing Company, Ltd. Memory array channel regions
US11653500B2 (en) * 2020-06-25 2023-05-16 Taiwan Semiconductor Manufacturing Co., Ltd. Memory array contact structures
US11600520B2 (en) * 2020-06-26 2023-03-07 Taiwan Semiconductor Manufacturing Co., Ltd. Air gaps in memory array structures
US11444069B2 (en) * 2020-06-29 2022-09-13 Taiwan Semiconductor Manufacturing Co., Ltd. 3D semiconductor package including memory array
US11581337B2 (en) * 2020-06-29 2023-02-14 Taiwan Semiconductor Manufacturing Company, Ltd. Three-dimensional memory device and manufacturing method thereof
US11729987B2 (en) 2020-06-30 2023-08-15 Taiwan Semiconductor Manufacturing Company, Ltd. Memory array source/drain electrode structures
US11640974B2 (en) * 2020-06-30 2023-05-02 Taiwan Semiconductor Manufacturing Co., Ltd. Memory array isolation structures
US11963363B2 (en) * 2020-07-14 2024-04-16 Taiwan Semiconductor Manufacturing Company, Ltd. Memory device and method for fabricating the same
US11903214B2 (en) 2020-07-16 2024-02-13 Taiwan Semiconductor Manufacturing Co., Ltd. Three-dimensional ferroelectric random access memory devices and methods of forming
US11527553B2 (en) 2020-07-30 2022-12-13 Taiwan Semiconductor Manufacturing Co., Ltd. Three-dimensional memory device and method
US11968833B2 (en) * 2021-01-15 2024-04-23 Macronix International Co., Ltd. Memory device with vertically separated channels
US11587931B2 (en) 2021-03-03 2023-02-21 Micron Technology, Inc. Multiplexor for a semiconductor device
US11716856B2 (en) 2021-03-05 2023-08-01 Taiwan Semiconductor Manufacturing Co., Ltd. Three-dimensional memory device and method
CN112909012B (en) * 2021-03-08 2023-09-22 中国科学院微电子研究所 NOR type memory device, method of manufacturing the same, and electronic apparatus including the same
CN117352490A (en) * 2022-06-21 2024-01-05 长鑫存储技术有限公司 Semiconductor structure, manufacturing method thereof, memory chip and electronic equipment
CN114927527B (en) * 2022-07-20 2022-11-04 合肥晶合集成电路股份有限公司 Flash memory device, memory cell and manufacturing method thereof

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010130016A (en) 2008-11-25 2010-06-10 Samsung Electronics Co Ltd Three-dimensional semiconductor device, and method of operating the same
US20120182801A1 (en) 2011-01-19 2012-07-19 Macronix International Co., Ltd. Memory Architecture of 3D NOR Array
US20160086970A1 (en) 2014-09-23 2016-03-24 Haibing Peng Three-dimensional non-volatile nor-type flash memory
US20170092371A1 (en) 2015-09-30 2017-03-30 Eli Harari Capacitive-coupled non-volatile thin-film transistor strings in three dimensional arrays
US20170148517A1 (en) 2015-11-25 2017-05-25 Eli Harari Three-dimensional vertical nor flash thin film transistor strings

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6873004B1 (en) * 2002-02-04 2005-03-29 Nexflash Technologies, Inc. Virtual ground single transistor memory cell, memory array incorporating same, and method of operation thereof
KR20080051014A (en) * 2006-12-04 2008-06-10 삼성전자주식회사 And type and nor type flash memory array having vertical structure and manufacturing method and operating method of the same respectively
US7898857B2 (en) 2008-03-20 2011-03-01 Micron Technology, Inc. Memory structure having volatile and non-volatile memory portions
JP5354944B2 (en) 2008-03-27 2013-11-27 株式会社東芝 Semiconductor device and field effect transistor
KR20130095499A (en) * 2012-02-20 2013-08-28 에스케이하이닉스 주식회사 Nonvolatile memory device, method for operating the same and method for fabricating the same
US9190293B2 (en) * 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9595566B2 (en) * 2015-02-25 2017-03-14 Sandisk Technologies Llc Floating staircase word lines and process in a 3D non-volatile memory having vertical bit lines
US9449701B1 (en) * 2015-06-30 2016-09-20 Sandisk Technologies Llc Non-volatile storage systems and methods
US9412752B1 (en) * 2015-09-22 2016-08-09 Macronix International Co., Ltd. Reference line and bit line structure for 3D memory
JP6867387B2 (en) 2015-11-25 2021-04-28 サンライズ メモリー コーポレイション 3D vertical NOR flash thin film transistor string

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010130016A (en) 2008-11-25 2010-06-10 Samsung Electronics Co Ltd Three-dimensional semiconductor device, and method of operating the same
US20120182801A1 (en) 2011-01-19 2012-07-19 Macronix International Co., Ltd. Memory Architecture of 3D NOR Array
US20160086970A1 (en) 2014-09-23 2016-03-24 Haibing Peng Three-dimensional non-volatile nor-type flash memory
US20170092371A1 (en) 2015-09-30 2017-03-30 Eli Harari Capacitive-coupled non-volatile thin-film transistor strings in three dimensional arrays
US20170148517A1 (en) 2015-11-25 2017-05-25 Eli Harari Three-dimensional vertical nor flash thin film transistor strings

Also Published As

Publication number Publication date
WO2019152226A1 (en) 2019-08-08
JP2022172352A (en) 2022-11-15
KR102448489B1 (en) 2022-09-30
KR20200112976A (en) 2020-10-05
JP7141462B2 (en) 2022-09-22
CN111937147A (en) 2020-11-13
JP2021512494A (en) 2021-05-13
KR20220133333A (en) 2022-10-04

Similar Documents

Publication Publication Date Title
KR102626137B1 (en) Three-dimensional vertical nor flash thin-film transistor strings
US11758727B2 (en) Three-dimensional vertical nor flash thin-film transistor strings
US10381378B1 (en) Three-dimensional vertical NOR flash thin-film transistor strings
US11749344B2 (en) Three-dimensional vertical nor flash thin-film transistor strings
JP7117406B2 (en) 3D Vertical NOR Flash Thin Film Transistor String
US20230368843A1 (en) Three-dimensional vertical nor flash thin film transistor strings

Legal Events

Date Code Title Description
A107 Divisional application of patent
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right