JP2021512494A - 3D vertical NOR flash thin film transistor string - Google Patents

3D vertical NOR flash thin film transistor string Download PDF

Info

Publication number
JP2021512494A
JP2021512494A JP2020541723A JP2020541723A JP2021512494A JP 2021512494 A JP2021512494 A JP 2021512494A JP 2020541723 A JP2020541723 A JP 2020541723A JP 2020541723 A JP2020541723 A JP 2020541723A JP 2021512494 A JP2021512494 A JP 2021512494A
Authority
JP
Japan
Prior art keywords
memory structure
vertical
active
string
bit line
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2020541723A
Other languages
Japanese (ja)
Other versions
JP7141462B2 (en
JP2021512494A5 (en
Inventor
ハラリ、エリ
ヤン、ティアンホン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Sunrise Memory Corp
Original Assignee
Sunrise Memory Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US16/107,732 external-priority patent/US10249370B2/en
Application filed by Sunrise Memory Corp filed Critical Sunrise Memory Corp
Publication of JP2021512494A publication Critical patent/JP2021512494A/en
Publication of JP2021512494A5 publication Critical patent/JP2021512494A5/ja
Priority to JP2022143443A priority Critical patent/JP2022172352A/en
Application granted granted Critical
Publication of JP7141462B2 publication Critical patent/JP7141462B2/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/20EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/10EEPROM devices comprising charge-trapping gate insulators characterised by the top-view layout
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/30EEPROM devices comprising charge-trapping gate insulators characterised by the memory core region
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/50EEPROM devices comprising charge-trapping gate insulators characterised by the boundary region between the core and peripheral circuit regions

Abstract

本開示のメモリ構造体は、半導体基板の上方に形成されたポリシリコンのアクティブ列を含む。各アクティブ列は、1以上の垂直NORストリングを含み、各NORストリングは、ローカルソース線及びローカルビット線を共有する薄膜ストレージトランジスタを有する。ローカルビット線は、セグメント化されたグローバルビット線の1つのセグメントによって、半導体基板に設けられたセンスアンプに接続される。【選択図】図3DThe memory structure of the present disclosure includes an active row of polysilicon formed above a semiconductor substrate. Each active column contains one or more vertical NOR strings, each NOR string having a thin film storage transistor that shares a local source line and a local bit line. The local bit line is connected to a sense amplifier provided on the semiconductor substrate by one segment of the segmented global bit line. [Selection diagram] Fig. 3D

Description

本発明は、高密度メモリ構造体に関する。特に、本発明は、相互接続された薄膜記憶素子によって形成された高密度メモリ構造体、例えば、水平ワード線を有する垂直ストリップに形成された薄膜ストレージトランジスタなどに関する。 The present invention relates to a high density memory structure. In particular, the present invention relates to high density memory structures formed by interconnected thin film storage elements, such as thin film storage transistors formed on vertical strips having horizontal word lines.

本開示では、メモリ回路構造体について説明する。これらのメモリ回路構造体は、従来の製造プロセスを用いて、平坦な半導体基板(例えば、シリコンウェハ)上に製造することができる。説明の明確化のために、「垂直」という用語は、半導体基板の表面に対して垂直な方向を指し、「水平」という用語は、半導体基板の表面に対して平行な任意の方向を指すものとする。 In the present disclosure, a memory circuit structure will be described. These memory circuit structures can be manufactured on a flat semiconductor substrate (eg, a silicon wafer) using conventional manufacturing processes. For clarity, the term "vertical" refers to the direction perpendicular to the surface of the semiconductor substrate, and the term "horizontal" refers to any direction parallel to the surface of the semiconductor substrate. And.

例えば「3次元垂直NANDストリング」などの、様々な高密度不揮発性メモリ構造体が、従来技術で知られている。これらの高密度メモリ構造体の多くは、堆積させた薄膜(例えば、ポリシリコン薄膜)から形成された薄膜蓄積トランジスタを使用して作製され、「メモリストリング」のアレイとして構成される。メモリストリングの1つのタイプは、NANDメモリストリング、または単に「NANDストリング」と呼ばれる。NANDストリングは、直列接続された多数の薄膜メモリトランジスタ(「TFT」)から構成される。直列接続されたTFTのうちの或るTFTの内容を読み出すかまたはプログラムするためには、NANDストリング内の全ての直列接続されたTFTのアクティブ化を必要とする。薄膜NANDトランジスタは、単結晶シリコン内に形成されたNANDトランジスタよりも導電率が低いので、長いNANDストリングを介して導通させる必要がある低い読み出し電流では、読み出しアクセスが比較的遅くなる(すなわち、読み出しレイテンシが比較的長くなる)。 Various high-density non-volatile memory structures, such as "three-dimensional vertical NAND strings," are known in the art. Many of these high-density memory structures are made using thin film storage transistors formed from deposited thin films (eg, polysilicon thin films) and are configured as arrays of "memory strings." One type of memory string is called a NAND memory string, or simply a "NAND string". The NAND string is composed of a large number of thin film memory transistors (“TFTs”) connected in series. In order to read or program the contents of one of the series connected TFTs, activation of all series connected TFTs in the NAND string is required. Thin film NAND transistors have lower conductivity than NAND transistors formed in single crystal silicon, so read access is relatively slow (ie, read) at low read currents that need to be conducted through long NAND strings. Latency is relatively long).

別のタイプの高密度メモリ構造体は、NORメモリストリング、または「NORストリング」と呼ばれる。NORストリングは、共有ソース領域及び共有ドレイン領域にそれぞれ接続された多数のストレージトランジスタを含む。このように、NORストリング内のトランジスタは互いに並列に接続されているので、NORストリング内の読み出し電流は、NANDストリングを通る読み出し電流よりもはるかに小さい抵抗で導通される。NORストリング内の或るメモリトランジスタを読み出すかまたはプログラムするためには、そのメモリトランジスタのみをアクティブ化する(すなわち、「オン」または導通状態にする)必要があり、NORストリング内の他の全てのメモリトランジスタは休止状態(すなわち、オフまたは非導通状態)に維持される。この結果、NORストリングにより、読み出すべきアクティブ化されたストレージトランジスタのより迅速な検出が可能になる。従来のNORトランジスタは、適切な電圧が制御ゲートに印加されたときに、電子が、ソース領域とドレイン領域との間の電圧差によってチャネル領域内で加速され、制御ゲートとチャネル領域との間の電荷トラップ層に注入されるチャネルホットエレクトロン注入技術によってプログラムされる。チャネルホットエレクトロン注入プログラムでは、チャネル領域を流れるために比較的大きな電子流を必要とするので、並列にプログラム可能なトランジスタの数が制限される。ホットエレクトロン注入によってプログラムされたトランジスタとは異なり、ファウラー・ノルドハイム・トンネリングまたは直接トンネリングによってプログラムされたトランジスタでは、電子は、制御ゲートとソース領域及びドレイン領域との間に印加される高電界によって、チャネル領域から電荷トラップ層に注入される。ファウラー・ノルドハイム・トンネリング及び直接トンネリングは、チャネルホットエレクトロン注入よりも桁違いに効率的であり、大規模並列プログラムを可能にするが、プログラム禁止状態の影響をより受けやすい。 Another type of high density memory structure is called a NOR memory string, or "NOR string". The NOR string includes a large number of storage transistors connected to the shared source region and the shared drain region, respectively. In this way, since the transistors in the NOR string are connected in parallel with each other, the read current in the NOR string is conducted with a resistance much smaller than the read current through the NAND string. In order to read or program a memory transistor in the NOR string, only that memory transistor needs to be activated (ie, "on" or conductive) and all other in the NOR string. The memory transistor is maintained in a dormant state (ie, off or non-conducting state). As a result, the NOR string allows for faster detection of the activated storage transistor to be read. In a conventional NOR transistor, when an appropriate voltage is applied to the control gate, electrons are accelerated in the channel region by the voltage difference between the source region and the drain region, and between the control gate and the channel region. Programmed by channel hot electron injection technology injected into the charge trap layer. The channel hot electron injection program requires a relatively large electron flow to flow through the channel region, which limits the number of transistors programmable in parallel. Unlike transistors programmed by hot electron injection, in transistors programmed by Fowler-Nordheim tunneling or direct tunneling, electrons are channeled by the high electric field applied between the control gate and the source and drain regions. It is injected from the region into the charge trap layer. Fowler Nordheim tunneling and direct tunneling are orders of magnitude more efficient than channel hot electron infusion, allowing large-scale parallel programming, but being more susceptible to program ban conditions.

3次元NORメモリアレイは、2011年3月11日に出願され、2014年1月14日に公開された、H.T Lueによる「3D NORアレイのメモリアーキテクチャ」なる標題の米国特許第8、630、114号明細書(特許文献1)に開示されている。 The 3D NOR memory array was filed on March 11, 2011 and published on January 14, 2014. It is disclosed in US Pat. Nos. 8,630,114 (Patent Document 1) entitled "Memory Architecture of 3D NOR Arrays" by T Tyronn Lue.

2015年9月21日に出願され、2016年3月24日に公開された、Haibing Pengによる「3次元不揮発性NOR型フラッシュメモリ」なる標題の米国特許出願公開第2016/0086970A1号明細書(特許文献2)には、個々のメモリセルが、半導体基板に対して平行な水平方向に沿って積層され、導通チャネルの片側または両側に配置される全ての電界効果トランジスタによって共有されるソース電極及びドレイン電極を有する、ベーシックNORメモリグループのアレイから構成される不揮発性NORフラッシュメモリデバイスが開示されている。 US Patent Application Publication No. 2016/0086970A1 (Patent), filed September 21, 2015 and published March 24, 2016, entitled "Three-Dimensional Non-Volatile NOR Flash Memory" by Haiving Peng. In Document 2), source electrodes and drains in which individual memory cells are stacked along the horizontal direction parallel to the semiconductor substrate and shared by all field effect transistors arranged on one or both sides of the conduction channel. A non-volatile NOR flash memory device consisting of an array of basic NOR memory groups with electrodes is disclosed.

3次元NANDメモリ構造体は、例えば、2013年1月30日に出願され、2014年11月4日に公開された、Alsmeierらによる「コンパクトな3次元垂直NAND及びその製造方法」なる標題の米国特許第8、878、278号明細書(特許文献3)(Alsmeier)に開示されている。この特許文献3には、例えば、「テラビットセルアレイトランジスタ」(TCAT)NANDアレイ(図1A)、「パイプ形状のビットコストスケーラブルな(P−BiCS)フラッシュメモリ」(図1B)、及び「垂直NAND」メモリストリング構造などの様々なタイプの高密度NANDメモリ構造体が開示されている。同様に、2002年12月31日に出願され、2006年2月28日に公開された、Walkerらによる「直列接続されたトランジスタストリングを組み込んだプログラマブルメモリアレイ構造の製造方法」なる標題の米国特許第7、005、350号明細書(特許文献4)(Walker I)にも、様々な3次元高密度NANDメモリ構造体が開示されている。 The 3D NAND memory structure is, for example, the United States entitled "Compact 3D Vertical NAND and Its Manufacturing Methods" by Alsmeier et al., Filed on January 30, 2013 and published on November 4, 2014. It is disclosed in Japanese Patent No. 8,878,278 (Patent Document 3) (Alsmeier). In Patent Document 3, for example, "terabit cell array transistor" (TCAT) NAND array (FIG. 1A), "pipe-shaped bit cost scalable (P-BiCS) flash memory" (FIG. 1B), and "vertical NAND". Various types of high density NAND memory structures, such as memory string structures, are disclosed. Similarly, a US patent filed December 31, 2002 and published February 28, 2006, entitled "Methods for Manufacturing Programmable Memory Array Structures Incorporating Series-Connected Transistor Strings" by Walker et al. No. 7,005,350 (Patent Document 4) (Walker I) also discloses various three-dimensional high-density NAND memory structures.

2005年8月3日に出願され、2009年11月3日に公開された、Walkerによる「デュアルゲートデバイス及び方法」なる標題の米国特許第7、612、411号明細書(特許文献5)(Walker II)には、共有アクティブ領域が、その両側に形成された2つのNANDストリングにおける独立して制御される記憶素子を提供する「デュアルゲート」メモリ構造体が開示されている。 US Pat. No. 7,612,411, entitled "Dual Gate Devices and Methods" by Walker, filed August 3, 2005 and published November 3, 2009 (Patent Document 5) (Patent Document 5). Walker II) discloses a "dual gate" memory structure that provides a storage element in which a shared active region is independently controlled in two NAND strings formed on either side of the shared active region.

3次元NORメモリアレイは、2011年3月11日に出願され、2014年1月14日に公開された、H.T Lueによる「3D NORアレイのメモリアーキテクチャ」なる標題の米国特許第8、630、114号明細書(特許文献1)に開示されている。 The 3D NOR memory array was filed on March 11, 2011 and published on January 14, 2014. It is disclosed in US Pat. Nos. 8,630,114 (Patent Document 1) entitled "Memory Architecture of 3D NOR Arrays" by T Tyronn Lue.

垂直ポリシリコンゲートによって制御される水平NANDストリングを含む3次元メモリ構造体が、W.Kimらによる論文「テラビット密度ストレージのためのスタック限界を克服する多層垂直ゲートNANDフラッシュ」(2009年VLSIシンポジウム:技術論文のテクニカルダイジェスト、pp.188−189)(非特許文献1)(Kim)に開示されている。垂直ポリシリコンゲートを有する水平NANDストリングも含む、別の3次元メモリ構造体が、H.T.Liuらによる論文「接合フリー埋込チャネルBE−SONOSデバイスを使用した高スケーラブル8レイヤー3D垂直ゲート(VG)TFT NANDフラッシュ」(2010年VLSIシンポジウム:技術論文のテクニカルダイジェスト、pp.131−132)(非特許文献2)に開示されている。 A three-dimensional memory structure containing a horizontal NAND string controlled by a vertical polysilicon gate is described by W. In the paper "Multilayer Vertical Gate NAND Flash Overcoming Stack Limits for Terabit Density Storage" by Kim et al. (2009 VLSI Symposium: Technical Digest of Technical Papers, pp.188-189) (Non-Patent Document 1) (Kim) It is disclosed. Another 3D memory structure, including a horizontal NAND string with a vertical polysilicon gate, is described in H.I. T. Liu et al., "Highly scalable 8-layer 3D vertical gate (VG) TFT NAND flash using junction-free embedded channel BE-SONOS device" (2010 VLSI Symposium: Technical Digest of Technical Papers, pp.131-132) ( It is disclosed in Non-Patent Document 2).

図1Aは、従来技術による3次元垂直NANDストリング111及び112を示す。図1Bは、従来技術による3次元垂直NANDストリングの基本回路図140を示す。具体的には、図1Aの垂直NANDストリング111及び112、並びに、それらの回路図は、それぞれ、本質的に従来の水平NANDストリングであり、基板の表面に沿って32個以上のトランジスタを直列に接続するのではなく、基板に対して直交するように90度回転して配向されている。垂直NANDストリング111及び112は、基板から高層ビルのようにそびえ立つストリング形態の直列接続された薄膜トランジスタ(TFT)であり、各TFTは、記憶素子と、ワード線導体の隣接スタック内のワード線導体の1つによって提供される制御ゲートとを有する。図1Bに示すように、垂直NANDストリングの最も単純な実施形態では、TFT15及び16はそれぞれ、別個のワード線WL0及びWL31によって制御されるNANDストリング150の最初及び最後のメモリトランジスタである。信号BLSによってアクティブ化されるビット線選択トランジスタ11、及び、信号SSによってアクティブ化される接地選択トランジスタ12は、読み出し、プログラム、プログラム禁止、及び消去の各動作中に、垂直NANDストリング150内のアドレス指定されたTFTを、端子14において対応するグローバルビット線GBLに接続し、端子13においてグローバルソース線(GSL)に接地させる働きをする。任意の1つのTFT(例えば、TFT17)の内容の読み出しまたはプログラムのためには、垂直NANDストリング150内の32個の全てのTFTをアクティブ化して、各TFTを読み出し禁止及びプログラム禁止の状態にする必要がある。このような条件により、垂直NANDストリングに設けることができるTFTの数は、64個以下または128個以下に制限される。さらに、垂直NANDストリングが形成されるポリシリコン薄膜は、単結晶シリコン基板に形成される従来のNANDストリングよりもチャネル移動度が非常に低く、そのためより高い抵抗率を有し、その結果、従来のNANDストリングの読み出し電流に比べて読み出し電流が低くなる。 FIG. 1A shows three-dimensional vertical NAND strings 111 and 112 according to the prior art. FIG. 1B shows a basic circuit diagram 140 of a three-dimensional vertical NAND string according to the prior art. Specifically, the vertical NAND strings 111 and 112 of FIG. 1A, and their schematics, respectively, are essentially conventional horizontal NAND strings, with 32 or more transistors in series along the surface of the substrate. Rather than connecting, they are oriented by rotating 90 degrees so that they are orthogonal to the substrate. Vertical NAND strings 111 and 112 are thin film transistors (TFTs) connected in series in the form of strings that rise from the substrate like a skyscraper, and each TFT is a storage element and a word wire conductor in an adjacent stack of word wire conductors. It has a control gate provided by one. As shown in FIG. 1B, in the simplest embodiment of the vertical NAND string, the TFTs 15 and 16 are the first and last memory transistors of the NAND string 150 controlled by separate word lines WL0 and WL31, respectively. The bit line selection transistor 11 activated by the signal BLS and the ground selection transistor 12 activated by the signal SS address in the vertical NAND string 150 during read, program, program prohibition, and erase operations. The designated TFT is connected to the corresponding global bit line GBL at the terminal 14 and is grounded to the global source line (GSL) at the terminal 13. For reading or programming the contents of any one TFT (eg, TFT 17), activate all 32 TFTs in the vertical NAND string 150 to put each TFT in read-disabled and program-disabled state. There is a need. Under such conditions, the number of TFTs that can be provided in the vertical NAND string is limited to 64 or less or 128 or less. In addition, the polysilicon thin films on which the vertical NAND strings are formed have much lower channel mobility than conventional NAND strings formed on single crystal silicon substrates, and thus have higher resistivity, resulting in conventional conventional NAND strings. The read current is lower than the read current of the NAND string.

「垂直構造半導体メモリデバイス及びその製造方法」なる標題の米国特許出願公開第2011/0298013号明細書(特許文献6)(Hwang)には、3次元垂直NANDストリングが開示されている。この特許文献6の図4Dには、ラップアラウンドスタックワード線(図1Cの150として本明細書に再掲されている)によってアドレス指定される3次元垂直NANDストリングのブロックが図示されている(本明細書において、図1Cとして再掲する)。 A three-dimensional vertical NAND string is disclosed in US Patent Application Publication No. 2011/0298013 (Patent Document 6) (Hwang) entitled "Vertical Structure Semiconductor Memory Device and Method for Manufacturing". FIG. 4D of Patent Document 6 illustrates a block of three-dimensional vertical NAND strings addressed by a wraparound stack word line (reposted herein as 150 in FIG. 1C). Reprinted as Figure 1C in the book).

1996年7月23日に出願され、1998年6月16日に公開された、Eitanによる「非対称電荷トラップを利用したメモリセル」なる標題の米国特許第5、768、192号明細書(特許文献7)には、本発明の一実施形態で使用されるタイプのNROM型メモリトランジスタ動作が開示されている。 US Pat. Nos. 5,768,192, filed July 23, 1996 and published June 16, 1998, entitled "Memory Cell Utilizing Asymmetric Charge Traps" by Eitan. 7) discloses the type of NROM type memory transistor operation used in one embodiment of the present invention.

2010年10月11日に出願され、2011年9月27日に公開された、Zvi Or−Bachらによる「非対称電荷トラップを利用したメモリセル」なる標題の米国特許第8、026、521号明細書(特許文献8)には、第1の層及び第2の層が水平に配向されたトランジスタを含む、層転写された単結晶シリコンの第1の層及び第2の層が開示されている。この構造では、水平方向に配向されたトランジスタの第2の層が、水平方向に配向されたトランジスタの第1の層を覆い、水平方向に配向されたトランジスタの各グループは側部ゲートを有する。この構造では、水平方向に配向されたトランジスタの第2の層は、水平に配向されたトランジスタの第1の層を覆い、水平方向に配向されたトランジスタの各グループは側部ゲートを有する。 US Pat. No. 8,026,521, entitled "Memory Cell Utilizing Asymmetric Charge Traps" by Zvi Or-Bach et al., Filed October 11, 2010 and published September 27, 2011. The document (Patent Document 8) discloses a first layer and a second layer of layer-transferred single crystal silicon, which comprises a transistor in which the first layer and the second layer are horizontally oriented. .. In this structure, a second layer of horizontally oriented transistors covers a first layer of horizontally oriented transistors, and each group of horizontally oriented transistors has a side gate. In this structure, a second layer of horizontally oriented transistors covers a first layer of horizontally oriented transistors, and each group of horizontally oriented transistors has a side gate.

従来の不揮発性メモリトランジスタ構造を有するが、データ保持時間が短いトランジスタは、「準揮発性(quasi-volatile)」と呼ぶことができる。これに関連して、従来の不揮発性メモリのデータ保持時間は、数十年を超える。単結晶シリコン基板上の平坦な準揮発性メモリトランジスタが、H.C. Wann及びC.Huによる論文「ダイナミックメモリ用途のためのモノデバイス構造における高耐久性極薄トンネル酸化物」(IEEE Electron Device letters, Vol. 16, No. 11, November 1995, pp 491-493)(非特許文献3)に開示されている。また、準揮発性メモリを有する準揮発性3−D NORアレイが、上述の米国特許第8、630、114号明細書(特許文献1)に開示されている。 A transistor having a conventional non-volatile memory transistor structure but having a short data retention time can be called "quasi-volatile". In this regard, the data retention time of conventional non-volatile memory exceeds several decades. A flat semi-volatile memory transistor on a single crystal silicon substrate can be found in H. et al. C. Wann and C.I. Hu's paper "Highly durable ultra-thin tunnel oxide in monodevice structure for dynamic memory applications" (IEEE Electron Device letters, Vol. 16, No. 11, November 1995, pp 491-493) (Non-Patent Document 3) ). Further, a quasi-volatile 3-D NOR array having a quasi-volatile memory is disclosed in the above-mentioned US Pat. No. 8,630,114 (Patent Document 1).

T.Tanakaらによる論文「768Gb 3b/セル3DフローティングゲートNANDフラッシュメモリ」(the Digest of Technical Papers, the 2016 IEEE International Solid-State Circuits Conference, pp. 142-144)(非特許文献4)には、3次元NANDメモリアレイの真下にCMOS論理回路を配置することが開示されている。 T. The paper "768Gb 3b / cell 3D floating gate NAND flash memory" by Tanaka et al. (The Digest of Technical Papers, the 2016 IEEE International Solid-State Circuits Conference, pp. 142-144) (Non-Patent Document 4) has three dimensions. It is disclosed that a CMOS logic circuit is arranged directly under the NAND memory array.

米国特許第8、630、114号明細書U.S. Pat. Nos. 8,630,114 米国特許出願公開第2016/0086970号明細書U.S. Patent Application Publication No. 2016/0086970 米国特許第8、878、278号明細書U.S. Pat. No. 8,878,278 米国特許第7、005、350号明細書U.S. Pat. No. 7,005,350 米国特許第7、612、411号明細書U.S. Pat. Nos. 7,612,411 米国特許出願公開第2011/0298013号明細書U.S. Patent Application Publication No. 2011/0298013 米国特許第5、768、192号明細書U.S. Pat. No. 5,768,192 米国特許第8、026、521号明細書U.S. Pat. No. 8,026,521

W. Kim et al., "Multi-layered Vertical gate NAND Flash Overcoming Stacking Limit for Terabit Density Storage", 2009 Symposium on VLSI Tech. Dig. of Technical Papers, pp 188-189W. Kim et al., "Multi-layered Vertical gate NAND Flash Overcoming Stacking Limit for Terabit Density Storage", 2009 Symposium on VLSI Tech. Dig. Of Technical Papers, pp 188-189 H.T. Lue et al., "A Highly Scalable 8- Layer 3D Vertical-gate (VG) TFT NAND Flash Using Junction-Free Buried Channel BE-SONOS Device", 2010 Symposium on VLSI: Tech. Dig. Of Technical Papers, pp.131-13HT Lue et al., "A Highly Scalable 8-Layer 3D Vertical-gate (VG) TFT NAND Flash Using Junction-Free Buried Channel BE-SONOS Device", 2010 Symposium on VLSI: Tech. Dig. Of Technical Papers, pp. 131-13 H.C. Wann and C.Hu, "High-Endurance Ultra-Thin Tunnel Oxide in Monos Device Structure for Dynamic Memory Application", IEEE Electron Device letters, Vol. 16, No. 11, November 1995, pp 491-493H.C. Wann and C.Hu, "High-Endurance Ultra-Thin Tunnel Oxide in Monos Device Structure for Dynamic Memory Application", IEEE Electron Device letters, Vol. 16, No. 11, November 1995, pp 491-493 T. Tanaka et al., "A 768 Gb 3b/cell 3D-Floating-Gate NAND Flash Memory", Digest of Technical Papers, the 2016 IEEE International Solid-State Circuits Conference, pp. 142-144T. Tanaka et al., "A 768 Gb 3b / cell 3D-Floating-Gate NAND Flash Memory", Digest of Technical Papers, the 2016 IEEE International Solid-State Circuits Conference, pp. 142-144

本発明の一実施形態によれば、3次元垂直NORフラッシュメモリストリング(「マルチゲート垂直NORストリング」、または単に「垂直NORストリング」)と呼ばれる高密度メモリ構造体が提供される。垂直NORストリングは、並列に接続された複数の薄膜トランジスタ(「TFT」)を含み、TFTは、それぞれ略垂直方向に延在する共通ソース領域及び共通ドレイン領域を有する。加えて、垂直NORストリングは、それぞれ垂直NORストリングの各TFTを制御する複数の水平制御ゲートを含む。垂直NORストリングのTFTは並列に接続されるので、垂直NORストリングにおける読み出し電流は、TFTが同数のNANDストリングにおける読み出し電流よりもはるかに小さい抵抗で導通する。垂直NORストリング内のTFTのいずれか1つの読み出しまたはプログラムを行うためには、そのTFTのみをアクティブ化するだけでよく、垂直NORストリング内の他の全てのTFTは非導通のままでよい。その結果として、垂直NORストリングは、より高速なセンシングを可能にし、プログラムディスターブ状態または読み出しディスターブ状態を最小限に抑えながら、はるかに多くの(例えば、数百またはそれ以上)TFTを含むことができる。 According to one embodiment of the present invention, a high density memory structure called a three-dimensional vertical NOR flash memory string (“multi-gate vertical NOR string”, or simply “vertical NOR string”) is provided. The vertical NOR string includes a plurality of thin film transistors (“TFTs”) connected in parallel, each of which has a common source region and a common drain region extending in a substantially vertical direction. In addition, the vertical NOR string includes a plurality of horizontal control gates, each controlling each TFT of the vertical NOR string. Since the TFTs of the vertical NOR string are connected in parallel, the read current in the vertical NOR string is conducted with a resistance much smaller than the read current in the same number of NAND strings. To read or program any one of the TFTs in the vertical NOR string, only that TFT needs to be activated and all other TFTs in the vertical NOR string may remain non-conducting. As a result, the vertical NOR string can contain much more (eg, hundreds or more) TFTs, allowing faster sensing and minimizing program or read disturb states. ..

一実施形態では、垂直NORストリングの共有ドレイン領域はグローバルビット線(「電圧Vbl」)に接続され、垂直NORストリングの共有ソース領域はグローバルソース線(「電圧Vss」)に接続される。あるいは、第2の実施形態では、共有ドレイン領域のみが供給電圧にバイアスされたグローバルビット線に接続され、共有ソース領域は、共有ソース領域内の電荷量によって決定される電圧にプリチャージされる。プリチャージを行うために、共有ソース領域の寄生容量Cをプリチャージする1以上の専用TFTを設けてもよい。 In one embodiment, the shared drain region of the vertical NOR string is connected to the global bit line (“voltage V bl ”) and the shared source region of the vertical NOR string is connected to the global source line (“voltage V ss ”). Alternatively, in the second embodiment, only the shared drain region is connected to the global bit line biased to the supply voltage, and the shared source region is precharged to a voltage determined by the amount of charge in the shared source region. In order to perform precharging, one or more dedicated TFTs that precharge the parasitic capacitance C in the shared source region may be provided.

本発明の一実施形態によれば、マルチゲートNORフラッシュ薄膜トランジスタアレイ(「マルチゲートNORストリングアレイ」)は、シリコン基板の表面に対して垂直に延在する垂直NORストリングのアレイとして構成される。各マルチゲートNORストリングアレイは、第1の水平方向に沿って延びる各行をなすように配列された多数の垂直アクティブ列を含む。各アクティブ列は、第1の導電型に高濃度ドープされた2つの垂直ポリシリコン領域を有し、この2つの垂直ポリシリコン領域は、ドープされていないかまたは第2の導電型に低濃度ドープされた1以上の垂直ポリシリコン領域によって互いに絶縁される。高濃度ドープされた領域はそれぞれ、共有ソース領域または共有ドレイン領域を形成する。また、低濃度ドープされた領域はそれぞれ、第1の水平方向に対して直交して延在する1以上の水平導体のスタックと協働して、複数のチャネル領域を形成する。電荷トラップ材料は、アクティブ列内のTFTの少なくともチャネル領域を覆う記憶素子を形成する。各スタック内の水平導電線は、互いに電気的に絶縁されており、アクティブ列の記憶素子及びチャネル領域上に制御ゲートを形成する。このようにして、マルチゲートNORストリングアレイは、ストレージTFTの3次元アレイを形成する。 According to one embodiment of the present invention, a multi-gate NOR flash thin film transistor array (“multi-gate NOR string array”) is configured as an array of vertical NOR strings extending perpendicular to the surface of a silicon substrate. Each multi-gate NOR string array contains a number of vertically active columns arranged to form each row extending along the first horizontal direction. Each active row has two vertical polysilicon regions heavily doped in the first conductive type, the two vertical polysilicon regions being undoped or low-concentrated doped in the second conductive type. Insulated from each other by one or more vertical polysilicon regions. The highly doped regions form a shared source region or a shared drain region, respectively. Also, each of the low concentration doped regions cooperates with a stack of one or more horizontal conductors extending orthogonally to the first horizontal direction to form a plurality of channel regions. The charge trap material forms a storage element that covers at least the channel region of the TFT in the active row. The horizontal conductors in each stack are electrically isolated from each other, forming a control gate on the storage element and channel region of the active row. In this way, the multi-gate NOR string array forms a three-dimensional array of storage TFTs.

一実施形態では、サポート回路が半導体基板に形成され、サポート回路及び半導体基板の上方に形成された複数のマルチゲートNORストリングアレイをサポートする。サポート回路としては、アドレスエンコーダ、アドレスデコーダ、センスアンプ、入力/出力ドライバ、シフトレジスタ、ラッチ、基準セル、電源線、バイアス及び基準電圧発生器、インバータ、NAND、NOR、排他的論理和及び他の論理ゲート、他のメモリ素子、シーケンサ、並びに、状態機械などが挙げられる。マルチゲートNORストリングアレイは、各ブロックが複数のマルチゲートNORストリングアレイを有する複数ブロックの回路として構成することができる。 In one embodiment, the support circuit is formed on the semiconductor substrate to support the support circuit and a plurality of multi-gate NOR string arrays formed above the semiconductor substrate. Support circuits include address encoders, address decoders, sense amplifiers, input / output drivers, shift registers, latches, reference cells, power lines, bias and reference voltage generators, inverters, NAND, NOR, exclusive OR and other. Examples include logic gates, other memory elements, sequencers, and state machines. The multi-gate NOR string array can be configured as a multi-block circuit in which each block has a plurality of multi-gate NOR string arrays.

本発明の実施形態によれば、垂直NORストリング内のTFTの閾値電圧の変動は、同一または別のマルチゲート垂直NORストリングアレイ内に、1以上の電気的にプログラム可能な基準垂直NORストリングを設けることによって補償することができる。垂直NORストリングに固有のバックグラウンドリーク電流は、読み出し中のTFTの結果を、プログラム可能な基準垂直NORストリングで同時に読み出されるTFTの結果と比較することによって、読み出し動作中に実質的に無効化することができる。いくつかの実施形態では、垂直NORストリングの各TFTは、各制御ゲートとそれに対応するチャネル領域との間の容量結合を増大させ、それによって、プログラム中のチャネル領域から電荷トラップ材料(すなわち、記憶素子)へのトンネリングを増強させ、消去中の制御ゲートから電荷トラップ材料への電荷注入を減少させるように形成される。この好ましい容量結合は、垂直NORストリングの各TFTに1ビット以上記憶させるのに特に有用である。別の実施形態では、各TFTの電荷トラップ材料は、データ保持時間が短くなり記憶されたデータのリフレッシュが必要となるが、高い書き込み/消去サイクル耐久性を提供するようにその構造を改変してもよい。しかしながら、垂直NORストリングアレイに必要とされるリフレッシュは、従来のダイナミックランダムアクセスメモリ(DRAM)におけるリフレッシュよりも頻度がはるかに少なくなると予想されるので、本発明のマルチゲートNORストリングアレイは、いくつかのDRAMアプリケーションにおいても動作することができる。このような垂直NORストリングを使用することにより、従来のDRAMと比較して大幅に低いビット当たりコストのフィギュアオブメリット、及び、従来のNANDストリングアレイと比較して大幅に小さい読み出しレイテンシが可能になる。 According to embodiments of the present invention, fluctuations in the threshold voltage of a TFT within a vertical NOR string provide one or more electrically programmable reference vertical NOR strings in the same or different multi-gate vertical NOR string arrays. It can be compensated by. The background leak current inherent in the vertical NOR string effectively nullifies the result of the TFT being read during the read operation by comparing the result of the TFT being read simultaneously with the programmable reference vertical NOR string. be able to. In some embodiments, each TFT in the vertical NOR string increases the capacitive coupling between each control gate and its corresponding channel region, thereby increasing the charge trap material (ie, storage) from the channel region in the program. It is formed to enhance tunneling to the element) and reduce charge injection from the erasing control gate into the charge trap material. This preferred capacitive coupling is particularly useful for storing one or more bits in each TFT of the vertical NOR string. In another embodiment, the charge trap material of each TFT has a modified structure to provide high write / erase cycle durability, although the data retention time is short and the stored data needs to be refreshed. May be good. However, the refreshes required for vertical NOR string arrays are expected to be much less frequent than refreshes in conventional dynamic random access memory (DRAM), so some multi-gate NOR string arrays of the invention are available. It can also work in the DRAM application of. By using such a vertical NOR string, it is possible to achieve a figure of merit with a significantly lower cost per bit compared to a conventional DRAM, and a significantly smaller read latency than a conventional NAND string array. ..

別の実施形態では、垂直NORストリングは、NROM/ミラービットTFTストリングとして、プログラム、消去、及び読み出しを行うことができる。 In another embodiment, the vertical NOR string can be programmed, erased, and read as an NROM / mirror bit TFT string.

TFTを、従来技術の垂直NANDストリングではなく、垂直NORストリングとして構成することにより、(i)ダイナミックランダムアクセスメモリ(DRAM)アレイのレイテンシに近づけることができる読み出しレイテンシの低減、(ii)長いNANDフラッシュストリングに関連する読み出しディスターブ状態及びプログラムディスターブ状態から受ける影響の低減、並びに、(iii)NANDフラッシュストリングと比較して、ビット当たりのコストの低減、という効果が得られる。 By configuring the TFT as a vertical NOR string instead of a prior art vertical NAND string, (i) a reduction in read latency that can approach the latency of a dynamic random access memory (DRAM) array, (ii) a long NAND flash. The effect of reducing the influence of the read and program disturb states associated with the string and reducing the cost per bit as compared to the (iii) NAND flash string can be obtained.

本発明の別の実施形態によれば、メモリ構造体内の各アクティブ列は、1以上の垂直NORストリングを含み、各NORストリングは、ローカルソース線及びローカルビット線を共有する薄膜ストレージトランジスタを有し、ローカルビット線は、セグメント化されたグローバルビット線の1つのセグメントによって、半導体基板に設けられたセンスアンプに接続される。読み出しセンスレイテンシを大幅に低減させるために、かなりの長い距離(例えば、チップの全長からその半分の長さまでの範囲)にわたって延びる単一のグローバルビット線ではなく、複数のより短いグローバルビット線セグメントが提供される。このような各グローバルセグメントは、1以上の隣接するローカルビット線を、グメントコネクタを介して、半導体基板に設けられたセグメントセンスアンプに接続する。ローカルソース線が仮想接地電圧(例えば、Vss)にプリチャージされる実施形態では、隣接するローカルソース線のグループを1つのローカルソース線セグメントに接続する短いグローバルソース線セグメントコネクタを設けることによって、仮想接地の寄生容量が大幅に増加する。セグメントに含まれるローカルソース線の数によって、合成寄生容量(C)が決定される。 According to another embodiment of the invention, each active row in the memory structure comprises one or more vertical NOR strings, each NOR string having a thin film storage transistor that shares a local source line and a local bit line. , The local bit line is connected to the sense amplifier provided on the semiconductor substrate by one segment of the segmented global bit line. To significantly reduce read sense latency, multiple shorter global bit line segments are used instead of a single global bit line extending over a fairly long distance (eg, from the full length of the chip to half its length). Provided. Each such global segment connects one or more adjacent local bit lines to a segment sense amplifier provided on a semiconductor substrate via a gment connector. Local source line virtual ground voltage (e.g., V ss) In the embodiment precharged to, by providing a short global source line segments connector for connecting a group of local source lines adjacent to one local source line segments, The parasitic capacitance of virtual ground is greatly increased. The synthetic parasitic capacitance (C) is determined by the number of local source lines contained in the segment.

本発明は、添付の図面と併せて、以下の詳細な説明を参照することにより、より良く理解できるであろう。 The present invention may be better understood by reference to the following detailed description in conjunction with the accompanying drawings.

図1Aは、従来技術による3次元垂直NANDストリング111及び112を示す図である。FIG. 1A is a diagram showing three-dimensional vertical NAND strings 111 and 112 according to the prior art. 図1Bは、従来技術による3次元垂直NANDストリングの基本回路図140を示す図である。FIG. 1B is a diagram showing a basic circuit diagram 140 of a three-dimensional vertical NAND string according to the prior art. 図1Cは、ラップアラウンドスタックワード線150によってアドレス指定される3次元垂直NANDストリングのブロックの3次元構造を示す図である。FIG. 1C is a diagram showing a three-dimensional structure of a block of three-dimensional vertical NAND strings addressed by the wraparound stack word line 150. 図2は、概念化されたメモリ構造体100を示す図であり、本発明の一実施形態による、垂直NORストリングの形態で設けられたメモリセルの3次元構造を示す。各垂直NORストリングは、多数の水平ワード線のうちの1つによって制御されるメモリセルを有する。FIG. 2 is a diagram showing a conceptualized memory structure 100, and shows a three-dimensional structure of a memory cell provided in the form of a vertical NOR string according to an embodiment of the present invention. Each vertical NOR string has a memory cell controlled by one of a number of horizontal word lines. 図3Aは、本発明の一実施形態による、アクティブ列に形成された垂直NORストリング300のZ−Y平面の基本回路図である。垂直NORストリング300は、不揮発性ストレージTFTの3次元アレイ(配列)を表し、各TFTは、ローカルソース線(LSL)355及びローカルビット線(LBL)354を共有し、グローバルビット線(GBL)314及びグローバルソース線(GSL)313によってそれぞれアクセスされる。FIG. 3A is a basic circuit diagram of a ZZ plane of a vertical NOR string 300 formed in an active row according to an embodiment of the present invention. The vertical NOR string 300 represents a three-dimensional array of non-volatile storage TFTs, each TFT sharing a local source line (LSL) 355 and a local bit line (LBL) 354 and a global bit line (GBL) 314. And accessed by global source line (GSL) 313, respectively. 図3Bは、本発明の一実施形態による、アクティブ列に形成された垂直NORストリング305のZ−Y平面の基本回路図である。垂直NORストリング305は、不揮発性ストレージTFTの3次元アレイ(配列)を表し、寄生キャパシタCを有する共有ローカルソース線355を所定の電圧(「Vss」)に設定するための専用プリチャージTFT370を含む。FIG. 3B is a basic circuit diagram of a ZZ plane of a vertical NOR string 305 formed in an active row according to an embodiment of the present invention. Vertical NOR string 305 represents a three-dimensional array of non-volatile storage TFT (SEQ), a dedicated pre-charge TFT370 for setting the shared local source line 355 to a predetermined voltage ( "V ss") having a parasitic capacitor C Including. 図3Cは、1以上のプログラムされた閾値電圧を有し、寄生キャパシタ360に接続された動的不揮発性ストレージトランジスタ317の基本回路図である。キャパシタ360は、ソース端子(ソース線)355が仮想電圧Vssを一時的に保持するようにプリチャージされ、これにより、制御ゲート323pの電圧が閾値電圧を超えた電圧まで上昇したときに、電圧Vssの放電によってトランジスタ317の閾値電圧を動的に検出することが可能になる。FIG. 3C is a basic circuit diagram of a dynamic non-volatile storage transistor 317 having one or more programmed threshold voltages and connected to a parasitic capacitor 360. The capacitor 360 is precharged so that the source terminal (source line) 355 temporarily holds the virtual voltage V ss , whereby the voltage when the voltage of the control gate 323p rises to a voltage exceeding the threshold voltage. it is possible to dynamically detect the threshold voltage of the transistor 317 by the discharge of the V ss. 図3Dは、図3Aの実施形態における垂直NORメモリアレイ回路アーキテクチャの変形例を示す。この変形例では、グローバルビット線(GBL)314は、ビット線セグメントMSBL、MSBL、・・・に置き換えられ、各々は、複数の隣接するローカル垂直ビット線374−1、374−2、・・・を接続する。セグメントは、次に、セグメント選択薄膜トランジスタ586−1、・・・、586Nを介して、領域ビット線セグメントSGBL、SGBL、・・・に接続される。領域ビット線セグメントはそれぞれ、複数のビット線セグメントに関連付けられ、誘電体(絶縁層)393によって、それらの下方のシリコン基板310に設けられたセンスアンプ及び他の回路から絶縁される。FIG. 3D shows a modified example of the vertical NOR memory array circuit architecture in the embodiment of FIG. 3A. In this variant, the global bit line (GBL) 314 is replaced by bit line segments MSBL 1 , MSBL 2 , ..., Each of which is a plurality of adjacent local vertical bit lines 374-1, 374-2, ...・ ・ Connect. The segment is then connected to the region bit line segments SGBL 1 , SGBL 2 , ... Via the segment selection thin film transistors 586-1, ..., 586N. Each region bit line segment is associated with a plurality of bit line segments and is insulated by a dielectric (insulating layer) 393 from the sense amplifier and other circuits provided on the silicon substrate 310 below them. 図3Eは、図3Dの実施形態の回路アーキテクチャの変形例を示す。この変形例では、グローバルソース選択線313は、ソース選択トランジスタSLS1を介して、ソース線セグメントMSSLに関連する隣接する垂直ローカルソース線375−1、375−2、・・・のグループにアクセスする。FIG. 3E shows a modified example of the circuit architecture of the embodiment of FIG. 3D. In this variant, the global source selection line 313 accesses a group of adjacent vertical local source lines 375-1, 375-2, etc. associated with the source line segment MSSL 1 via the source selection transistor SLS1. .. 図3Fは、図3Eの実施形態の回路アーキテクチャの変形例を示す。この変形例では、グローバルソース線313は、除去され、垂直ローカルソース線375−1、375−2、・・・を接続するローカルソース線セグメントMSSLに置き換えられる。垂直ローカルソース線375−1、375−2、・・・は、プリチャージトランジスタ(例えば、プリチャージトランジスタ370)を介して、仮想接地電圧VSSにチャージされ保持される。FIG. 3F shows a modified example of the circuit architecture of the embodiment of FIG. 3E. In this variant, the global source line 313 is removed and replaced with the local source line segment MSSL 1 connecting the vertical local source lines 375-1, 375-2, .... Vertical local source lines 375-1,375-2, ... are the precharge transistors (e.g., pre-charge transistor 370) through a, are charged to the virtual ground voltage V SS is maintained. 図3Gは、図3Fの実施形態の回路アーキテクチャの変形例を示す。この変形例では、ローカルビット線セグメントSGBL、SGBL、・・・は、ビット線セグメントMSBL、MSBL、・・・と結合され、ビア322を介して、基板に設けられたセグメント選択トランジスタ315−1、315−2・・・に接続される(これにより、図3Dのセグメント選択薄膜トランジスタ586−1、586−2、・・・に取って代わる)。FIG. 3G shows a modified example of the circuit architecture of the embodiment of FIG. 3F. In this modification, the local bit line segments SGBL 1 , SGBL 2 , ... Are coupled to the bit line segments MSBL 1 , MSBL 2 , ..., And a segment selection transistor provided on the substrate via the via 322. It is connected to 315-1, 315-2 ... (This replaces the segment-selected thin film transistors 586-1, 586-2, ... In FIG. 3D). 図3Hは、図3Gの実施形態の回路アーキテクチャの変形例を示す。この変形例では、互いに隣接する2つのビット線セグメントMSBL、MSBLは、2つのビット線セグメント間のBL0という符号が付された空間に形成された専用の垂直アクティブ列381を介して基板310と接続された、それらのローカルソース線セグメントMSSL、MSSLを有する。FIG. 3H shows a modified example of the circuit architecture of the embodiment of FIG. 3G. In this modification, the two bit line segments MSBL 1 and MSBL 2 adjacent to each other are connected to the substrate 310 via a dedicated vertical active column 381 formed in the space labeled BL0 between the two bit line segments. It has their local source line segments MSSL 1 and MSSL 2 connected to. 図3I及び3I−1(3I及び3I−1へのキー)は、図3Hの実施形態の上部X−Y平面図を示し、ソースセグメントMSSL内の各垂直ローカルソース線は、アクティブ列381を介して供給される電圧VSSまたはVblに保持される。3I and 3I-1 (keys to 3I and 3I-1) show the upper XY plan view of the embodiment of FIG. 3H, where each vertical local source line in the source segment MSSL 1 has an active column 381. It is held at the voltage V SS or V bl supplied through it. 図3I及び3I−1(3I及び3I−1へのキー)は、図3Hの実施形態の上部X−Y平面図を示し、ソースセグメントMSSL内の各垂直ローカルソース線は、アクティブ列381を介して供給される電圧VSSまたはVblに保持される。3I and 3I-1 (keys to 3I and 3I-1) show the upper XY plan view of the embodiment of FIG. 3H, where each vertical local source line in the source segment MSSL 1 has an active column 381. It is held at the voltage V SS or V bl supplied through it. 図4Aは、本発明の一実施形態による、Z−Y平面の断面図であり、各々が図3Aまたは図3Bのいずれかに示した基本回路図を有する垂直NORストリングを形成することができる、互いに並列に配置されたアクティブ列431及びアクティブ列432を示す。FIG. 4A is a cross-sectional view of a ZZ plane according to an embodiment of the present invention, each capable of forming a vertical NOR string having the basic schematic shown in either FIG. 3A or FIG. 3B. The active columns 431 and the active columns 432 arranged in parallel with each other are shown. 図4A−1は、図4Aの垂直NORストリングの上面図であり、垂直ローカルソース線またはドレイン線の導電性を増強するべく、ローカルソース線またはドレイン線のピラーのコアは金属材料420(M)を含有している。FIG. 4A-1 is a top view of the vertical NOR string of FIG. 4A, in which the core of the pillar of the local source line or drain line is made of metal material 420 (M) in order to enhance the conductivity of the vertical local source line or drain line. Contains. 図4Bは、本発明の一実施形態による、Z−X平面の断面図であり、アクティブ列430R、430L、431R及び431L、電荷トラップ層432及び434、並びに、ワード線スタック423p−L及び423p−Rを示す。FIG. 4B is a cross-sectional view of the ZX plane according to an embodiment of the present invention, with active columns 430R, 430L, 431R and 431L, charge trap layers 432 and 434, and word line stacks 423p-L and 423p-. Indicates R. 図4Cは、本発明の一実施形態による、垂直NORストリング対491及び492のZ−X平面における基本回路図である。FIG. 4C is a basic circuit diagram of vertical NOR strings pairs 491 and 492 in the ZX plane according to an embodiment of the present invention. 図5Aは、本発明の一実施形態による、Z−Y平面の断面図であり、アクティブ列531の垂直NORストリングと、グローバルビット線514−1(GBL)、グローバルソース線507(GSL)、及び共通ボディバイアスソース506(Vbb)との間の接続を示す。FIG. 5A is a cross-sectional view of a ZZ plane according to an embodiment of the present invention, in which the vertical NOR string of the active column 531, the global bit line 514-1 (GBL 1 ), and the global source line 507 (GSL 1 ). , And the connection with the common body bias source 506 (V bb). 図5Bは、本発明の一実施形態による、Z−Y平面の断面図であり、例えばP+ポリシリコンから誘電体層592内に形成された導電性ピラー591を介した、本体領域556(P−チャネル材料を提供する)と、アクティブ列581の上方に設けられ、ワード線に対して平行に延在する導体590との間の接続を示す。導体590は、基板505内の電圧源594から、誘電絶縁体509を貫通して形成された開口部内に設けられたビア593を介して、ボディバイアス電圧Vbbを受け取る。FIG. 5B is a cross-sectional view of a ZZ plane according to an embodiment of the present invention, for example, a main body region 556 (P-) via a conductive pillar 591 formed from P + polysilicon in a dielectric layer 592. It provides a channel material) and shows the connection between the conductor 590, which is provided above the active row 581 and extends parallel to the word line. The conductor 590 receives the body bias voltage V bb from the voltage source 594 in the substrate 505 via the via 593 provided in the opening formed through the dielectric insulator 509. 図6Aは、本発明の一実施形態による、X−Y平面の断面図であり、図4Cに関連して説明したように、垂直NORストリング451aのTFT685(T)、及び垂直NORストリング対491の垂直NORストリング451bのTFT684(T)を示す。図6Aでは、グローバルビット線614−1は、ローカルビット線LBL−1に対して一つおきにアクセスし、トランジスタチャネル領域656Lの所定の湾曲部675によって、プログラム中の各制御ゲートと対応するチャネルとの間の容量結合が増幅される。FIG. 6A is a cross-sectional view of the XY plane according to an embodiment of the present invention, the TFT 685 ( TL ) of the vertical NOR string 451a and the vertical NOR string pair 491, as described in connection with FIG. 4C. show TFT684 the (T R) of the vertical NOR string 451b of. In FIG. 6A, the global bit line 614-1 accesses every other local bit line LBL-1 and has a channel corresponding to each control gate in the program by a predetermined curved portion 675 of the transistor channel region 656L. Capacitive coupling between and is amplified. 図6Bは、本発明の一実施形態による、X−Y平面の断面図であり、図4Cに関連して説明したように、垂直NORストリング対491の垂直NORストリング451bのTFT684(T)、及びアクティブ領域を共有する垂直NORストリング451aのTFT685(T)を示す。図6Bでは、グローバルビット線614−1は、ローカルビット線654(LBL−1)に対して一つおき(奇数番目)にアクセスし、グローバルビット線614−2は、ローカルビット線657−2(LBL−2)に対して一つおき(偶数番目)にアドレス指定し、ローカルソース線LSL−1及びLSL−2は、仮想電源電圧Vssを供給するためにプリチャージされる。Figure 6B, according to one embodiment of the present invention, a cross-sectional view of the X-Y plane, as described in relation to FIG. 4C, the vertical NOR string 451b of vertical NOR string pairs 491 TFT684 (T R), And the TFT 685 (TL ) of the vertical NOR string 451a that shares the active region. In FIG. 6B, the global bit line 614-1 accesses every other (odd number) with respect to the local bit line 654 (LBL-1), and the global bit line 614-2 is the local bit line 657-2 (the odd number). Every other (even number) address is specified for LBL-2), and the local source lines LSL-1 and LSL-2 are precharged to supply the virtual power supply voltage V ss. 図6Cは、本発明の一実施形態による、X−Y平面の断面図であり、各々がワード線群を含む専用ワード線スタック623pと、(ピラーすなわち柱状部である)ローカル垂直ピラービット線654(Z方向に沿って延びる)及びローカル垂直ピラーソース線655(Z方向に沿って延びる)とを示す。専用ワード線スタック623pのワード線群の各ワード線は、垂直NORストリングのTFTを包み込む(「ラップアラウンド」する)ように延在し、ローカル垂直ピラービット線654及びローカル垂直ピラーソース線655はそれぞれ、グローバル水平ビット線614及びグローバル水平ソース線615によってアクセスされる。図6Cでは、互いに隣接するワード線スタック623pは、エアギャップ610または別の誘電絶縁体によって、互いに絶縁される。FIG. 6C is a cross-sectional view of an XY plane according to an embodiment of the present invention, each containing a dedicated word line stack 623p and a local vertical pillar bit line (pillar or columnar portion) 654. (Extended along the Z direction) and local vertical pillar source line 655 (extended along the Z direction). Each word line of the word line group of the dedicated word line stack 623p extends so as to wrap (“wrap around”) the TFT of the vertical NOR string, and the local vertical pillar bit line 654 and the local vertical pillar source line 655 are respectively. , Accessed by the global horizontal bit line 614 and the global horizontal source line 615. In FIG. 6C, adjacent wordline stacks 623p are insulated from each other by an air gap 610 or another dielectric insulator. 図6Dは、本発明の一実施形態による、X−Y平面の断面図であり、垂直NORストリングが千鳥状に最密充填された形態を示す。垂直NORストリングは、図6Cに示した場合と同様に、ワード線スタック623pを共有し、プリチャージされた寄生キャパシタ660の各々が、プリチャージされた仮想供給電圧Vssを供給する。FIG. 6D is a cross-sectional view of an XY plane according to an embodiment of the present invention, showing a form in which vertical NOR strings are densely packed in a staggered pattern. The vertical NOR strings share the word line stack 623p, as in the case shown in FIG. 6C, and each of the precharged parasitic capacitors 660 supplies a precharged virtual supply voltage V ss . 図6Eは、図6Bに示した実施形態のレイアウトを使用して、アクティブ列の隣接する行におけるボディ領域656(L+R)間で共有されるボディバイアス電圧Vbb(例えば、導体690−1及び690−2を介して)を提供することを示すX−Y平面図である。FIG. 6E uses the layout of the embodiment shown in FIG. 6B to share body bias voltages V bb (eg, conductors 690-1 and 690) between body regions 656 (L + R) in adjacent rows of active columns. It is an XY plan view which shows that (via -2) is provided. 図6Fは、本発明のビット線セグメンテーションスキームに関連する、或る平面(すなわち、或る階段ステップ)上のローカルワード線を接続するためのグローバルワード線の一実施形態を示す。FIG. 6F shows an embodiment of a global word line for connecting local word lines on a plane (ie, a step step), which is related to the bit line segmentation scheme of the present invention. 図6Gは、本発明の一実施形態による、垂直NORストリングメモリアレイの一実施形態を示し、この実施形態では、ストレージトランジスタの層数が垂直方向に2倍になったときに、ワード線階段状ステップによって占有されるシリコン面積が2倍になることを回避することができる。FIG. 6G shows an embodiment of a vertical NOR string memory array according to an embodiment of the present invention. In this embodiment, when the number of layers of storage transistors is doubled in the vertical direction, a word line stepped shape is shown. It is possible to avoid doubling the silicon area occupied by the steps. 図7Aは、本発明の一実施形態による、マルチゲートNORストリングアレイの製造プロセスにおいて作製される中間構造体の断面図である。FIG. 7A is a cross-sectional view of an intermediate structure produced in the process of manufacturing a multi-gate NOR string array according to an embodiment of the present invention. 図7Bは、本発明の一実施形態による、マルチゲートNORストリングアレイの製造プロセスにおいて作製される中間構造体の断面図である。FIG. 7B is a cross-sectional view of an intermediate structure produced in the process of manufacturing a multi-gate NOR string array according to an embodiment of the present invention. 図7Cは、本発明の一実施形態による、マルチゲートNORストリングアレイの製造プロセスにおいて作製される中間構造体の断面図である。FIG. 7C is a cross-sectional view of an intermediate structure produced in the process of manufacturing a multi-gate NOR string array according to an embodiment of the present invention. 図7Dは、本発明の一実施形態による、マルチゲートNORストリングアレイの製造プロセスにおいて作製される中間構造体の断面図である。FIG. 7D is a cross-sectional view of an intermediate structure produced in the process of manufacturing a multi-gate NOR string array according to an embodiment of the present invention. 図7D−1は、X−Y平面の断面図であり、ローカルソース線またはローカルビット線の垂直ピラーのコアに導電性材料720(M)が含有されることを示す。FIG. 7D-1 is a cross-sectional view of the XY plane, showing that the core of the vertical pillar of the local source line or the local bit line contains the conductive material 720 (M). 図8Aは、垂直NORストリングのローカルソース線(LSL)がハードワイヤードされた実施形態における読み出し動作の概略図である。図8Aにおいて、「WL」は選択されたワード線上の電圧を表し、垂直NORストリング内の全ての選択されていないワード線(「WLNS」)は、読み出し動作中は0Vに設定される。FIG. 8A is a schematic diagram of a readout operation in an embodiment in which the local source line (LSL) of the vertical NOR string is hard-wired. In FIG. 8A, “WL s ” represents the voltage on the selected word line and all unselected word lines in the vertical NOR string (“WL NS ”) are set to 0V during the read operation. 図8Bは、ローカルソース線がプリチャージ仮想電圧Vssでフロート状態になる実施形態における読み出し動作の概略図である。図8Bにおいて、「WLCHG」は、プリチャージされたトランジスタ(例えば、図3Cのプリチャージされたトランジスタ317または370)上のゲート電圧を表す。FIG. 8B is a schematic diagram of a read operation in the embodiment in which the local source line is in a float state at the precharge virtual voltage V ss. In FIG. 8B, “WL CHG ” represents the gate voltage on the precharged transistor (eg, the precharged transistor 317 or 370 of FIG. 3C).

図2は、概念化されたメモリ構造体100を示す図であって、垂直NORストリングの形態で設けられたメモリセル(または記憶素子)の3次元構造を示す。本発明の一実施形態によれば、概念化されたこのメモリ構造体100では、各垂直NORストリングは、対応する水平ワード線によってそれぞれ制御されるメモリセルを含む。概念化されたメモリ構造体100では、各メモリセルは、「垂直に」、すなわち、基板層101の表面に対して直交する方向に沿って設けられた堆積薄膜内に形成される。基板層101は、例えば、当業者によく知られている、集積回路を製造するために使用される従来のシリコンウェハであり得る。この詳細な説明では、説明を容易にすることのみを目的として、(図2に示すような)デカルト座標系が採用される。この座標系の下では、基板層101の表面は、X−Y平面に対して平行な平面と見なされる。したがって、本明細書で使用するとき、「水平」という用語は、X−Y平面に対して平行な任意の方向を指し、一方、「垂直」という用語は、Z方向を指す。 FIG. 2 is a diagram showing a conceptualized memory structure 100, showing a three-dimensional structure of a memory cell (or storage element) provided in the form of a vertical NOR string. According to one embodiment of the invention, in this conceptualized memory structure 100, each vertical NOR string comprises a memory cell controlled by a corresponding horizontal word line. In the conceptualized memory structure 100, each memory cell is formed "vertically", i.e., in a deposited thin film provided along a direction orthogonal to the surface of the substrate layer 101. The substrate layer 101 can be, for example, a conventional silicon wafer used for manufacturing integrated circuits, which is well known to those skilled in the art. In this detailed description, a Cartesian coordinate system (as shown in FIG. 2) is employed solely for the purpose of facilitating the description. Under this coordinate system, the surface of the substrate layer 101 is considered to be a plane parallel to the XY plane. Thus, as used herein, the term "horizontal" refers to any direction parallel to the XY plane, while the term "vertical" refers to the Z direction.

図2において、Z方向の各垂直アクティブ列は、垂直NORストリング(例えば、垂直NORストリング121)の記憶素子またはTFTを表す。垂直NORストリングは、X方向に沿ってそれぞれ延びる複数の行をなすように規則的に配列されている(当然ながら、この配列は、Y方向に沿ってそれぞれ延びる行をなす配列として見ることもできる)。垂直NORストリングの記憶素子は、垂直ローカルソース線及び垂直ローカルビット線(図示せず)を共有する。水平ワード線のスタック(例えば、WL123)は、Y方向に沿って延びており、各ワード線は、Y方向に沿ってワード線に隣接して位置する垂直NORストリングの対応するTFTの制御ゲートとして機能する。グローバルソース線(例えば、GSL122)及びグローバルビット線(例えば、GBL124)は、一般に、概念化されたメモリ構造体100の底部の下方または頂部の上方のいずれかを通るX方向に沿って設けられる。代替的に、信号線GSL122及びGBL124は両方とも、概念化されたメモリ構造体100の下方、またはその頂部の上方に配線してもよく、この場合、これら各信号線は、アクセストランジスタ(図示せず)によって、個々の垂直NORストリングのローカルソース線及びローカルビット線に選択的に接続してもよい。従来技術の垂直NANDストリングとは異なり、本発明の垂直NORストリングでは、その記憶素子のいずれか1つに対しする書き込みまたは読み出しは、垂直NORストリング内の他の記憶素子のアクティブ化を伴わない。図2に示すように、説明のみを目的とした例示として、概念化されたメモリブロック(メモリ構造体)100は、垂直NORストリングの4×5配列からなるマルチゲート垂直NORストリングアレイであり、各NORストリングは、通常、32個以上の記憶素子及びアクセス選択トランジスタを有する。概念化された構造体として、メモリブロック(メモリ構造体)100は、単に、本発明のメモリ構造体の或る顕著な特徴を抽象化したものに過ぎない。図2には、各垂直NORストリングが複数の記憶素子を有する垂直NORストリングの4×5配列として示されているが、本発明のメモリ構造体は、X方向及びY方向のいずれかに沿った各行に任意の数の垂直NORストリングを有してもよく、各垂直NORストリングは、任意の数の記憶素子を有してもよい。例として、各NORストリングが、例えば、2、4、8、16、32、64、128またはそれ以上の個数の記憶素子を有する数千の垂直NORストリングを、X方向及びY方向の両方に沿って行をなすように配列してもよい。 In FIG. 2, each vertical active row in the Z direction represents a storage element or TFT of a vertical NOR string (eg, vertical NOR string 121). The vertical NOR strings are regularly arranged to form multiple rows, each extending along the X direction (of course, this arrangement can also be viewed as an array of rows, each extending along the Y direction. ). The storage element of the vertical NOR string shares a vertical local source line and a vertical local bit line (not shown). A stack of horizontal word lines (eg, WL123) extends along the Y direction, and each word line serves as a control gate for the corresponding TFT of a vertical NOR string located adjacent to the word line along the Y direction. Function. Global source lines (eg, GSL122) and global bit lines (eg, GBL124) are generally provided along the X direction through either below the bottom or above the top of the conceptualized memory structure 100. Alternatively, both signal lines GSL122 and GBL124 may be routed below the conceptualized memory structure 100, or above the top thereof, in which case each of these signal lines is an access transistor (not shown). ) May selectively connect to the local source line and local bit line of each vertical NOR string. In the vertical NOR strings of the present invention, unlike the vertical NAND strings of the prior art, writing or reading to any one of the storage elements does not involve activation of the other storage elements in the vertical NOR strings. As shown in FIG. 2, as an example for the purpose of explanation only, the conceptualized memory block (memory structure) 100 is a multi-gate vertical NOR string array composed of a 4 × 5 array of vertical NOR strings, and each NOR is A string typically has 32 or more storage elements and access selection transistors. As a conceptualized structure, the memory block (memory structure) 100 is merely an abstraction of certain salient features of the memory structure of the present invention. Although each vertical NOR string is shown in FIG. 2 as a 4 × 5 array of vertical NOR strings having a plurality of storage elements, the memory structure of the present invention is along either the X direction or the Y direction. Each row may have any number of vertical NOR strings, and each vertical NOR string may have any number of storage elements. As an example, each NOR string has thousands of vertical NOR strings with, for example, 2, 4, 8, 16, 32, 64, 128 or more storage elements along both the X and Y directions. They may be arranged in rows.

図2の各垂直NORストリング(例えば、垂直NORストリング121)の記憶素子の数は、垂直NORストリング制御ゲートを提供するワード線(例えば、WL123)の数に対応する。ワード線は、Y方向に沿ってそれぞれ延びる細長い金属ストリップとして形成される。ワード線は、互いに積み重ねられ、それらの間の誘電体絶縁層によって互いに電気的に絶縁される。各スタック内のワード線の数は、任意の数であってよいが、2の整数乗(すなわち、2のn乗(nは整数))であることが好ましい。ワード線の数に対する2のべき乗の選択は、従来のメモリ設計における慣例に従う。バイナリアドレスをデコードすることによって、アドレス指定可能な各メモリユニットにアクセスすることが慣例である。この慣例的は好みの問題であり、従う必要はない。例えば、本発明の範囲内で、概念化されたメモリ構造体100は、X方向及びY方向の各行に沿って、必ずしも2のn乗(nは任意の整数)ではない数のM個の垂直NORストリングを有することができる。以下に説明するいくつかの実施形態では、2つの垂直NORストリングが垂直ローカルソース線及び垂直ローカルビット線を共有することができるが、2つの垂直NORストリングのそれぞれの記憶要素は、2つの別個のワード線スタックによって制御される。これにより、垂直NORストリングの記憶密度は、実質的に2倍になる。 The number of storage elements in each vertical NOR string (eg, vertical NOR string 121) in FIG. 2 corresponds to the number of word lines (eg, WL123) that provide the vertical NOR string control gate. The word lines are formed as elongated metal strips, each extending along the Y direction. The word wires are stacked on top of each other and electrically insulated from each other by a dielectric insulating layer between them. The number of word lines in each stack may be any number, but is preferably 2 to the power of an integer (ie, 2 to the power of n (n is an integer)). The choice of powers of 2 to the number of word lines follows conventional memory design conventions. It is customary to access each addressable memory unit by decoding the binary address. This convention is a matter of taste and does not need to be followed. For example, within the scope of the present invention, the conceptualized memory structure 100 has a number of M vertical NORs along each row in the X and Y directions, which is not necessarily 2 to the nth power (n is an arbitrary integer). Can have strings. In some embodiments described below, two vertical NOR strings can share a vertical local source line and a vertical local bit line, but each storage element of the two vertical NOR strings is two separate. Controlled by the wordline stack. This effectively doubles the storage density of the vertical NOR string.

図2の概念化されたメモリ構造体100は、単にメモリセルの構成を説明するためにのみ提供されているので、X方向、Y方向、及びZ方向のいずれにおいても特定のスケールで描かれてはいない。 The conceptualized memory structure 100 of FIG. 2 is provided solely to illustrate the configuration of memory cells and should not be drawn on a particular scale in any of the X, Y, and Z directions. Not in.

図3Aは、アクティブ列に形成された垂直NORストリング300のZ−Y平面における基本回路図である。垂直NORストリング300は、不揮発性ストレージTFTの3次元アレイを表し、本発明の一実施形態によれば、各TFTは、ローカルソース線355及びローカルビット線354を共有する。この詳細な説明では、「アクティブ領域」、「アクティブ列」、または「アクティブストリップ」という用語は、アクティブデバイス(例えば、トランジスタまたはダイオード)がその上に形成され得る1以上の半導体材料の領域、列、またはストリップを指す。図3Aに示すように、垂直NORストリング300は、Z方向に沿って延びており、かつ、垂直ローカルソース線355と垂直ローカルドレインすなわちビット線354との間に並列に接続されたTFT316及びTFT317を有している。ビット線354及びソース線355は互いに対して離間しており、それらの間の領域(すなわち、ボディ領域356)は、垂直NORストリング内のTFTに対するチャネル領域を提供する。記憶素子は、チャネル領域356と各水平ワード線323pとの交差部に形成される。ここで、pは、ワード線スタック内のワード線のインデックスである。この例では、pは、0〜31の範囲の任意の値を取り得る。ワード線は、Y方向に沿って延びている。ローカルビット線354は、ビット線アクセス選択トランジスタ311を介して、水平グローバルビット線(GBL)314に接続されている。水平グローバルビット線(GBL)314は、X方向に沿って延びており、ローカルビット線354をアクセスビット線供給電圧Vb1に接続する。ローカルソース線355は、水平グローバルソース線(GSL)313を介して、ソース電源電圧Vssに接続されている。ローカルソース線355とGSL313との間を接続するために、任意選択でソース選択トランジスタ(図3Aでは図示せず)を設けてもよい。任意選択のソース選択トランジスタは、当業者に知られているように、基板(例えば、図2の半導体基板101)に、または基板の上方かつメモリ構造体100の下方に実装可能なソースデコード回路によって制御してもよい。アクティブ列のボディ領域356は、端子331で、基板バイアス電圧Vbbに接続してもよい。基板バイアス電圧Vbbは、例えば、消去動作中に使用することができる。Vbb供給電圧は、マルチゲート垂直NORストリングアレイの全体に印加してもよいし、または、デコード機構を介して垂直NORストリングの1以上の行に対して選択的に印加してもよい。電源電圧Vbbをボディ領域356に接続する線は、ワード線の方向に沿って延びることが好ましい。 FIG. 3A is a basic circuit diagram of the vertical NOR string 300 formed in the active row in the ZZ plane. The vertical NOR string 300 represents a three-dimensional array of non-volatile storage TFTs, and according to one embodiment of the invention, each TFT shares a local source line 355 and a local bit line 354. In this detailed description, the term "active region,""activerow," or "active strip" refers to a region, row of one or more semiconductor materials on which an active device (eg, a transistor or diode) can be formed. , Or refers to a strip. As shown in FIG. 3A, the vertical NOR string 300 extends in the Z direction and has a TFT 316 and a TFT 317 connected in parallel between the vertical local source line 355 and the vertical local drain or bit line 354. Have. The bit line 354 and the source line 355 are separated from each other, and the region between them (ie, the body region 356) provides a channel region for the TFT in the vertical NOR string. The storage element is formed at the intersection of the channel region 356 and each horizontal word line 323p. Where p is the index of the wordline in the wordline stack. In this example, p can take any value in the range 0-31. The word line extends along the Y direction. The local bit line 354 is connected to the horizontal global bit line (GBL) 314 via the bit line access selection transistor 311. The horizontal global bit line (GBL) 314 extends along the X direction and connects the local bit line 354 to the access bit line supply voltage V b1. The local source line 355 is connected to the source power supply voltage V ss via the horizontal global source line (GSL) 313. In order to connect the local source line 355 and the GSL 313, a source selection transistor (not shown in FIG. 3A) may be optionally provided. The optional source selection transistor can be mounted on a substrate (eg, semiconductor substrate 101 in FIG. 2) or above the substrate and below the memory structure 100, as known to those of skill in the art, by means of a source decoding circuit. It may be controlled. The body region 356 of the active row may be connected to the substrate bias voltage V bb at the terminal 331. The substrate bias voltage V bb can be used, for example, during the erasing operation. The V bb supply voltage may be applied to the entire multi-gate vertical NOR string array or may be selectively applied to one or more rows of the vertical NOR string via a decoding mechanism. The line connecting the power supply voltage V bb to the body region 356 preferably extends along the direction of the word line.

図3Bは、アクティブ列に形成された垂直NORストリング305のZ−Y平面における基本回路図である。垂直NORストリング305は、不揮発性ストレージTFTの3次元アレイを表し、本発明の一実施形態によれば、寄生キャパシタC(キャパシタ360によって表される)を有する共有ローカルソース線355上に、所定の電圧(「Vss」)を瞬間的に設定するための専用のプリチャージTFT370を(任意選択で)含む。図3Aの垂直NORストリング300とは異なり、図3Bの垂直NORストリング305はGSL313を実装しておらず、その代わりに、Vssボルトの電圧を一時的に保持する寄生キャパシタ360をプリチャージするプリチャージトランジスタ370を有している。このプリチャージスキーム下では、グローバルソース線(例えば、図3Aのグローバルソース線313)及びそのデコード回路は不要となり、これにより、製造プロセス及び回路レイアウトの両方を単純化し、各垂直NORストリングに対して非常に狭いフットプリントを提供することができる。図3Cは、その通常の記憶機能に加えて、専用のプリチャージトランジスタ370のプリチャージ機能を実行するためにも使用することができる不揮発性ストレージTFT317の構造を強調して示す。TFT317に対する動的な読み出し動作については、TFT317の記憶素子334にプログラムされるいくつかの閾値電圧のうちの正しいものを感知することと関連して後述する。 FIG. 3B is a basic circuit diagram of the vertical NOR string 305 formed in the active row in the ZZ plane. The vertical NOR string 305 represents a three-dimensional array of non-volatile storage TFTs, according to one embodiment of the invention, on a shared local source line 355 having a parasitic capacitor C (represented by capacitor 360). Includes (optionally) a dedicated precharge TFT 370 for instantaneously setting the voltage (“V ss”). Unlike vertical NOR string 300 of FIG. 3A, the vertical NOR string 305 of FIG. 3B does not implement GSL313, instead, the pre-precharging the parasitic capacitor 360 for temporarily holding the voltage V ss bolts It has a charge transistor 370. Under this precharge scheme, the global source line (eg, global source line 313 in FIG. 3A) and its decoding circuit are no longer needed, which simplifies both the manufacturing process and the circuit layout and for each vertical NOR string. It can provide a very narrow footprint. FIG. 3C highlights the structure of the non-volatile storage TFT 317, which can be used to perform the precharge function of the dedicated precharge transistor 370 in addition to its normal storage function. The dynamic read operation with respect to the TFT 317 will be described later in relation to sensing the correct one of several threshold voltages programmed in the storage element 334 of the TFT 317.

図4Aは、本発明の一実施形態による、各々が図3Aまたは図3Bのいずれかに示した基本回路図を有する垂直NORストリングを形成することができる、互いに並列に配置されたアクティブ列431及びアクティブ列432を示すZ−Y平面の断面図である。図4Aに示すように、アクティブ列431及びアクティブ列432はそれぞれ、低濃度P−ドープまたは非ドープのチャネル領域456によって互いに絶縁された、垂直N+ドープされたローカルソース領域455、及び垂直N+ドープされたローカルドレインまたはビット線領域454を含む。P−ドープされたチャネル領域456、N+ドープされたローカルソース領域455、及びN+ドープされたローカルドレインまたはビット線領域454は、ボディバイアス電圧Vbb、ソース電源電圧Vss、及びビット線電圧Vblにそれぞれバイアスされる。本発明のいくつかの実施形態によれば、例えばアクティブストリップが十分に薄い(例えば、10nm以下)場合などには、ボディバイアス電圧Vbbの使用は任意選択である。十分に薄いアクティブストリップの場合、電圧Vbbが垂直NORストリングに沿ってTFTのチャネル領域に固体供給電圧を供給しないように、アクティブ領域は制御ゲート上の適切な電圧下で容易に完全に空乏化される。アクティブ列431及びアクティブ列432を電気的に絶縁する絶縁領域436は、誘電体絶縁材またはエアギャップのいずれかであり得る。WL−WL31(及び任意選択でWLCHG)という符合がそれぞれ付されたワード線423pの垂直スタックは、アクティブ列431及びアクティブ列432に形成された垂直NORストリング内のTFTに制御ゲートを提供する。ワード線スタック423pは一般的に、酸化シリコン(例えば、SiO)またはエアギャップで形成された誘電体層426によって互いに電気的に絶縁された、Y方向に沿って延びる細長い金属導体(例えば、タングステン、シリサイド、またはシリサイド)として形成される。不揮発性記憶素子が、ワード線423pとP−ドープされたチャネル領域456との間に電荷トラップ材料(図示せず)を設けることによって、各ワード線423pと各P−ドープチャネル領域456との交差部に形成され得る。例えば、図4Aでは、破線のボックス416が、不揮発性記憶素子(またはストレージトランジスタ)T〜T31が形成され得る場所を示している。破線のボックス470は、専用のプリチャージトランジスタが形成され得る場所を示している。この専用のプリチャージトランジスタは、瞬時にスイッチオンされると、全てのトランジスタT〜T31がオフ状態にある場合に、共通ローカルビット線領域454から共通ローカルソース線領域455に電荷を転送することを可能にする。 FIG. 4A shows the active columns 431 arranged in parallel with each other, each of which can form a vertical NOR string having the basic schematic shown in either FIG. 3A or FIG. 3B, according to an embodiment of the invention. It is sectional drawing of the ZZ plane which shows the active row 432. As shown in FIG. 4A, the active columns 431 and 432 are vertically N + doped local source regions 455 and vertical N + doped, respectively, isolated from each other by low concentration P-doped or non-doped channel regions 456. Includes local drain or bit line area 454. The P-doped channel region 456, the N + doped local source region 455, and the N + doped local drain or bit line region 454 are the body bias voltage V bb , the source power supply voltage V ss , and the bit line voltage V bl. Is biased to each. According to some embodiments of the present invention, the use of the body bias voltage V bb is optional, for example when the active strip is sufficiently thin (eg, 10 nm or less). For sufficiently thin active strip, so that the voltage V bb not supply solid feed voltage to the channel region of the TFT along the vertical NOR string active area readily fully depleted under appropriate voltages on the control gates Will be done. The insulating region 436 that electrically insulates the active row 431 and the active row 432 can be either a dielectric insulator or an air gap. A vertical stack of word lines 423p, respectively labeled WL 0- WL 31 (and optionally WL CHG ), provides a control gate for the TFTs in the vertical NOR strings formed in active column 431 and active column 432, respectively. To do. The wordline stack 423p is generally an elongated metal conductor extending along the Y direction (eg, tungsten) that is electrically isolated from each other by a dielectric layer 426 formed of silicon oxide (eg, SiO 2) or an air gap. , ►, or ►). The non-volatile memory device provides a charge trap material (not shown) between the word line 423p and the P-doped channel region 456, thereby intersecting each word line 423p with each P-doped channel region 456. Can be formed in the part. For example, in FIG. 4A, the dashed box 416 indicates where the non-volatile storage elements (or storage transistors) T 0- T 31 can be formed. The dashed box 470 indicates where a dedicated precharge transistor can be formed. This dedicated precharge transistor transfers charge from the common local bit line region 454 to the common local source line region 455 when all transistors T 0 to T 31 are in the off state when switched on instantly. Make it possible.

図4Bは、本発明の一実施形態による、アクティブ列430R、430L、431R及び431L、電荷トラップ層432及び434、並びに、ワード線スタック423p−L及び423p−Rを示すZ−X平面の断面図である。図4Aと同様に、図4Bの垂直ワード線スタック423p−L及び423p−Rの各々は、細い導体のスタックを示す。ここで、pは、スタック内のワード線(例えば、ワード線WL〜WL31)をラベル付けするインデックスである。図4Bに示すように、各ワード線は、(領域490内の)ワード線の両側の隣接するアクティブ列430L及び431R上に形成された垂直NORストリングの不揮発性TFTの制御ゲートとして機能する。例えば、図4Bでは、ワード線スタック423p−R内のワード線WL31は、アクティブ列430L上のトランジスタ416L、及びアクティブ列431R上のトランジスタ416Rの両方の制御ゲートとして機能する。隣接するワード線スタック(例えば、ワード線スタック423p−L及び423p−R)は、後述するように、連続するワード線層をエッチングして形成されるトレンチの幅である距離495だけ離間している。その後、アクティブ列430R及び430L、並びに、それらのそれぞれの電荷トラップ層432及び434が、ワード線層を介してエッチングされたトレンチの内側に形成される。電荷トラップ層434は、ワード線スタック423p−Rと、垂直アクティブ列431R及び430Lとの間に設けられる。以下で詳述するように、トランジスタ416Rのプログラム中、電荷トラップ層434に注入された電荷は、破線のボックス480内の電荷トラップ層434の部分にトラップされる。トラップされた電荷は、TFT416Rの閾値電圧を変化させる。このことは、アクティブ列431R上のローカルソース領域455とローカルドレイン領域454との間に流れる読み出し電流を測定することによって検出することができる(これらの領域は、例えば、図4Aのアクティブ列の直交断面で示される)。いくつかの実施形態では、プリチャージワード線478(すなわち、WLCHG)が、ローカルソース線455(図3Bのキャパシタ360及び図4Aのローカルソース線455を参照)の寄生キャパシタCを、接地またはソース電源電圧Vssに充電するために使用されるプリチャージTFT470の制御ゲートとして設けられる。便宜上、電荷トラップ層434は、プリチャージトランジスタ470にも記憶素子を提供するが、それ自体はメモリトランジスタとして使用されない。代わりに、アクティブ列431Rに形成されたメモリトランジスタT〜T31のいずれかを使用して、プリチャージが実行され得る。これらのメモリトランジスタのうちの1以上は、それらの記憶機能に加えて、プリチャージトランジスタの機能を実行することができる。プリチャージを実行するために、ワード線または制御ゲート上の電圧は、プログラム可能な最高閾値電圧よりも数ボルト高い電圧まで一時的に上昇し、これにより、ローカルビット線454に印加された電圧Vssをローカルソース線455に転送することが可能になる(図4A)。メモリトランジスタT〜T31にプリチャージ機能を実行させることにより、専用プリチャージTFT470を個別に設ける必要がなくなる。しかしながら、メモリTFTがそれのプリチャージ機能を実行しているときに、そのメモリTFTの閾値電圧を過度に妨害しないように注意する必要がある。 FIG. 4B is a cross-sectional view of a ZX plane showing active columns 430R, 430L, 431R and 431L, charge trap layers 432 and 434, and word line stacks 423p-L and 423p-R according to an embodiment of the present invention. Is. Similar to FIG. 4A, each of the vertical wordline stacks 423p-L and 423p-R of FIG. 4B shows a stack of thin conductors. Here, p is an index that labels the word lines in the stack (eg, word lines WL 0 to WL 31). As shown in FIG. 4B, each word line serves as a control gate for a non-volatile TFT of vertical NOR strings formed on adjacent active rows 430L and 431R on either side of the word line (in region 490). For example, in FIG. 4B, the word line WL 31 in the word line stack 423p-R functions as a control gate for both the transistor 416L on the active row 430L and the transistor 416R on the active row 431R. Adjacent word line stacks (eg, word line stacks 423p-L and 423p-R) are separated by a distance of 495, which is the width of a trench formed by etching continuous word line layers, as described below. .. The active rows 430R and 430L, and their respective charge trap layers 432 and 434, are then formed inside the trench etched through the word line layer. The charge trap layer 434 is provided between the word line stack 423p-R and the vertical active columns 431R and 430L. As described in detail below, during the programming of the transistor 416R, the charge injected into the charge trap layer 434 is trapped in the portion of the charge trap layer 434 in the dashed box 480. The trapped charge changes the threshold voltage of the TFT 416R. This can be detected by measuring the read current flowing between the local source region 455 and the local drain region 454 on the active row 431R (these regions are, for example, orthogonal to the active row in FIG. 4A). Shown in cross section). In some embodiments, the precharge word line 478 (ie, WL CHG ) grounds or sources the parasitic capacitor C of the local source line 455 (see capacitor 360 in FIG. 3B and local source line 455 in FIG. 4A). It is provided as a control gate for the precharge TFT 470 used to charge the power supply voltage V ss. For convenience, the charge trap layer 434 also provides a storage element for the precharge transistor 470, but is not itself used as a memory transistor. Alternatively, precharging may be performed using any of the memory transistors T 0- T 31 formed in the active column 431R. One or more of these memory transistors can perform the function of a precharge transistor in addition to their memory function. To perform the precharge, the voltage on the ward line or control gate temporarily rises to a voltage several volts higher than the programmable maximum threshold voltage, which causes the voltage V applied to the local bit line 454. It becomes possible to transfer the ss to the local source line 455 (Fig. 4A). By causing the memory transistors T 0 to T 31 to execute the precharge function, it is not necessary to individually provide the dedicated precharge TFT 470. However, care must be taken not to excessively interfere with the threshold voltage of the memory TFT when it is performing its precharge function.

アクティブ列430R及び430Lは、図4Bでは、エアギャップまたは誘電体絶縁433によって互いに絶縁された2つの別個のアクティブ列として示されているが、隣接する垂直N+ローカルソース線は、単一の共有垂直ローカルソース線によって実現してもよい。同様に、垂直N+ローカルドレインまたはビット線も、単一の共有垂直ローカルビット線によって実現してもよい。このような構成により、「垂直NORストリング対」が提供される。この構成では、アクティブ列430L及び430Rは、1つのアクティブ列内の2つの枝(ブランチ)(したがって、「ペア」)と見なすことができる。垂直NORストリング対は、アクティブ列430R及び430Lと、両側のワード線スタック423p−L及び423p−Rとの間に介在された電荷トラップ層432及び434を介して、倍密度記憶を提供する。実際、アクティブ列430R及び430Lは、エアギャップまたは誘電体絶縁材433を除去することによって1つのアクティブストリングに統合することができるが、それでもなお、単一のアクティブ列の互いに対向する面に形成されたNOR TFTストリングの対(ペア)が提供される。このような構成により、アクティブ列の互いに対向する面に形成されたTFTは、別個のワード線スタックによって制御され、かつ、別個の電荷トラップ層434及び432から形成されるので、同様の倍密度記憶が達成される。別個の薄いアクティブ列430R及び430Lを維持する(すなわち、それらを1つのアクティブ列に統合する代わりに)ことは有利である。その理由は、各アクティブ列のTFTは統合された列よりも薄いので、適切な制御ゲート電圧条件下でより容易に完全に空乏化することができ、それにより、アクティブ列(図4A)の垂直ソース領域455と垂直ドレイン領域454との間のソース−ドレイン副閾値リーク電流を大幅に低減させるからである。非常に長い垂直NORストリング(例えば、128TFT以上)であっても、超薄型(そのため、高抵抗性)アクティブ列を有することが可能である。その理由は、ストリング内のTFTが直列に接続されているため、ストリング内のいずれかのTFTをセンスするために全てのTFTをスイッチオンする必要があるNAND型TFTストリングの高抵抗とは対照的に、垂直NORストリングのTFTが並列接続されており、どの時点においても、多数のTFTのうちの1つだけをスイッチオンできるからである。例えば、32−TFT垂直NORストリングでは、トランジスタT30(図4A)を読み出すためには、チャネル領域456のチャネル長はわずか20nmでよい。NANDストリングの対応するチャネル長は、この32倍、すなわち640nmである。 The active columns 430R and 430L are shown in FIG. 4B as two separate active columns isolated from each other by an air gap or dielectric insulation 433, while the adjacent vertical N + local source lines are a single shared vertical. It may be realized by a local source line. Similarly, a vertical N + local drain or bit line may also be implemented by a single shared vertical local bit line. Such a configuration provides a "vertical NOR string pair". In this configuration, the active columns 430L and 430R can be considered as two branches (hence, "pairs") within one active column. The vertical NOR string pair provides double density memory via charge trap layers 432 and 434 interposed between the active columns 430R and 430L and the wordline stacks 423p-L and 423p-R on both sides. In fact, the active rows 430R and 430L can be integrated into one active string by removing the air gap or dielectric insulator 433, but are nevertheless formed on opposite surfaces of a single active row. A pair of NOR TFT strings is provided. With such a configuration, the TFTs formed on the opposite surfaces of the active row are controlled by separate wordline stacks and are formed from separate charge trap layers 434 and 432, thus resulting in similar double density storage. Is achieved. It is advantageous to maintain separate thin active columns 430R and 430L (ie, instead of consolidating them into one active column). The reason is that the TFTs in each active row are thinner than the integrated rows, so they can be more easily and completely depleted under proper control gate voltage conditions, thereby making the active rows (FIG. 4A) vertical. This is because the source-drain subthreshold leakage current between the source region 455 and the vertical drain region 454 is significantly reduced. Even very long vertical NOR strings (eg, 128 TFTs and above) can have ultra-thin (and therefore high resistance) active rows. The reason is that the TFTs in the string are connected in series, which is in contrast to the high resistance of NAND TFT strings, where all TFTs need to be switched on to sense any TFT in the string. This is because the vertical NOR string TFTs are connected in parallel, and only one of the many TFTs can be switched on at any given time. For example, in a 32-TFT vertical NOR string, the channel length of the channel region 456 may be only 20 nm in order to read the transistor T 30 (FIG. 4A). The corresponding channel length of the NAND string is 32 times this, or 640 nm.

図4Cは、本発明の一実施形態による、垂直NORストリング対491及び492のZ−X平面における基本回路図である。図4Cに示すように、垂直NORストリング451b及び452aは、図4Bのアクティブストリップ430L及び431Rの垂直NORストリングについて示した態様で、共通ワード線スタック423p−Rを共有する。垂直NORストリング対491及び492における、それぞれの共通に接続されたローカルビット線は、アクセス選択トランジスタ411を介してグローバルビット線414−1(GBL)、及び、アクセス選択トランジスタ414を介してグローバルビット線414−2(GBL)によってそれぞれサーブされる。垂直NORストリング対491及び492における、それぞれの共通に接続されたローカルソース線は、グローバルソース線413−1(GSL)及びグローバルソース線413−2(GSL)によってそれぞれサーブされる(図4Cには図示しないが、ソース線選択用のアクセス選択トランジスタも同様に設けることができる)。図4Cに示すように、垂直NORストリング対491は、ローカルソース線455、ローカルビット線454、及び任意選択のボディ接続部456を共有する垂直NORストリング451a及び451bを含む。したがって、垂直NORストリング対491は、図4Bのアクティブ列430R及び430L上に形成された垂直NORストリングを表す。ワード線スタック423p−L及び423p−R(この例では、31≧p≧0)は、それぞれ、垂直NORストリング451a及び垂直NORストリング451bの制御ゲートを提供する。スタック内のゲートを制御するワード線は、アドレス指定されたTFT(すなわち、アクティブ化されたワード線)及びアドレスされていないTFT(すなわち、ストリング内の他の全ての非アクティブ化ワード線)に適切な電圧が印加されることを確実にするために、基板に形成されたデコード回路によってデコードされる。図4Cは、図4Bのアクティブ列430L及び431R上のストレージトランジスタ416L及び416Rには、同一のワード線スタック423p−Rがどのようにサーブされるかを示す。したがって、垂直NORストリング対491の垂直NORストリング451b及び垂直ストリング対492の垂直NORストリング452aは、図4Bのアクティブ列430L及び431R上に形成された隣接する垂直NORストリングに対応する。垂直NORストリング451aのストレージトランジスタ(例えば、ストレージトランジスタ415R)は、ワード線スタック423p−Lによってサーブされる。 FIG. 4C is a basic circuit diagram of vertical NOR strings pairs 491 and 492 in the ZX plane according to an embodiment of the present invention. As shown in FIG. 4C, the vertical NOR strings 451b and 452a share a common wordline stack 423p-R in the manner shown for the vertical NOR strings of the active strips 430L and 431R of FIG. 4B. The locally connected local bit lines in the vertical NOR string pairs 491 and 492 are the global bit line 414-1 (GBL 1 ) via the access selection transistor 411 and the global bit via the access selection transistor 414. Served by line 414-2 (GBL 2 ) respectively. The respective commonly connected local source lines in the vertical NOR string pairs 491 and 492 are served by global source lines 413-1 (GSL 1 ) and global source lines 413-2 (GSL 2 ), respectively (FIG. 4C). Although not shown in the above, an access selection transistor for selecting a source line can be provided in the same manner). As shown in FIG. 4C, the vertical NOR string pair 491 includes vertical NOR strings 451a and 451b that share a local source line 455, a local bit line 454, and an optional body connection 456. Therefore, the vertical NOR string pair 491 represents a vertical NOR string formed on the active columns 430R and 430L of FIG. 4B. The word line stacks 423p-L and 423p-R (31 ≧ p ≧ 0 in this example) provide control gates for the vertical NOR string 451a and the vertical NOR string 451b, respectively. Word lines that control gates in the stack are suitable for addressed TFTs (ie, activated word lines) and unaddressed TFTs (ie, all other deactivated word lines in the string). It is decoded by a decoding circuit formed on the substrate to ensure that a high voltage is applied. FIG. 4C shows how the same wordline stack 423p-R is served to the storage transistors 416L and 416R on the active columns 430L and 431R of FIG. 4B. Therefore, the vertical NOR string 451b of the vertical NOR string vs. 491 and the vertical NOR string 452a of the vertical string pair 492 correspond to the adjacent vertical NOR strings formed on the active columns 430L and 431R of FIG. 4B. The storage transistor of the vertical NOR string 451a (eg, storage transistor 415R) is served by the word line stack 423p-L.

別の実施形態では、図4Cのハードワイヤードグローバルソース線413−1、413−2は除去され、垂直NORストリング451a及び451bの両方に共通する共有N+ローカルソース線455と、それに関連する多数のワード線423p−L及び423p−Rとの間の寄生キャパシタ(例えば、図4Cのキャパシタ460または図3Cのキャパシタ360によって表される寄生キャパシタ)によって置換される。32個のTFTの垂直スタックでは、32本のワード線の各々は、それらの寄生キャパシタに起因して総寄生キャパシタCを提供し、それにより、プリチャージTFT470によって供給される電圧を一時的に保持して、比較的短い読み出しまたはプログラム動作期間中に仮想ソース源電圧Vssを提供するのに十分な大きさとなるようにする。この実施形態では、寄生キャパシタCに一時的に保持される仮想電源電圧は、グローバルビット線GBLから、アクセス選択トランジスタ411及びプリチャージトランジスタ470を介してローカルソース線455に供給される。代替的に、垂直NORストリング内のメモリTFTのうちの1以上が、それらの記憶機能に加えて、そのワード線電圧をそのプログラムされた最高電圧よりも瞬間的に高くすることによってローカルソース線455をプリチャージするために使用される場合は、専用のプリチャージトランジスタ470は省略することができる。しかしながら、ストレージTFTをこの目的のために使用する場合、ストレージTFTの過剰プログラムを避けるために注意する必要がある。仮想Vss電圧を使用することにより、ハードワイヤードグローバルソース線(例えば、GLS、GLS)及びそれらに関連するデコード回路及びアクセストランジスタを除去するという重要な利点が提供される。これにより、プロセスフロー及び設計上の課題が大幅に単純化され、その結果、大幅にコンパクト化された垂直NORストリングが実現される。 In another embodiment, the hard-wired global source lines 413-1 and 413-2 of FIG. 4C are removed, and the shared N + local source lines 455 common to both the vertical NOR strings 451a and 451b and a number of related words. It is replaced by a parasitic capacitor between the lines 423p-L and 423p-R (eg, the parasitic capacitor represented by the capacitor 460 in FIG. 4C or the capacitor 360 in FIG. 3C). In a vertical stack of 32 TFTs, each of the 32 word lines provides a total parasitic capacitor C due to their parasitic capacitors, thereby temporarily holding the voltage supplied by the precharged TFT 470. It should be large enough to provide a virtual source voltage V ss during a relatively short read or program operating period. In this embodiment, the virtual power supply voltage temporarily held in the parasitic capacitor C is supplied from the global bit line GBL 1 to the local source line 455 via the access selection transistor 411 and the precharge transistor 470. Alternatively, one or more of the memory TFTs in the vertical NOR string, in addition to their memory function, momentarily raise their wordline voltage above their programmed maximum voltage to cause the local source line 455. When used to precharge, the dedicated precharge transistor 470 can be omitted. However, when using the storage TFT for this purpose, care must be taken to avoid overprogramming the storage TFT. The use of virtual V ss voltage provides the important advantage of eliminating hardwired global source lines (eg, GLS 1 , GLS 2 ) and their associated decoding circuits and access transistors. This greatly simplifies the process flow and design challenges, resulting in a significantly more compact vertical NOR string.

図5Aは、本発明の一実施形態による、アクティブ列531の垂直NORストリングと、グローバルNOR型ビット線514−1(GBL)、グローバルソース線507(GSL)、及び共通ボディバイアスソース506(Vbb)との間の接続を示すZ−Y平面の断面図である。図5Aに示すように、ビット線アクセス選択トランジスタ511は、GBLをローカルビット線554に接続し、埋め込みコンタクト556は、アクティブストリップ上のP−ボディ領域を、基板内のボディバイアスソース506(Vbb)に任意選択で接続する。ビット線アクセス選択トランジスタ511は、図5Aのアクティブ列531上に形成されている。しかしながら、代替的に、ビット線アクセス選択トランジスタ511は、アクティブ列531の底部または基板505(図5Aには図示していない)に形成してもよい。図5Aでは、ビット線アクセス選択トランジスタ511は、例えば、アクセス選択ワード線585と共に、N+/P−/N+ドープポリシリコンスタックの独立した島に形成することができる。ワード線585を選択するために十分に大きな電圧が印加されると、P−チャネルが反転し、それによって、ローカルビット線554がGBLに接続される。ワード線585は、垂直NORストリングのTFTの制御ゲートとして機能するワード線523pと同一の方向(すなわち、Y方向)に沿って延びている。ワード線585は、ワード線523pとは別個に形成され得る。一実施形態では、GBLは、X方向に沿って水平に(すなわち、ワード線の方向に対して垂直に)延びており、ビット線アクセス選択トランジスタ511は、GBLによってサーブされる多数の垂直NORストリングのうちのただ1つのローカルビット線であるローカルビット線554へのアクセスを提供する。読み出し及びプログラム動作効率を高めるために、マルチゲートNORストリングアレイにおいて、数千のグローバルビット線を使用して、ワード線585によってアクセスされる数千の垂直NORストリングのローカルビット線に対して並列にアクセスしてもよい。図5Aでは、ローカルソース線555は、例えば基板505の回路をデコードすることによってデコードされ得るグローバルソース線513−1(GSL)に、コンタクト557を介して接続される。代替的に、既に説明したように、ローカルソース線555に仮想電源電圧Vssを供給し、TFT570を介してローカルソース線555の寄生キャパシタ560(すなわち、寄生キャパシタC)を一時的にプリチャージすることによって、グローバルソース線を除去してもよい。 FIG. 5A shows a vertical NOR string of active column 531 according to an embodiment of the present invention, a global NOR bit line 514-1 (GBL 1 ), a global source line 507 (GSL 1 ), and a common body bias source 506 ( It is sectional drawing of the ZZ plane which shows the connection with V bb). As shown in FIG. 5A, the bit line access selection transistor 511 connects the GBL 1 to the local bit line 554, and the embedded contact 556 connects the P-body region on the active strip to the body bias source 506 (V) in the substrate. Connect to bb ) arbitrarily. The bit line access selection transistor 511 is formed on the active row 531 of FIG. 5A. However, as an alternative, the bit line access selection transistor 511 may be formed at the bottom of the active row 531 or on the substrate 505 (not shown in FIG. 5A). In FIG. 5A, the bit line access selection transistor 511 can be formed, for example, with the access selection word line 585 on independent islands of the N + / P− / N + doped polysilicon stack. When a voltage large enough to select the word line 585 is applied, the P-channel is inverted, thereby connecting the local bit line 554 to GBL 1. The word line 585 extends along the same direction (ie, the Y direction) as the word line 523p, which functions as a control gate for the TFT of the vertical NOR string. The word line 585 may be formed separately from the word line 523p. In one embodiment, the GBL 1 extends horizontally along the X direction (ie, perpendicular to the direction of the word line) and the bit line access selection transistor 511 has a number of verticals served by the GBL 1. It provides access to the local bit line 554, which is the only local bit line of the NOR string. Thousands of global bit lines are used in a multi-gate NOR string array to improve read and program operation efficiency, in parallel with the local bit lines of thousands of vertical NOR strings accessed by word line 585. You may access it. In FIG. 5A, the local source line 555 is connected via contact 557 to the global source line 513-1 (GSL 1 ), which can be decoded, for example, by decoding the circuit of substrate 505. Alternatively, as described above, the virtual power supply voltage V ss is supplied to the local source line 555, and the parasitic capacitor 560 (that is, the parasitic capacitor C) of the local source line 555 is temporarily precharged via the TFT 570. By doing so, the global source line may be removed.

基板505に形成された支持回路には、とりわけ、アドレスエンコーダ、アドレスデコーダ、センスアンプ、入出力ドライバ、シフトレジスタ、ラッチ、基準セル、電源線、バイアス及び基準電圧発生器、インバータ、NAND、NOR、排他的論理和及び他の論理ゲート、他のメモリ素子、シーケンサ、並びに、状態機械などが含まれ得る。マルチゲートNORストリングアレイは、各ブロックが複数のマルチゲートNORストリングアレイを有する、回路の複数のブロックとして構成することができる。 The support circuits formed on the substrate 505 include, among others, address encoders, address decoders, sense amplifiers, input / output drivers, shift registers, latches, reference cells, power lines, bias and reference voltage generators, inverters, NAND, NORs, etc. Exclusive OR and other logic gates, other memory elements, sequencers, and state machines may be included. The multi-gate NOR string array can be configured as multiple blocks of the circuit, each block having a plurality of multi-gate NOR string arrays.

図6Aは、図4Cに関連して上述したように、垂直NORストリング対491の垂直NORストリング451aのTFT685(T)、及び垂直NORストリング451bのTFT684(T)を示すX−Y平面の断面図である。図6に示すように、TFT684及び685は、N+ローカルソース領域655と、N+ローカルドレインまたはビット線領域654とを共有し、この両方の領域は、Z方向に沿って細長いピラーをなすように延びる(N+ローカルソース領域655は、図4Aのローカルソース線455に対応し、N+ローカルドレイン領域654は、図4Aのローカルビット線454に対応する)。この実施形態では、P−ドープされたチャネル領域656L及び656Rは、ローカルソースピラー655とローカルドレインピラー654との間に、絶縁領域640によって互いに絶縁され、Z方向に沿って延びる一対のアクティブストリングを形成する。ワード線623p−L(WL31−0)と623p−R(WL31−1)との間、及び、チャネル領域656L、656Rの外側には、電荷トラップ層634が形成される。電荷トラップ層634は、例えば、トンネル誘電体(例えば、二酸化シリコン)の薄膜と、それに続く、非導電性誘電材料に埋め込まれた窒化シリコンまたは導電性ナノドットなどの電荷トラップ材料の薄層、または絶縁されたフローティングゲートとからなるトランジスタのゲート誘電体材料であり得、ONO(酸化物−窒化物−酸化物三重層)などのブロッキング誘電体の層、酸化アルミニウムまたは酸化ハフニウムなどの高誘電率膜、またはそのような誘電体の任意の組み合わせによってキャップされる。ソース−ドレイン導電部は、電荷トラップ層634の外側に制御ゲートを形成するワード線623p−L及び623p−Rによってそれぞれ制御される。TFT684(T)をプログラムまたは読み出すとき、ワード線623p−Lを適切な禁止電圧に維持することによって、TFT685(T)をオフにする。同様に、TFT685(T)をプログラムまたは読み出すとき、ワード線623p−Rを適切な禁止電圧に維持することによってTFT684(T)をオフにする。 Figure 6A, as described above in connection with FIG. 4C, TFT685 (T L) of the vertical NOR string 451a of the vertical NOR string pairs 491, and the vertical NOR string 451b TFT684 (T R) of the X-Y plane showing the It is a cross-sectional view. As shown in FIG. 6, TFTs 684 and 685 share an N + local source region 655 and an N + local drain or bit line region 654, both of which extend in the Z direction to form elongated pillars. (The N + local source area 655 corresponds to the local source line 455 of FIG. 4A, and the N + local drain area 654 corresponds to the local bit line 454 of FIG. 4A). In this embodiment, the P-doped channel regions 656L and 656R form a pair of active strings between the local source pillar 655 and the local drain pillar 654, isolated from each other by an insulating region 640 and extending along the Z direction. Form. A charge trap layer 634 is formed between the word lines 623p-L (WL 31-0 ) and 623p-R (WL 31-1 ) and outside the channel regions 656L, 656R. The charge trap layer 634 is, for example, a thin layer of a tunnel dielectric (eg, silicon dioxide) followed by a thin layer or insulation of a charge trap material such as silicon nitride or conductive nanodots embedded in a non-conductive dielectric material. Can be a gate dielectric material for a transistor consisting of a floating gate, a layer of blocking dielectric such as ONO (oxide-nitride-oxide triple layer), a high dielectric constant film such as aluminum oxide or hafnium oxide, Or capped by any combination of such dielectrics. The source-drain conductive section is controlled by word lines 623p-L and 623p-R, which form a control gate on the outside of the charge trap layer 634, respectively. TFT 684 (T R) when the program or read, by maintaining the word line 623p-L in a suitable inhibit voltage, turning off the TFT685 (T L). Similarly, TFT685 (T L) when the program or read, turn off TFT684 the (T R) by maintaining the word line 623p-R the appropriate inhibit voltage.

図6Aに示す実施形態では、ワード線623p−L及び623p−Rは、プログラム中にはTFT684及び685へのトンネル効率を高め、消去中には逆トンネリング効率を低下させるような輪郭形状に形成されている。具体的には、当業者に知られているように、チャネル領域656Rの湾曲部675によって、プログラム中におけるアクティブチャネルのポリシリコンとトンネル誘電体との間の界面における電界を増幅させ、その上、消去中におけるワード線とブロッキング誘電体との間の界面における電界を減少させる。この特徴は、マルチレベルセル(MLC)構成において、TFTトランジスタ1つあたり2ビット以上を格納する場合に特に有用である。この技術を用いることにより、各TFTに、2ビット、3ビット、4ビット、またはそれ以上を格納することができる。実際、TFT684及び685は、記憶状態の連続体を有するアナログストレージTFTとして使用することができる。プログラムシーケンス(後述する)に続いて、破線680によって概略的に示されるように、電子は電荷トラップ層634にトラップされる。図6Aでは、グローバルビット線614−1及び614−2は、ワード線623p−R及び623p−Lに対して垂直に延びており、図4Cのビット線414−1及び414−4にそれぞれ対応する垂直NORストリングの上方または下方のいずれかに設けられている。図2に関連して上述したように、ワード線は、X方向に沿ってメモリブロック(メモリ構造体)100の全長にわたって延びており、グローバルビット線は、Y方向に沿ってメモリブロック(メモリ構造体)100の幅にわたって延びている。重要なことには、図6Aでは、ワード線623p−Rは、ワード線623p−Rの両側の2つの垂直NORストリングのTFT684及び683によって共有されている。したがって、TFT684及び683を互いに独立して読み出すまたはプログラムすることを可能にするために、グローバルビット線614−1(GBL)は、ローカルドレインまたはビット線領域657−1(「奇数アドレス」)に接続し、グローバルビット線614−2(GBL)は、ローカルドレインまたはビット線領域657−2(「偶数アドレス」)に接続する。この効果を達成するために、グローバルビット線614−1及び614−2に沿った接続は互い違いに行われ、各グローバルビット線は、垂直NORストリング対とX方向に沿って一つおきに接続する。 In the embodiment shown in FIG. 6A, the word lines 623p-L and 623p-R are formed into contour shapes that increase the tunnel efficiency to TFTs 684 and 685 during programming and decrease the reverse tunneling efficiency during erasing. ing. Specifically, as is known to those skilled in the art, the curved portion 675 of the channel region 656R amplifies the electric field at the interface between the polysilicon of the active channel and the tunnel dielectric in the program, and in addition, Reduces the electric field at the interface between the word line and the blocking dielectric during erasing. This feature is particularly useful when storing 2 bits or more per TFT transistor in a multi-level cell (MLC) configuration. By using this technique, each TFT can store 2 bits, 3 bits, 4 bits, or more. In fact, TFTs 684 and 685 can be used as analog storage TFTs with a continuum of storage states. Following the program sequence (discussed below), the electrons are trapped in the charge trap layer 634, as schematically indicated by the dashed line 680. In FIG. 6A, the global bit lines 614-1 and 614-2 extend perpendicular to the word lines 623p-R and 623p-L and correspond to the bit lines 414-1 and 414-4 in FIG. 4C, respectively. It is provided either above or below the vertical NOR string. As described above in connection with FIG. 2, the word line extends along the X direction over the entire length of the memory block (memory structure) 100, and the global bit line extends along the Y direction of the memory block (memory structure). Body) extends over a width of 100. Importantly, in FIG. 6A, the word line 623p-R is shared by the two vertical NOR strings TFT684 and 683 on either side of the word line 623p-R. Therefore, to allow the TFTs 684 and 683 to be read or programmed independently of each other, the global bit line 614-1 (GBL 1 ) is placed in the local drain or bit line region 657-1 (“odd address”). Connect and connect the global bit line 614-2 (GBL 2 ) to the local drain or bit line area 657-2 (“even address”). To achieve this effect, the connections along the global bit lines 614-1 and 614-2 are staggered, with each global bit line connecting every other pair of vertical NOR strings along the X direction. ..

同様に、マルチゲートNORストリングアレイの底部または上部に位置するグローバルソース線(図6Aには図示していない)は、グローバルビット線に対して平行に延びており、偶数または奇数アドレスにしたがって垂直方向NORストリング対のローカルソース線と接続する。代替的に、寄生キャパシタC(すなわち、キャパシタ660)を仮想電源電圧Vssに一時的にプリチャージする場合、グローバルソース線を設ける必要はなく、これにより、デコードスキーム及びプロセスの複雑さが単純化される。 Similarly, a global source line (not shown in FIG. 6A) located at the bottom or top of a multi-gate NOR string array extends parallel to the global bit line and is perpendicular to even or odd addresses. Connect to the local source line of the NOR string pair. Alternatively, the parasitic capacitor C (i.e., capacitor 660) To temporarily precharged to the virtual power supply voltage V ss, it is not necessary to provide a global source line, thereby simplifying the complexity of decoding schemes and processes Will be done.

図6Aは、垂直NORストリング対が積み重ねられたワード線で提供することができるいくつかの実施形態のうちの1つのみを示す。例えば、チャネル領域656Rの湾曲部675をさらに大きくすることができる。逆に、図6Bの実施形態に示すように、湾曲部675は完全に除去してもよい(すなわち真っ直ぐにしてもよい)。図6Bの実施形態では、図6Aの絶縁領域640の間隔は、チャネル領域656L及び656Rを単一の領域656(L+R)に統合することによって、低減または完全に除去することができ、それにより、デュアルチャネル構成を犠牲にすることなくより大きな面積効率を達成することができる(例えば、同一のアクティブストリップの互いに対向する面上に存在するTFT685(T)及び684(T))。図6A、図6Bの実施形態では、ワード線を共有する垂直NORストリングは、各垂直NORストリングの有効フットプリントを低減させるべく互いに対して近接させるために、互いに対して互い違いに配置され得る(図示せず)。図6A及び図6Bは、グローバルビット線614−1とN+ドープローカルドレインビット線ピラー654(LBL−1)との間のコンタクトを介した直接接続を示しているが、このような接続は、ビット線アクセス選択トランジスタ(例えば、図5Aのビット線アクセス選択トランジスタ511、図6A及び図6Bには図示していない)を使用して達成することもできる。 FIG. 6A shows only one of several embodiments in which vertical NOR string pairs can be provided as stacked word lines. For example, the curved portion 675 of the channel region 656R can be further increased. Conversely, as shown in the embodiment of FIG. 6B, the curved portion 675 may be completely removed (ie, straightened). In the embodiment of FIG. 6B, the spacing of the insulation areas 640 of FIG. 6A can be reduced or completely eliminated by integrating the channel areas 656L and 656R into a single area 656 (L + R), thereby it is possible to achieve greater area efficiency without sacrificing the dual-channel configuration (e.g., present on the facing surfaces of the same active strip TFT685 (T L) and 684 (T R)). In the embodiments of FIGS. 6A, 6B, the vertical NOR strings sharing the word line may be staggered relative to each other in order to bring them closer to each other in order to reduce the effective footprint of each vertical NOR string (FIG. 6A). Not shown). 6A and 6B show a direct connection via a contact between the global bit line 614-1 and the N + doped local drain bit line pillar 654 (LBL-1), where such a connection is a bit. It can also be achieved using a line access selection transistor (eg, not shown in the bit line access selection transistors 511, 6A and 6B of FIG. 5A).

図6A及び図6Bの実施形態では、N+ドープローカルドレイン領域654とそれに隣接するローカルN+ドープソース領域658(図4Aの絶縁領域436に対応する)との間の誘電体絶縁は、例えば、ワード線623p−R及び623p−Lを2つの背中合わせの電荷トラップ層の厚さ未満に画定し、電荷トラップ層がその堆積処理中に互いに統合されるようにすることによって確立することもできる。このように、堆積された電荷トラップ層を統合することによって、所望の誘電体絶縁が形成される。代替的に、隣接するアクティブストリング間の絶縁は、N+ポリシリコンの高アスペクト比のエッチングを用いて、或るストリングのN+ピラー658をそれに隣接するストリングのN+ピラー654から絶縁するギャップ676(エアギャップまたは誘電体充填)を形成することによって(すなわち、図4Aに示すギャップ436を形成することによって)、達成することができる。 In the embodiments of FIGS. 6A and 6B, the dielectric insulation between the N + dope local drain region 654 and the adjacent local N + dope source region 658 (corresponding to the insulation region 436 of FIG. 4A) is, for example, a word line. It can also be established by defining 623p-R and 623p-L to be less than the thickness of the two back-to-back charge trap layers so that the charge trap layers integrate with each other during the deposition process. By integrating the deposited charge trap layers in this way, the desired dielectric insulation is formed. Alternatively, the insulation between adjacent active strings uses a high aspect ratio etching of N + polysilicon to insulate the N + pillar 658 of one string from the N + pillar 654 of the adjacent string gap 676 (air gap). Or by forming a dielectric filling) (ie, by forming the gap 436 shown in FIG. 4A).

従来技術の垂直NANDストリングと本発明の垂直NORストリングとを比較すると、両方とも、制御ゲートとして同様のワード線スタックを有する薄膜トランジスタを使用するが、それらのトランジスタの向きが異なっている。従来技術のNANDストリングでは、垂直アクティブストリップの各々は、直列に接続された32個、48個、またはそれ以上の個数のTFTを有し得る。対照的に、本発明の垂直NORストリングを形成する各アクティブ列は、並列に接続された1組または2組の複数の個数(32個,48個またはそれ以上の個数)のTFTを有し得る。従来技術のNANDストリングでは、いくつかの実施形態におけるワード線は、一般的に、アクティブストリップを取り囲むように配置される。本発明の垂直NORストリングのいくつかの実施形態では、図4C、図6A、及び図6Bに示すように、各アクティブストリップに対して個別に指定された左右のワード線が使用され、それによって、各グローバルビット線に対して2倍(すなわち、1対)の記憶密度が達成される。本発明の垂直NORストリングは、プログラム妨害または読み出し妨害の問題が生じたり、従来技術のNANDストリングの遅延の問題が生じたりすることがない。したがって、垂直NORストリングには、垂直NANDストリングよりも多数のTFTを設けることができる。しかしながら、垂直NORストリングは、長い垂直ソース及びドレイン拡散部(例えば、図4Aに示すローカルソース領域455及びローカルドレイン領域454)間のサブスレッショルドまたは他のリークの影響をより受け易い。 Comparing the vertical NAND strings of the prior art with the vertical NOR strings of the present invention, they both use thin film transistors with similar wordline stacks as control gates, but with different orientations of their transistors. In the conventional NAND strings, each of the vertical active strips may have 32, 48, or more TFTs connected in series. In contrast, each active row forming the vertical NOR string of the present invention may have one or two sets of TFTs (32, 48 or more) connected in parallel. .. In the conventional NAND strings, the word lines in some embodiments are generally arranged so as to surround the active strip. In some embodiments of the vertical NOR string of the present invention, left and right word lines individually designated for each active strip are used, thereby, as shown in FIGS. 4C, 6A, and 6B. Twice (ie, a pair) of storage density is achieved for each global bit line. The vertical NOR string of the present invention does not have the problem of program interference or read interference, and does not have the problem of delay of the conventional NAND string. Therefore, the vertical NOR string can be provided with a larger number of TFTs than the vertical NAND string. However, the vertical NOR string is more susceptible to subthreshold or other leaks between the long vertical source and drain diffuser (eg, local source region 455 and local drain region 454 shown in FIG. 4A).

本発明の垂直NORストリングの2つの追加の実施形態を図6C及び図6Dに示す。これらの実施形態では、各ワード線スタック内の全てのワード線が垂直アクティブストリップを取り囲むように配置されている。 Two additional embodiments of the vertical NOR string of the present invention are shown in FIGS. 6C and 6D. In these embodiments, all wordlines in each wordline stack are arranged so as to surround the vertical active strip.

図6Cでは、垂直NORストリングが、金属ワード線のスタック及びワード線間の誘電体絶縁層を介してエッチングすることによって形成される空間内に形成される。製造プロセスフローは、垂直NORストリング内のトランジスタが、垂直NANDストリング内に直列ではなく、互いに並列に設けられることを除いて、従来技術の垂直NANDストリングと同様である。垂直NORストリング内のトランジスタの形成は、空間の深さ全体に延びるN+ドープ垂直ピラーによって容易となり、垂直NORストリングに沿った全てのTFTに対して、共有ローカルソース線655(LSL)及び共有ローカルビット線654(ドレイン)(LBL)を、その両方に隣接する非ドープまたは低濃度ドープされたチャネル領域656と共に提供する。電荷蓄積素子となる電荷トラップ領域634は、チャネル656とワード線スタック623pとの間に配置され、これにより、垂直アクティブストリップに沿って2個、4個、8個、・・・、32個、64個、またはそれ以上の個数のTFTのスタック(例えば、デバイス685(T10))が形成される。図6Cの実施形態では、ワード線スタック623pはY方向に延びており、個々の水平ストリップ(WL31−0)、(WL31−1)は、エアギャップまたは誘電体絶縁610によって互いに絶縁されている。グローバルビット線614(GBL)及びグローバルソース線615(GSL)は、ワード線に対して垂直なX方向に沿って、行をなして水平に延びている。グローバルビット線614の各々は、メモリアレイの下方または上方のいずれかに配置され得るアクセス選択トランジスタ(図5Aの511、ここでは図示せず)を介して、垂直ストリップの行に沿ってローカルビット線ピラー654(LBL)にアクセスする。同様に、各グローバルソース線615は、その行に沿ってローカルソース線ピラーにアクセスする。図6A及び図6Bに示した構造は、図6Cの実施形態における単一の垂直NORストリングによって占められた略同一の領域に一対の垂直NORストリングを適合させることができるが、図6Cに示す各垂直NORストリング内の各TFTは、2つの平行な導電チャネル(すなわち、チャネル領域656a及び656b)を有しているので、より多くの電荷を蓄積し、読み出し電流を増加または倍増させることができ、それによって、各TFTにより多くのビットを蓄積することが可能になる。 In FIG. 6C, a vertical NOR string is formed in a space formed by etching through a stack of metal word wires and a dielectric insulating layer between the word wires. The manufacturing process flow is similar to the prior art vertical NAND strings, except that the transistors in the vertical NOR strings are provided in parallel with each other rather than in series within the vertical NAND strings. The formation of transistors in the vertical NOR string is facilitated by N + doped vertical pillars extending throughout the depth of space, with shared local source line 655 (LSL) and shared local bits for all TFTs along the vertical NOR string. Line 654 (drain) (LBL) is provided with a non-doped or low-concentration doped channel region 656 adjacent to both. The charge trap region 634, which is the charge storage element, is arranged between the channel 656 and the word line stack 623p, whereby 2, 4, 8, ..., 32, along the vertical active strip, A stack of 64 or more TFTs (eg, device 685 (T 10 )) is formed. In the embodiment of FIG. 6C, the wordline stack 623p extends in the Y direction and the individual horizontal strips (WL 31-0 ), (WL 31-1 ) are isolated from each other by an air gap or dielectric insulation 610. There is. The global bit line 614 (GBL) and the global source line 615 (GSL) extend horizontally in a row along the X direction perpendicular to the word line. Each of the global bit lines 614 is a local bit line along a row of vertical strips via an access selection transistor (511 in FIG. 5A, not shown here) that can be located either below or above the memory array. Access pillar 654 (LBL). Similarly, each global source line 615 accesses the local source line pillar along that line. The structures shown in FIGS. 6A and 6B allow a pair of vertical NOR strings to fit into substantially the same region occupied by a single vertical NOR string in the embodiment of FIG. 6C, but each shown in FIG. 6C. Since each TFT in the vertical NOR string has two parallel conductive channels (ie, channel regions 656a and 656b), more charge can be accumulated and the read current can be increased or doubled. This makes it possible to accumulate more bits in each TFT.

図6Dは、本発明の一実施形態による、ラップアラウンドワード線を有する、よりコンパクトな垂直NORストリングを示す。図6Dに示すように、垂直NORストリングは、互いに近接するように互い違いに配置されているので、ワード線スタック623p(WL31−0)を、より多くの垂直NORストリングによって共有させることができる。この互い違いの配置は、ローカルソース線ピラー655(LSL)の寄生キャパシタC(すなわち、キャパシタ660)の使用によって可能になる。後述するように、読み出し及びプログラム動作中に仮想電圧Vssを一時的に保持するためにキャパシタ660をプリチャージすることによって、ハードワイヤードグローバルソース線(例えば、図6CのGSL615)が不要になる。図6C及び図6Dの垂直NORストリング自体は、従来技術の垂直NANDストリング(例えば、図1CのNANDストリング)と比較して、大きな面積効率を提供しないが、このような垂直NORストリングは、垂直NANDストリングよりも大幅に長いストリング長さを達成する。例えば、本発明の垂直NORストリングは、各スタック内に128〜512個またはそれ以上の個数分のTFTの長さのストリングを十分に支持することができるが、このようなストリングの長さは、直列接続されたTFTストリングに関連する重大な制限を考慮すると、垂直NANDストリングには全く実用的ではない。 FIG. 6D shows a more compact vertical NOR string with wraparound word lines according to an embodiment of the invention. As shown in FIG. 6D, the vertical NOR strings are staggered so that they are close to each other so that the word line stack 623p (WL 31-0 ) can be shared by more vertical NOR strings. This staggered arrangement is made possible by the use of parasitic capacitors C (ie, capacitors 660) on the local source line pillar 655 (LSL). As described below, by precharging the capacitor 660 for temporarily holding the virtual voltage V ss during read and program operations, hardwired global source line (e.g., GSL615 in FIG. 6C) is not required. The vertical NOR strings of FIGS. 6C and 6D themselves do not provide greater area efficiency compared to prior art vertical NAND strings (eg, NAND strings of FIG. 1C), but such vertical NOR strings do. Achieve a string length that is significantly longer than the string. For example, the vertical NOR strings of the present invention can sufficiently support 128 to 512 or more TFT length strings in each stack, but the length of such strings is. Given the significant limitations associated with series-connected TFT strings, it is completely impractical for vertical NAND strings.

センスアンプへの高速アクセスを容易にするために、短いセグメント化されたビット線に分割された長いグローバルビット線を有する代替実施形態 An alternative embodiment having long global bit lines divided into short segmented bit lines to facilitate high speed access to the sense amplifier.

本発明者は、半導体基板に設けられたセンスアンプ及び他のサポート回路では、メモリアレイの上方または下方に設けられたグローバル相互接続導体を使用してグローバルビット線を配線して、垂直ローカルビット線(例えば、図5Aの垂直ローカルビット線554に接続するグローバルビット線GBL)に接続すると、配線の長さが長くなるので、大きなRC遅延が生じることに注目した。さらに、(メモリアレイの近傍の貴重なシリコン領域を使用するのではなく)メモリアレイの真下のシリコン基板の領域を使用して、センスアンプ、デコーダ、電圧源、及び、メモリ動作に必要な他の回路などの多数のサポート回路を形成することが非常に望ましい。 In the sense amplifier and other support circuits provided on the semiconductor substrate, the present inventor uses a global interconnect conductor provided above or below the memory array to wire the global bit line to the vertical local bit line. It was noted that when connected to (for example, the global bit line GBL 1 connected to the vertical local bit line 554 in FIG. 5A), the length of the wiring becomes long, so that a large RC delay occurs. In addition, the area of the silicon substrate beneath the memory array (rather than using the precious silicon area near the memory array) is used for sense amplifiers, decoders, voltage sources, and other memory operations. It is highly desirable to form a large number of support circuits, such as circuits.

本発明の一実施形態によれば、さもなければグローバルビット線として使用されるであろう導体は、多数の比較的短い線セグメントにセグメント化される(例えば、各線セグメントは、グローバルビット線の1/100以下の長さを有する)。各線セグメントは、隣接する垂直ローカルビット線のグループを互いに接続するための水平ラインコネクタを提供する。ビット線セグメントは、基板とメモリアレイとの間に存在し、基板とメモリアレイから絶縁されることが好ましい。ビット線セグメントは、グループ内の隣接する垂直ローカルビット線と、垂直NORストリングのアレイの真下の半導体基板内に形成された専用センスアンプ及び他のサポート回路との間の接続を容易にする。この詳細な説明では、「ビット線セグメント」という用語は、ラインコネクタによって接続されたローカルビット線の集合を指す。 According to one embodiment of the invention, a conductor that would otherwise be used as a global bit line is segmented into a number of relatively short line segments (eg, each line segment is one of the global bit lines. Has a length of / 100 or less). Each line segment provides a horizontal line connector for connecting groups of adjacent vertical local bit lines to each other. It is preferred that the bit line segment resides between the substrate and the memory array and is isolated from the substrate and the memory array. The bit line segment facilitates the connection between adjacent vertical local bit lines in the group and dedicated sense amplifiers and other support circuitry formed within the semiconductor substrate beneath the array of vertical NOR strings. In this detailed description, the term "bit line segment" refers to a set of local bit lines connected by a line connector.

同様に、さもなければグローバルソース線として使用されるであろう導体は、多数の比較的短い線セグメントにセグメント化してもよく、この場合、各セグメントは、隣接するローカル垂直ソース線のグループを互いに接続するための水平ラインコネクタを提供する。ラインコネクタ及びそれに関連するローカル垂直ソース線は、単一のローカル垂直ソース線の寄生容量の複数倍の寄生容量を有する共通ソース線を形成する。共通ソース線コネクタは、セグメント選択トランジスタによって、好ましくはアレイの頂部で、グローバルソース線に接続することができる。この詳細な説明では、「ソース線セグメント」という用語は、ラインコネクタによって互いに接続されたローカルソース線の集合を指す。ソース線セグメントが、接続されたローカルソース線のより小さなグループにさらに分割される場合、このようなより小さなグループの各々を「ソース線サブセグメント」と称する。 Similarly, a conductor that would otherwise be used as a global source line may be segmented into a number of relatively short line segments, in which case each segment groups adjacent local vertical source lines together. Provides a horizontal line connector for connection. The line connector and its associated local vertical source line form a common source line with a parasitic capacitance that is multiple times the parasitic capacitance of a single local vertical source line. The common source line connector can be connected to the global source line by means of a segment selection transistor, preferably at the top of the array. In this detailed description, the term "source line segment" refers to a set of local source lines connected to each other by a line connector. When a source line segment is further subdivided into smaller groups of connected local source lines, each of these smaller groups is referred to as a "source line subsegment".

本発明の別の代替実施形態では、メモリスタックの上方または下方に配線されるグローバルソース線は設けられないが、隣接するローカル垂直ソース線の各ソース線セグメント及びそれに関連するグループは、ローカル共通ソース領域として動作する。この構成では、基板から仮想接地電圧(Vss)を転送するために、ソース線セグメントに接続された各アクティブ列に1以上のプリチャージトランジスタが設けられる。64層垂直NORメモリアレイでは、各ローカルソース線は、約1フェムトファラド(すなわち、1.0×10−15ファラド)の寄生容量を有するが、この寄生容量は、場合によっては、電荷共有読み出し動作中に仮想接地電圧(Vss)を維持するのには電荷が小さすぎることがある。例えば64本のローカルソース線のグループのキャパシタンスを組み合わせることによって、それらの合計されたプリチャージされたキャパシタンスCは、電荷共有読み出し動作に十分過ぎる約64フェムトファラドまで増加する。 In another alternative embodiment of the invention, there is no global source line routed above or below the memory stack, but each source line segment of an adjacent local vertical source line and its associated group is a local common source. Acts as an area. In this configuration, in order to transfer the virtual ground voltage (V ss) from the substrate, at least one pre-charge transistor is provided in each active column connected to the source line segment. In a 64-layer vertical NOR memory array, each local source line has a parasitic capacitance of about 1 femtofarad (ie, 1.0 × 10-15 farad), which in some cases is a charge-sharing read operation. The charge may be too small to maintain a virtual ground voltage (V ss) inside. By combining the capacitances of a group of 64 local source lines, for example, their total precharged capacitance C increases to about 64 femtofarads, which is more than sufficient for charge sharing read operation.

図3D、図3E、図3F、及び図3Gは、高速読み出しアクセスを達成し、アレイの真下のシリコン基板を利用して、センスアンプ、デコーダ、レジスタ、及び電圧源などのサポート回路を形成した、本発明の実施形態を示す。図3Dに示すように、垂直NORストリング380は、本発明の一実施形態による、各TFTがローカルソース線375及びローカルビット線374を共有する不揮発性記憶TFTの3次元配列を表す。ローカルビット線374及びローカルソース線375は、垂直NORストリング380内のTFTのためのチャネル領域を提供するボディ領域356によって互いに離間されている。記憶素子が、チャネル領域356と各水平ワード線323pとの間の交差部に形成されている。pは、ワード線スタックにおけるワード線のインデックスである。この例では、pは、0〜31の範囲の任意の値を取ることができる。ワード線は、Y方向に沿って延びている。この実施形態では、ソース線供給電圧Vssは、ソース選択トランジスタ(SLS)371を介して、基板310から、垂直アクティブ列の頂部を延びるグローバルソース線(GSL)313を介して、ローカル垂直ソース線375に供給される。アクティブ列のトランジスタチャネルを提供するボディ領域356は、端子331において基板バイアス電圧Vbbに接続されることに留意されたい。しかしながら、P−ドープされたチャネル556を電気的に接続することは、垂直NORストリング(図5Bに関する後述の説明を参照)の頂部から達成することもできる。 3D, 3E, 3F, and 3G achieve high-speed read access and utilize the silicon substrate beneath the array to form support circuits such as sense amplifiers, decoders, registers, and voltage sources. An embodiment of the present invention is shown. As shown in FIG. 3D, the vertical NOR string 380 represents a three-dimensional array of non-volatile storage TFTs, in which each TFT shares a local source line 375 and a local bit line 374, according to an embodiment of the invention. The local bit line 374 and the local source line 375 are separated from each other by a body area 356 that provides a channel area for the TFT in the vertical NOR string 380. A storage element is formed at the intersection between the channel region 356 and each horizontal word line 323p. p is the wordline index in the wordline stack. In this example, p can take any value in the range 0-31. The word line extends along the Y direction. In this embodiment, the source line supply voltage V ss through the source select transistor (SLS) 371, a substrate 310, a global source line extending top of the vertical active column (GSL 1) 313 via a local vertical source It is supplied to line 375. Note that the body region 356, which provides the transistor channels in the active row, is connected to the substrate bias voltage V bb at terminal 331. However, electrical connection of the P-doped channel 556 can also be achieved from the top of the vertical NOR string (see description below for FIG. 5B).

図3Dでは、隣接するアクティブ列(例えば、垂直NORストリング380のアクティブ列)はグループ化され、アクティブ列の各グループのローカルビット線は、メモリアレイの真下に設けられた関連するビット線セグメント(例えば、ビット線セグメントMSBL及びMSBL)に接続される。ビット線セグメントMSBLは、低抵抗コネクタ373を提供し、これは、例えば、N+ドープポリシリコン、シリサイド、または高融点金属の狭いストリップによって実施することができる。水平ビット線セグメントMSBLによって接続される、隣接するローカル垂直ビット線のグループ374−1、374−2、・・・、374−nは、ワード線WL〜WL31に対して直交するX方向に沿って長手方向に設けられる。ビット線セグメントMSBL、MSBL、・・・は、誘電絶縁体392上に形成され、1(すなわち、セグメンテーションなし)から16、64、256、512、またはそれ以上の垂直ローカルビット線を包含するように、比較的短くてもよい。各ビット線セグメントは、セグメント選択トランジスタ(例えば、薄膜トランジスタとして実施されるセグメント選択トランジスタ586−1、・・・、586−n)を介して、複数のMSBL型ビット線セグメントを含む領域ビット線セグメントSGBL、SGBLを形成するより長い水平導体に接続することができる。水平な領域ビット線セグメントSGBLを基板310の上方の絶縁層393上に形成することにより、センスアンプなどの論理素子を領域ビット線セグメントの直下の基板内に形成することが可能になる。領域セグメントは、基板内に形成されたセンスアンプ、デコーダ、レジスタ、電圧源、及び他の回路が、領域ビット線セグメントの真下に物理的に嵌合できるように十分に長いことが好ましい。 In FIG. 3D, adjacent active columns (eg, active columns of vertical NOR string 380) are grouped, and the local bit lines of each group of active columns are associated bit line segments (eg, directly below the memory array). , Bit line segments MSBL 1 and MSBL 2 ). The bit wire segment MSBL 1 provides a low resistance connector 373, which can be accomplished, for example, with a narrow strip of N + doped polysilicon, Silicide, or refractory metal. Groups of adjacent local vertical bit lines, 374-1, 374-2, ..., 374-n, connected by the horizontal bit line segment MSBL 1, are in the X direction orthogonal to the word lines WL 0 to WL 31. It is provided in the longitudinal direction along the above. The bit line segments MSBL 1 , MSBL 2 , ... Are formed on a dielectric insulator 392 and include vertical local bit lines from 1 (ie, without segmentation) to 16, 64, 256, 512 or more. As such, it may be relatively short. Each bit line segment is a region bit line segment including a plurality of MSBL type 1 bit line segments via a segment selection transistor (for example, a segment selection transistor 586-1, ..., 586-n implemented as a thin film transistor). It can be connected to a longer horizontal conductor forming SGBL 1 , SGBL 2. By forming the horizontal region bit line segment SGBL 1 on the insulating layer 393 above the substrate 310, a logic element such as a sense amplifier can be formed in the substrate directly below the region bit line segment. The region segment is preferably long enough so that the sense amplifiers, decoders, registers, voltage sources, and other circuits formed within the substrate can be physically fitted beneath the region bit line segment.

図6Eに示すような二重密度構成では、各ワード線は、その両側の両方のアクティブ列をサーブする。この構成では、ワード線の両側の隣接する2本のローカルビット線はそれぞれ、ビット線セグメントMSBL(L)、MSBL(R)、並びに、各セグメントのセンスアンプ及びデコーダに関連付けられており、これらは、互いに近接してかつ平行に離間している。この間隔は、メモリアレイ内の隣接する垂直アクティブ列間のY方向に沿った間隔でもある。Y方向に沿ってレイアウトされたビット線セグメントの各々に対して、専用のセンスアンプ及び他のサポート回路を設けることは不可能である。このような構成では、各センスアンプは、基板内のセグメント選択デコーダを介して、1、2、4、8、またはそれ以上の隣接するビット線セグメントをサーブすることができる。X方向では、1テラビットの3次元垂直NORフラッシュメモリチップは、長いグローバルビット線ではなく、数百の領域ビット線セグメントを有することができ、これによって、ビット線RC遅延を大幅に減少させることができる。 In a dual density configuration as shown in FIG. 6E, each word line serves both active columns on either side of it. In this configuration, two adjacent local bit lines on either side of the word line are associated with the bit line segments MSBL 1 (L), MSBL 1 (R), and the sense amplifier and decoder of each segment, respectively. They are close to each other and separated in parallel. This spacing is also the spacing along the Y direction between adjacent vertically active columns in the memory array. It is not possible to provide a dedicated sense amplifier and other support circuits for each of the bit line segments laid out along the Y direction. In such a configuration, each sense amplifier can serve 1, 2, 4, 8, or more adjacent bit line segments via a segment selection decoder within the substrate. In the X direction, a 1 terabit 3D vertical NOR flash memory chip can have hundreds of region bit line segments instead of long global bit lines, which can significantly reduce bit line RC delays. it can.

図3Eは、図3Dの実施形態の回路アーキテクチャの変形例を示す。この図3Eの例では、隣接する垂直ローカルソース線375−1、375−2、・・・のグループは、ビット線セグメントと同一のX方向に沿って延びるソース線セグメントMSSL、MSSL、・・・によって接続されている。ソース線セグメントによって接続されたローカルソース線のこのグループ化は、ソース線セグメントに関連する垂直NORストリングの各々にソース電圧Vssを提供するのに必要なソース線選択トランジスタSLS、SLS、・・・の数を減少させる。また、上述したように、垂直ローカルソース線のグループをソース線セグメントで接続することは、累積寄生容量(C)の増加に直接寄与する。水平ソース線セグメントによって接続された垂直ローカルソース線も、対応する水平ビット線セグメントによって接続された垂直ローカルビット線と密接に関連付けられている。しかしながら、ビット線セグメントに関連する垂直ローカルビット線の数は、ソース線セグメントに関連する垂直ローカルソース線の数と同一である必要はない。その結果、ビット線セグメントは、例えば、複数のソース線セグメントと関連付けられる。例えば、ビット線セグメントMSBL1は、256本のローカル垂直ビット線374−1、374−2、・・・と関連付けることができ、これらは、その各々が32本のローカルソース線375−1、375−2、・・・とのみ関連付けることができる8本のソース線セグメントと関連付けることができる。各ソース線セグメントは、その専用のソース線選択トランジスタ(例えば、ソース線選択トランジスタSLS)を介して、その電圧Vssを個別に印加されることができる。 FIG. 3E shows a modified example of the circuit architecture of the embodiment of FIG. 3D. In the example of FIG. 3E, the groups of adjacent vertical local source lines 375-1, 375-2, ... Are source line segments MSSL 1 , MSSL 2 , which extend along the same X direction as the bit line segment.・ ・ Connected by. This grouping of local source lines connected by a source line segments, the source line necessary to provide a source voltage V ss to each of the vertical NOR string select transistor SLS 1, SLS 2 associated with the source line segment, -・ ・ Reduce the number of. Also, as described above, connecting groups of vertical local source lines with source line segments directly contributes to the increase in cumulative parasitic capacitance (C). The vertical local source line connected by the horizontal source line segment is also closely associated with the vertical local bit line connected by the corresponding horizontal bit line segment. However, the number of vertical local bit lines associated with the bit line segment does not have to be the same as the number of vertical local source lines associated with the source line segment. As a result, the bit line segment is associated with, for example, a plurality of source line segments. For example, the bit line segment MSBL1 can be associated with 256 local vertical bit lines 374-1, 374-2, etc., each of which has 32 local source lines 375-1, 375-5. It can be associated with eight source line segments that can only be associated with 2, ... Each source line segment can be individually applied with its voltage V ss via its dedicated source line selection transistor (eg, source line selection transistor SLS 1).

図3Fは、図3Eの実施形態の回路アーキテクチャの変形例を示す。図3Fの例では、グローバルソース線(例えば、グローバルソース線313)も、ソース線選択トランジスタ(例えば、ソース選択トランジスタSLS)も設けられていない。図3Fでは、各ソース線セグメントに関連するローカル垂直ソース線は、プリチャージトランジスタ(例えば、プリチャージトランジスタ370)を介してソース電圧Vssにプリチャージされ、そのワード線WLCHGは、ソース線セグメントに関連するローカル垂直ビット線を介して基板310内の回路から供給される電圧Vblを転送するのに十分な電圧パルスによってオンにされる。ソース線セグメントに関連する垂直ローカルビット線の数は、ソース線セグメントの寄生容量(C)を最大化して、セルの読み出し中に仮想接地電圧Vssを保持する間の最適化された数であり、ソース線セグメントに関連する垂直NORストリング内の全ての「オフ」のトランジスタに伴うバックグラウンドリーク電流を十分に低く維持し、ソース線セグメント内のアクセスされたストレージトランジスタの読み出しを妨げないようにする必要性のためにバランスが取られる。ビット線セグメント内では、任意の選択されていないソース線サブセグメントを、そのVss電圧をそれに関連するビット線セグメント電圧Vblと等しくしてそのバックグラウンドリーク電流を除去するために、プリチャージすることができる。 FIG. 3F shows a modified example of the circuit architecture of the embodiment of FIG. 3E. In the example of FIG. 3F, neither the global source line (for example, the global source line 313) nor the source line selection transistor (for example, the source selection transistor SLS 1 ) is provided. In FIG. 3F, the local vertical source line associated with each source line segment is precharged to the source voltage V ss via a precharge transistor (eg, precharge transistor 370), and the word line WL CHG is the source line segment. It is turned on by a voltage pulse sufficient to transfer the voltage Vbl supplied from the circuitry within the substrate 310 via the local vertical bit line associated with. The number of vertical local bit lines associated with the source line segment is an optimized number during the parasitic capacitance of the source line segment (C) to maximize, to hold the virtual ground voltage V ss during the readout of the cell Keeps the background leakage current associated with all "off" transistors in the vertical NOR string associated with the source line segment low enough so that it does not interfere with the reading of the accessed storage transistors in the source line segment. Balanced for need. Within the bit line segment, any unselected source line subsegment is precharged to equalize its V ss voltage to its associated bit line segment voltage V bl to eliminate its background leak current. be able to.

図3Gは、図3Eの実施形態における回路アーキテクチャの変形例である。図3Gの例では、メモリアレイと基板との間の接続性は、領域ビット線セグメントSGBL、SGBL、・・・をそれぞれのローカルビット線セグメントMSBL、MSBL、・・・と結合し、各々のビアまたは導体(例えば、埋込コンタクト)を介してセグメント選択トランジスタ315−1、315−2、・・・に接続される各ビット線セグメントをビット線セグメントの真下の基板内に有することによって、さらに単純化される。この構成では、シリコン基板上(例えば、図3Fのセグメント選択トランジスタ586−1、・・・、586−n)に薄膜トランジスタを設けるのではなく、セグメント選択トランジスタは、単結晶基板310内の高効率トランジスタによって提供される。この構成は、ビット線セグメントに関連するセンスアンプ、デコーダ、レジスタ、電圧源、及び他の回路へのロバストなアクセスを提供する。プリチャージ経路によって可能となったグローバルソース線選択トランジスタSLS、SLS、・・・の廃止と、各ビット線セグメントを基板内のセグメント回路の近くに配置することによって可能となったセグメント選択薄膜トランジスタ586−1、・・・、586n(または、従来の3DNANDアレイで一般的に行われているように、高価な選択的エピタキシーシリコンで構築された選択トランジスタ)の廃止によって、プロセス集積フローを大幅に簡素化することができる。 FIG. 3G is a modification of the circuit architecture according to the embodiment of FIG. 3E. In the example of FIG. 3G, the connectivity between the memory array and the substrate combines the region bit line segments SGBL 1 , SGBL 2 , ... With the respective local bit line segments MSBL 1 , MSBL 2 , .... Each bit wire segment connected to the segment selection transistors 315-1, 315-2, ..., Via each via or conductor (eg, an embedded contact) is in the substrate directly below the bit wire segment. Is further simplified by. In this configuration, instead of providing the thin film transistor on the silicon substrate (for example, the segment selection transistor 586-1, ..., 586-n in FIG. 3F), the segment selection transistor is a high efficiency transistor in the single crystal substrate 310. Provided by. This configuration provides robust access to sense amplifiers, decoders, registers, voltage sources, and other circuits associated with the bit line segment. The segment selection thin film transistor made possible by abolishing the global source line selection transistors SLS 1 , SLS 2 , ... made possible by the precharge path and arranging each bit line segment near the segment circuit in the substrate. The abolition of 586-1, ..., 586n (or selective transistors constructed of expensive selective epitaxy silicon, as is commonly done in conventional 3D NAND arrays) significantly increases the process integration flow. It can be simplified.

図3H及び図3Iは、図3Gの実施形態に類似する別の実施形態を示す。図3H及び図3Iの例では、ソース線セグメントのコネクタMSSL及びMSSL上の電圧、したがって、各ソース線セグメント内のローカル垂直ソース線375(LSL)上の電圧も、メモリアレイのストレージアクティブ列(例えば、アクティブ列381)のいずれかの構成を模倣しているがメモリストレージには使用されないアクティブ列381(「チャージ列」)を介して、基板310から供給される。換言すれば、チャージ列381は、ソース線セグメントMSSL及びMSSL内のローカルソース線をチャージするための専用である(他の実施形態では、各チャージ列は、単一のソース線セグメントのみに対してサーブする)。図3Hに示すように、チャージ列381は、例えば、隣接するビット線セグメントSEG及びSEG間の開口BLO内に形成される。読み出し動作(任意選択で、任意のプログラム、プログラム禁止、または消去動作)を通じて、チャージ列381は、ソース線セグメントMSSL及びMSSL内の垂直ローカルソース線上に必要な電圧を送達及び保持する(ソース線セグメントMSSL及びMSSLは両方とも、チャージ列381によってサーブされる)。この点に関して、チャージ列381は、例えば、図3Eのグローバルソース線GSL1313の必要性を排除し、関連するソース線セグメント選択トランジスタSLSの必要性を排除する。また、例えば、図3Gの実施形態に示したような、メモリスタック内のプリチャージトランジスタ370(これは、余分なワード線平面WLchgを必要とする)の必要性も排除する。 3H and 3I show another embodiment similar to the embodiment of FIG. 3G. In the examples of FIGS. 3H and 3I, the voltage on the connectors MSSL 1 and MSSL 2 of the source line segment, and thus the voltage on the local vertical source line 375 (LSL) in each source line segment, is also the storage active column of the memory array. It is supplied from the substrate 310 via an active row 381 (“charge row”) that mimics any configuration of (eg, active row 381) but is not used for memory storage. In other words, the charge column 381 is dedicated to charging the local source lines in the source line segments MSSL 1 and MSSL 2 (in other embodiments, each charge column is only a single source line segment. Serve against). As shown in FIG. 3H, the charge sequence 381 is formed, for example, in the opening BLO between the adjacent bit line segments SEG 1 and SEG 2. Through a read operation (optionally, any program, program prohibition, or erase operation), the charge sequence 381 delivers and holds the required voltage on the vertical local source lines in the source line segments MSSL 1 and MSSL 2 (source). The line segments MSSL 1 and MSSL 2 are both served by charge row 381). In this regard, the charge column 381, for example, eliminating the need for global source line GSL1313 in FIG. 3E, eliminating the need for the associated source line segment select transistors SLS 1. It also eliminates the need for a precharge transistor 370 in the memory stack, which requires an extra wordline plane WL chg, as shown, for example, in the embodiment of FIG. 3G.

図3H及び図3Iのセグメント化構造では、任意のメモリ面のストレージトランジスタの読み出し動作において、ソース線セグメントMSSL及びMSSLの各ローカルソース線上のソース電圧は、チャージ列381の垂直ソース線375(LSL)から接続VSLを介してVss(例えば、0ボルト)で印加される。電圧Vssは、シリコン基板310内のデコードされた選択トランジスタ(図3Hに、315Xとして示される)、ビット線ミニセグメントSSVss、垂直ローカルビット線374(LBL)、パストランジスタ371、及び垂直ローカルソース線375(LSL)を介して、基板310から供給される(パストランジスタ371は、読み出し動作中に、ワード線WL31によってアクティブ化され、導通または「オン」状態に保持される)。任意のプログラム、プログラム禁止、または消去動作中にソース線セグメントMSSL及びMSSLに印加されるソース電圧も、同様に提供することができる。シリコン基板310内の選択トランジスタ315Xは、消去動作中にローカルビット線374(LBL)に印加される高電圧に耐えることができる高電圧トランジスタであり得る。 In the segmented structure of FIGS. 3H and 3I, in the read operation of the storage transistor on an arbitrary memory surface, the source voltage on each local source line of the source line segments MSSL 1 and MSSL 2 is the vertical source line 375 of the charge column 381 ( V ss (e.g. from LSL) via connection VSL, it is applied at 0 volts). The voltage V ss is a decoded select transistor (shown as 315X in FIG. 3H), a bit line mini-segment SSV ss , a vertical local bit line 374 (LBL), a pass transistor 371, and a vertical local source in a silicon substrate 310. Supplied from the substrate 310 via wire 375 (LSL) (path transistor 371 is activated by word wire WL 31 during the read operation and is held in a conductive or "on" state). Source voltages applied to the source line segments MSSL 1 and MSSL 2 during any program, program prohibition, or erase operation can be provided as well. The selection transistor 315X in the silicon substrate 310 can be a high voltage transistor capable of withstanding the high voltage applied to the local bit line 374 (LBL) during the erasing operation.

図3Iは、図3Hの実施形態の上部X−Y平面図をより詳細に示し、ソースセグメントMSSL内の各垂直ローカルソース線は、アクティブ列381を介して供給される電圧VssまたはVblに保持される。図3Iにおいて、メモリアレイは、図6Bの実施形態に示したものと同様のレイアウトを有する。図3Iに示すように、ビット線セグメントSEGとSEGとの間には、X方向に沿って延びる各行が2つのチャージ列と、Y方向に沿ってレイアウトされた所定数(例えば、2048)のこのような行とを有するチャージ列のアレイが設けられている。このチャージ列のアレイは、ビット線(図3Iでは、「BLO」という符号が付されている)における2つの不連続部または開口部の間に設けられる。2本の破線の間のアクティブ列の1つの行では、X方向に沿って延びるソース線コネクタが、右側のチャージ列を、ビット線セグメントSEG1のソース線セグメントMSSL1(すなわち、上側の破線に沿ったアクティブ列の1つおきに)のローカルソース線に接続する。同一の右チャージ列が、ビット線セグメントSEGにおけるソース線セグメントMSSLのアクティブ列のローカルソース線に接続されている。ソース電圧は、シリコン基板からビット線コネクタへ、そして、右側のアクティブ列のローカルビット線へ供給される。「WL31」という符号が付されたワード線は、チャージ列内のパストランジスタをアクティブ化して、ソース電圧をVSLという符号が付されたローカルソース線に転送し、これにより、ソース電圧をソース線セグメントMSSL及びMSSLのローカルソース線に供給する(この回路構成が、図3Hの回路に示されている)。破線間のこのチャージ列の行の左側のチャージ列も、同様にして、下側の破線に沿った別のソース線セグメント対に接続される。 FIG. 3I shows the upper XY plan view of the embodiment of FIG. 3H in more detail, where each vertical local source line in the source segment MSSL 1 has a voltage V ss or V bl supplied through the active column 381. Is held in. In FIG. 3I, the memory array has a layout similar to that shown in the embodiment of FIG. 6B. As shown in FIG. 3I, between the bit line segments SEG 1 and SEG 2 , each row extending along the X direction has two charge columns and a predetermined number (for example, 2048) laid out along the Y direction. An array of charge columns with such rows of is provided. The array of charge trains is provided between two discontinuities or openings in the bit line (labeled "BLO" in FIG. 3I). In one row of the active column between the two dashed lines, the source line connector extending along the X direction traverses the charge column on the right side along the source line segment MSSL1 of the bit line segment SEG1 (ie, along the upper dashed line). Connect to the local source line (every other active column). The same right-charged column is connected to the local source line of the active column of the source line segment MSSL 2 in the bit line segment SEG 2. The source voltage is supplied from the silicon substrate to the bit line connector and to the local bit line in the active column on the right. The word line labeled "WL 31 " activates the pass transistor in the charge train and transfers the source voltage to the local source line labeled VSL, which transfers the source voltage to the source line. It is supplied to the local source lines of segments MSSL 1 and MSSL 2 (this circuit configuration is shown in the circuit of FIG. 3H). The charge column to the left of this charge column row between the dashed lines is similarly connected to another source line segment pair along the lower dashed line.

複数のワード線平面を有する3次元垂直NORストリングメモリアレイでは、スタック内の全ての平面のローカルワード線は、アレイの端部において階段状ステップWLSTCに配置される(例えば、図3I及び図6G参照)。隣接するビット線セグメント(例えば、図3Hのビット線セグメントSEG1及びSEG2)の各対に対してチャージ列(例えば、チャージ列381)をアクティブ化するために、各メモリ面に対して1以上の専用グローバルワード線(例えば、図3Iでは、「GWLCHG」という符号が付されている)が必要とされ得る。図3I(インサートを参照)の例に示すように、GWLCHGという符号が付されたグローバルワード線は全て、アクティブ列381に対応するローカルワード線WL31に接続され、ビット線セグメントSEG及びSEG内の他の全てのワード線をスキップする。対照的に、メモリアレイ(例えば、GWL)のストレージトランジスタのための各グローバルワード線は、チャージ列381のワード線をスキップしながら、ビット線セグメントSEG及びSEGに関連する多数のローカルワード線にハードワイヤ接続される。異なるメモリ面上のチャージ列381のグローバルワード線(図3Iのインサート内の「GWLCHG」という符号が付された全てのもの)は、周辺回路(図示せず)で一緒に短絡され、それによって、ワード線WL〜WL31に関連するチャージ列381のパストランジスタのいずれか(または全て)をアクティブ化する。一実施形態では、接続されたソース線セグメントのブロック内の全てのチャージ列のパストランジスタが、チップの電源を入れたときに一緒にアクティブ化される。しかしながら、ブロック内の任意のソース線セグメントまたはソース線セグメント対は、それの関連するセグメント選択トランジスタ(例えば、セグメント選択トランジスタ315X)をスイッチオフして、シリコン基板から絶縁されたその対応するチャージ列を有することによって、選択解除することができる。 In a 3D vertical NOR string memory array with multiple wordline planes, the local wordlines of all planes in the stack are placed in a stepped step WLSTC at the end of the array (see, eg, FIGS. 3I and 6G). ). One or more dedicated for each memory surface to activate the charge sequence (eg, charge sequence 381) for each pair of adjacent bit line segments (eg, bit line segments SEG1 and SEG2 in FIG. 3H). A global word line (eg, in FIG. 3I, labeled "GWL CHG ") may be required. As shown in the example of FIG. 3I (see insert), all global word lines labeled GWL CHG are connected to the local word line WL 31 corresponding to the active column 381 and are connected to the bit line segments SEG 1 and SEG. Skip all other word lines in 2. In contrast, each global word line for a storage transistor in a memory array (eg, GWL) has a large number of local word lines associated with the bit line segments SEG 1 and SEG 2, skipping the word line in charge sequence 381. Hard wire is connected to. The global word lines of charge rows 381 on different memory planes ( all labeled "GWL CHG " in the insert of FIG. 3I) are shorted together in a peripheral circuit (not shown) thereby. , Activate any (or all) of the pass transistors in the charge sequence 381 associated with the word lines WL 0- WL 31. In one embodiment, all charge row pass transistors in the block of connected source line segments are activated together when the chip is powered on. However, any source line segment or source line segment pair in the block switches off its associated segment selection transistor (eg, segment selection transistor 315X) to its corresponding charge sequence isolated from the silicon substrate. By having it, it can be deselected.

図3H及び図3Iの実施形態は、図3Gの実施形態で実施されるようなフローティング電源のプリチャージシーケンスの必要性を排除する。プリチャージシーケンスの必要性を排除することにより、読み出し動作の開始前にソース電圧を設定し、その後、電圧Vssに安定に保持することができ、これにより、フローティングソースのプリチャージパルスに必要なオーバーヘッド時間が不要となるので、読み出し動作を高速化することができる。さらに、チャージ列381は、読み出し動作を通じて(すなわち、瞬間的なプリチャージパルスだけではない)、ソース線セグメントMSSL1のローカルソース線を電圧Vssに保持するので、接続VSLを介して提供される定常電流は、過剰である場合にアドレス指定されたストレージトランジスタの読み出し検出を損なう恐れがあるいかなるソース−ドレインリークも補償する。 The embodiments of FIGS. 3H and 3I eliminate the need for a floating power supply precharge sequence as implemented in the embodiment of FIG. 3G. By eliminating the need for a precharge sequence, the source voltage can be set before the start of the read operation and then kept stable at the voltage V ss , which is required for the floating source precharge pulse. Since no overhead time is required, the read operation can be speeded up. In addition, the charge sequence 381 holds the local source line of the source line segment MSSL1 at the voltage V ss throughout the read operation (ie, not just the momentary precharge pulse), so that the steady state provided via the connection VSL The current compensates for any source-drain leak that could impair read detection of the addressed storage transistor if in excess.

要約すると、チャージ列381は、垂直NORメモリストリング内で電圧VssまたはVblをシリコン基板からローカルソース線に転送するためのローカル垂直コネクタとして機能する。チャージ列の垂直ローカルソース線上の任意の電圧VssまたはVblを、パストランジスタ(例えば、パストランジスタ371)を介して、それに関連するローカルビット線に転送することができるが、ローカルビット線は、セグメント選択デコーダ315−1を介してシリコン基板内の電圧源に接続され得るビット線コネクタMSBLから直接的にチャージしてもよい。 In summary, the charge sequence 381 acts as a local vertical connector for transferring the voltage V ss or V bl from the silicon substrate to the local source line within the vertical NOR memory string. Any voltage V ss or V bl on the vertical local source line of the charge train can be transferred via a pass transistor (eg, pass transistor 371) to its associated local bit line, which is a local bit line. It may be charged directly from the bit line connector MSBL 1 which may be connected to a voltage source in the silicon substrate via the segment selection decoder 315-1.

64または128のメモリ面を有する3次元垂直NORメモリスタックでは、スタックの高さは、チャージ列381の長さでもあり、5マイクロメートル(ミクロン)を超えることができ、これは、チャージ列381(図3H)の垂直ローカルソース線375(LSL)またはローカルビット線374(LBL)にとってかなり長い距離である。対応するN+ドープポリシリコンピラー455及び454(図4A参照。また、図6Eにおいて、655(N+)LSL−1及び654(N+)LBL−1として示されており、パイロンと称される場合もある)の電気抵抗(R:オーム)が過剰になり、主として読み出し経路に悪影響を及ぼすRC遅延をもたらすことがある。ピラーの抵抗Rは、ピラーのコア内に低抵抗金属材料を設けることによって、1桁以上低減させることができる。例えば、以下の詳細な説明において、図4A−1は金属コア420(M)を示し、図7D−1は金属コア720(M)を示す。 In a three-dimensional vertical NOR memory stack with 64 or 128 memory planes, the height of the stack is also the length of the charge row 381, which can exceed 5 micrometers (microns), which is the charge row 381 ( It is a fairly long distance for the vertical local source line 375 (LSL) or local bit line 374 (LBL) of FIG. 3H). Corresponding N + dope polysilicon pillars 455 and 454 (see FIG. 4A; also shown in FIG. 6E as 655 (N +) LSL-1 and 654 (N +) LBL-1 and are sometimes referred to as pylon. ) Excessive electrical resistance (R: ohm) may result in RC delays that primarily adversely affect the read path. The resistance R of the pillar can be reduced by an order of magnitude or more by providing a low resistance metal material in the core of the pillar. For example, in the following detailed description, FIG. 4A-1 shows the metal core 420 (M) and FIG. 7D-1 shows the metal core 720 (M).

図5Bは、本発明の一実施形態による、例えばP+ポリシリコンから誘電体層592内に形成された導電性ピラー591を介した、本体領域556(P−チャネル材料を提供する)と、アクティブ列581の上に設けられ、ワード線に対して平行に延在する導体590との間の接続を示すZ−Y平面の断面図である。導体590はまた、高濃度にドープされたポリシリコン、シリサイド、または金属導体から形成してもよい。この構成では、ブロック消去動作を容易にするために、基板505から誘電絶縁体509を貫通して形成された開口部内に設けられたビア593を介して、ボディバイアス電圧(Vbb)594を導体590に提供することができる。 FIG. 5B shows a body region 556 (providing a P-channel material) and an active row, for example, via a conductive pillar 591 formed in a dielectric layer 592 from P + polysilicon, according to an embodiment of the invention. FIG. 5 is a cross-sectional view of a ZZ plane showing a connection between a conductor 590 provided above 581 and extending parallel to the word line. The conductor 590 may also be formed from a highly concentrated polysilicon, silicide, or metal conductor. In this configuration, the body bias voltage (V bb ) 594 is conducted through the via 593 provided in the opening formed through the dielectric insulator 509 from the substrate 505 in order to facilitate the block erasing operation. It can be provided to 590.

図6Eは、ボディバイアス電圧を、導体690−1及び690−2(「ボディバイアス導体」)を介して提供することを示す。ボディバイアス電圧は、図6Bに示した実施形態のレイアウトを使用して、アクティブ列の隣接する行におけるボディ領域間で共有される。この構成では、ワード線592(すなわち、ワード線623p−L)は、ボディバイアス導体690−1と一致して延びている。消去動作のブロックサイズは、各ボディバイアス導体(例えば、導体690−1)の左側のアクティブ列及び右側のアクティブ列に制限される。より大きな消去ブロックは、例えば、ビット線セグメントをアドレスするワード線の数に一致するように結合されたボディバイアス導体のクラスタを有することによって構成することができる。基板内のデコーダは、1以上の選択された消去ブロックに対して、適切なボディバイアス電圧(例えば、消去電圧)を供給する。 FIG. 6E shows that the body bias voltage is provided via conductors 690-1 and 690-2 (“body bias conductor”). The body bias voltage is shared between body regions in adjacent rows of the active column using the layout of the embodiment shown in FIG. 6B. In this configuration, the word line 592 (ie, the word line 623p-L) extends consistently with the body bias conductor 690-1. The block size of the erasing operation is limited to the left active row and the right active row of each body bias conductor (eg, conductor 690-1). A larger erase block can be configured, for example, by having a cluster of body bias conductors coupled to match the number of word lines addressing the bit line segment. The on-board decoder supplies an appropriate body bias voltage (eg, erasure voltage) to one or more selected erasure blocks.

再び図5Bを参照して、アクティブ列(例えば、アクティブ列581)を形成した後、アクティブ列上に誘電体層592を形成する。続いて、誘電体層592の頂部からボディ領域556の頂部まで、ビアホールを異方性エッチングする。次に、誘電体層592上にP+ドープポリシリコンの層を堆積させてビアホールを充填し、導電性ピラー(例えば、導電性ピラー591)を形成する。次いで、P+ドープポリシリコンの層をパターニング及びエッチングして導体(例えば、導体590)を形成し、ビア593を介して、ボディバイアス電圧Vbbを供給する電圧源594に接続する。ボディバイアス電圧Vbbは、TFT閾値電圧を上昇させるか、またはその閾値下リークを減少させるために、消去中に印加される正の高電圧、または読み出し中に印加される低い負の基板バイアス電圧であり得る。図6Eは、形成されたP+ドープポリシリコンフィーチャ690−1及び690−2を示す上面図である。 With reference to FIG. 5B again, the active row (eg, active row 581) is formed, and then the dielectric layer 592 is formed on the active row. Subsequently, the via hole is anisotropically etched from the top of the dielectric layer 592 to the top of the body region 556. Next, a layer of P + doped polysilicon is deposited on the dielectric layer 592 to fill the via holes to form conductive pillars (for example, conductive pillars 591). The layer of P + doped polysilicon is then patterned and etched to form a conductor (eg, conductor 590), which is connected via a via 593 to a voltage source 594 that supplies the body bias voltage V bb. The body bias voltage V bb is a positive high voltage applied during erasing or a low negative substrate bias voltage applied during readout to increase the TFT threshold voltage or reduce its subthreshold leakage. Can be. FIG. 6E is a top view showing the formed P + doped polysilicon features 690-1 and 690-2.

図5Bに示す実施形態では、導体590は、本体領域556の上方に設けられる。しかしながら、他の実施形態では、導体590は、下方から本体領域556に接触するように、本体領域556の真下に設けてもよい。実際、ボディ領域556の上方及び下方の両方からボディバイアス電圧を提供することは有利であり得る。ボディ領域556の下方からボディバイアス電圧を提供する場合、導体590に類似した導体が、図5Aに示したものと同様に、層間誘電体のビアを介して基板から直接提供されてもよい。 In the embodiment shown in FIG. 5B, the conductor 590 is provided above the body region 556. However, in other embodiments, the conductor 590 may be provided directly below the body region 556 so that it contacts the body region 556 from below. In fact, it may be advantageous to provide the body bias voltage from both above and below the body region 556. When providing the body bias voltage from below the body region 556, a conductor similar to conductor 590 may be provided directly from the substrate via the interlayer of the interlayer dielectric, similar to that shown in FIG. 5A.

セグメント化ローカルビット線及びセグメント化ローカルソース線アレイの動作モード Operating modes of segmented local bit lines and segmented local source line arrays

本発明の実施形態に関して上述したような、ビット線セグメントを有するワード線の例えば64平面のメモリスタックでは、選択されたビット線セグメントに関連する任意の平面(例えば、25番目の平面)上のストレージトランジスタを読み出すとき、選択されたビット線セグメントに関連する全ての平面における全てのワード線は、選択されたストレージトランジスタをアドレス指定する選択された平面上のワード線を除いて、その「オフ」閾値電圧に保持される。ワード線電圧が上昇すると、消去状態(すなわち、導通状態または「オン」状態)のストレージトランジスタは、そのビット線電圧(Vbl)を、仮想接地電位(Vss)に予めプリチャージされているそのローカルソース線(及び、それに関連するソース明細セグメント;該当する場合)に放電する。ビット線電圧Vblの放電速度は、ビット線セグメントのセンスアンプによって感知される。同一ワード線を共有するY方向に沿った他のビット線セグメントに関連付けられた、選択された平面(すなわち、この例では25番目の平面)上の他のストレージトランジスタ、または、異なるワード線によってアドレス指定されたX方向に沿った他のビット線セグメントに関連付けられた他のストレージトランジスタは、各ビット線セグメントがその専用のセンスアンプを有するので、同時に読み出すことができる。読み出し動作では、まず、プリチャージ動作中にローカルビット線を0Vに設定することにより、仮想ソース電圧をプリチャージする(あるいは、仮想電源電圧を約1Vまで上昇させてもよい)。プリチャージ後、ローカルビット線はセンスアンプ電圧(例えば、電源電圧よりも約0.1V〜0.5V高い電圧で)にチャージされ、基板は電圧Vbb(例えば、約0V〜約−2V)に設定され、ワード線WLは消去閾値電圧よりも約1V〜3V高くされる。 In a memory stack of, for example, 64 planes of word lines having bitline segments, as described above for embodiments of the present invention, storage on any plane (eg, the 25th plane) associated with the selected bitline segment. When reading a transistor, all wordlines in all planes associated with the selected bitline segment have their "off" threshold, except for wordlines on the selected plane that address the selected storage transistor. It is held in voltage. As the ward line voltage rises, the erased (ie, conducting or "on") storage transistor precharges its bit line voltage (V bl ) to its virtual ground potential (V ss ). Discharge to the local source line (and its associated source line segment; if applicable). The discharge rate of the bit line voltage V bl is sensed by the sense amplifier of the bit line segment. Addressed by other storage transistors on the selected plane (ie, the 25th plane in this example) associated with other bitline segments along the Y direction that share the same wordline, or by different wordlines. Other storage transistors associated with other bitline segments along the specified X direction can be read out simultaneously because each bitline segment has its own sense amplifier. In the read operation, first, the virtual source voltage is precharged (or the virtual power supply voltage may be raised to about 1 V) by setting the local bit line to 0 V during the precharge operation. After precharging the local bit line sense amplifier voltage (e.g., about 0.1V~0.5V voltage higher than the power supply voltage) is charged, the substrate voltage V bb (e.g., about 0V~ about -2 V) The word line WL is set to be about 1V to 3V higher than the erasing threshold voltage.

各ワード線の両側のストレージトランジスタの実施形態(例えば、図6A及び図6Eの実施形態)では、2つのストレージトランジスタのうちの1つだけが、読み出し動作中の任意の時点で導通するように注意しなければならない。これは、上述したように、互いに平行に延びる別個のビット線セグメントを提供することによって達成されるが、各々は、それ自体のセンスアンプ、デコーダ、電圧源、及び他のサポート回路によってサーブされる。図6Eに示すように、ビット線セグメントは、左側ストレージトランジスタのMSBL(L)、及び右側ストレージトランジスタのMSBL(R)である。 In embodiments of storage transistors on either side of each word line (eg, embodiments of FIGS. 6A and 6E), care is taken that only one of the two storage transistors conducts at any time during the read operation. Must. This is achieved by providing separate bitline segments that extend parallel to each other, as described above, but each is served by its own sense amplifier, decoder, voltage source, and other support circuitry. .. As shown in FIG. 6E, the bit line segments are MSBL 1 (L) of the left storage transistor and MSBL 1 (R) of the right storage transistor.

ストレージトランジスタをプログラムするために、選択された平面(すなわち、この例では25番目の平面)を除く全ての平面上の全てのワード線は接地電位に設定され、一方、選択された(すなわち、25番目の平面上の)ストレージトランジスタをアドレスするワード線は、所望のプログラム電圧が、読み出し動作によって到達したことが確認されるまで、例えば増分電圧ステップ(例えば、約8ボルトで開始し、電圧を段階的に増大させて、電圧パルスを印加する)を用いて、適切なプログラム電圧まで上昇させる。プログラム動作の間、ビット線セグメント上の電圧は、関連するソース線セグメントと同様に接地電位に保持される。 To program the storage transistor, all word lines on all planes except the selected plane (ie, the 25th plane in this example) are set to ground potential, while selected (ie, 25). The word line addressing the storage transistor (on the third plane) starts at, for example, an incremental voltage step (eg, about 8 volts) and steps the voltage until the desired program voltage is confirmed to have been reached by the read operation. To increase the voltage and apply a voltage pulse) to raise the voltage to an appropriate program voltage. During program operation, the voltage on the bit line segment is held at ground potential as well as the associated source line segment.

同一ワード線を共有する他のビット線セグメントに関連する選択された平面上のストレージトランジスタをプログラムし続けながら、さらなるプログラムを禁止するために、ビット線セグメント及びソース線セグメントは、連続するプログラムパルスの間のリードベリファイサイクルで、プログラムシーケンスの終了まで、プログラム禁止電圧(例えば、プログラム電圧の約1/3〜1/2)まで上昇させる。ビット線またはソース線セグメント内のローカルビット線及びローカルソース線への全てのプログラム電圧及びプログラム禁止電圧は、(ソース線のプリチャージ動作により)ビット線セグメントのみを介して提供される。読み出し動作と同様に、Y方向に沿った他のビット線セグメントに関連するストレージトランジスタ(すなわち、選択されたストレージトランジスタと同一ワード線を共有する)、及び、X方向に沿った他のビット線セグメントに関連するストレージトランジスタ(すなわち、異なるワード線と関連する)は、同時にプログラムまたはプログラム禁止することができる。 To forbid further programming while continuing to program the storage transistors on the selected plane associated with other bit line segments that share the same word line, the bit line segment and the source line segment are of continuous program pulses. In the read verification cycle between, the program prohibition voltage (for example, about 1/3 to 1/2 of the program voltage) is raised until the end of the program sequence. All program and program prohibition voltages to the local bit line and the local source line within the bit line or source line segment are provided only through the bit line segment (due to the source line precharge operation). Similar to the read operation, the storage transistor associated with the other bit line segment along the Y direction (ie, sharing the same word line with the selected storage transistor), and the other bit line segment along the X direction. Storage transistors associated with (ie, associated with different wordlines) can be programmed or program-banned at the same time.

消去動作は、ビット線セグメント、ソース線セグメント、または消去されるブロックに関連するストレージトランジスタの全てのワード線を0Vで保持するとともに、バージンストレージトランジスタ(すなわち、一度もプログラムまたは消去されたことのないストレージトランジスタ)のボディバイアス電圧(Vbb)を約12Vに上昇させ、高サイクル数ストレージトランジスタのボディバイアス電圧(Vbb)を20V以上に上昇させることによって達成される。消去ブロック内のフローティングN+垂直ローカルソース線及びN+垂直ローカルビット線は、それらのp−ボディ領域に印加される正の電圧に従うため、ビット線セグメントに関連する全てのセンスアンプを、それらのビット線またはビット線セグメントから絶縁してもよい。 The erase operation holds all the word lines of the storage transistor associated with the bit line segment, source line segment, or block to be erased at 0V and the virgin storage transistor (ie, never programmed or erased). This is achieved by increasing the body bias voltage (V bb ) of the storage transistor) to about 12 V and increasing the body bias voltage (V bb ) of the high cycle number storage transistor to 20 V or more. The floating N + vertical local source lines and N + vertical local bit lines in the erase block follow the positive voltage applied to their p-body region, so that all sense amplifiers associated with the bit line segment have their bit lines. Alternatively, it may be isolated from the bit line segment.

当業者によく知られている他の条件を用いて、読み出し、プログラム、プログラム禁止、及び消去を行うことが可能である。 It is possible to read, program, program ban, and erase using other conditions well known to those of skill in the art.

低レイテンシ分割ローカル線及びグローバルワード線 Low latency split local line and global word line

本発明の実施形態におけるビット線セグメンテーションは、従来の3D−NAND及び3D−NORメモリアレイの従来のグローバルビット線におけるRC遅延を大幅に低減させるのに役立つ。長い読み出しレイテンシの別の主な原因は、通常、チップの幅のほぼ半分または全体にわたって、グローバルビット線に直交して延びる、長い高容量性のローカルワード線導体である。このため、米国特許第2017/0092371A1号明細書の3D垂直NORフラッシュメモリアレイは、従来の3D−NANDフラッシュメモリアレイと同様に、各メモリ面に対して少なくとも1層のローカルワード線導体を必要とする。64面NANDまたはNORメモリアレイでは、これらのワード線導体は、高い階段状ステップで構成される。ローカルワード線は、プログラム中に高電圧を供給するので、それらのデコーダは、そのような階段状の各ステップのかなりのシリコン領域を占めることができる高電圧トランジスタ回路を必要とする。 Bit line segmentation in the embodiments of the present invention helps to significantly reduce RC delays in conventional global bit lines of conventional 3D-NAND and 3D-NOR memory arrays. Another major source of long read latency is usually a long, high capacity local word line conductor that extends orthogonally to the global bit line over almost half or the entire width of the chip. For this reason, the 3D vertical NOR flash memory array of US Pat. No. 2017/0092371A1 requires at least one layer of local word line conductors for each memory surface, similar to conventional 3D-NAND flash memory arrays. To do. In 64-sided NAND or NOR memory arrays, these word line conductors consist of high stepped steps. Since local word lines provide high voltage during the program, their decoders require high voltage transistor circuits that can occupy a significant silicon area of each such stepped step.

それらに関連するオーバヘッドコストを低減するために、ワード線は、一般的に、非常に長く形成されており、このため、RC遅延が大きくなり、読み出しレイテンシが悪くなる(例えば、数マイクロ秒の範囲内)。従来の3D−NANDメモリアレイでは、グローバルビット線も長く、立ち上がりまたは立ち下がりが遅いため、長いワード線のレイテンシは、実質的に隠されていた。本発明のビット線セグメントでは、ビット線応答時間を非常に短く(例えば、100ナノ秒の範囲内)することができるので、長いワード線のRC遅延が高速読み出しアクセスの制限要因となる。本発明の一実施形態によれば、部分的な解決策として、3D−NORメモリチップを長くかつ狭くする(すなわち、ワード線の方向に沿って短くし、ビット線セグメントの方向に沿って長くする)。このような設計は、ワード線デコーダを形成するためのシリコン領域は減少しないが、ビット線セグメントに沿ったRC遅延を著しく増加させることなく、ワード線の長さ及びRC遅延を著しく減少させる。 To reduce the overhead costs associated with them, word lines are generally formed very long, which results in high RC delays and poor read latency (eg, in the range of a few microseconds). Inside). In a conventional 3D-NAND memory array, the latency of a long word line is substantially hidden because the global bit line is also long and the rising or falling is slow. In the bit line segment of the present invention, the bit line response time can be very short (eg, within the range of 100 nanoseconds), so the RC delay of a long word line is a limiting factor for high speed read access. According to one embodiment of the invention, as a partial solution, the 3D-NOR memory chip is lengthened and narrowed (ie, shortened along the direction of the word line and lengthened along the direction of the bit line segment. ). Such a design does not significantly reduce the silicon region for forming the wordline decoder, but significantly reduces the wordline length and RC delay without significantly increasing the RC delay along the bitline segment.

本発明の別の実施形態によれば、メモリアレイを、より短いワード線を有するより多くのブロックに分割し、各ブロックを繰り返される階段状ステップに形成することによって、ワード線遅延をさらに減少させることができる。階段状ステップの数を2倍にしてメモリアレイを分割し、それらのワード線デコーダは、RC遅延を4倍に低減させる。 According to another embodiment of the invention, the wordline delay is further reduced by dividing the memory array into more blocks with shorter wordlines and forming each block into repeated stepped steps. be able to. Dividing the memory array by doubling the number of stepped steps, their wordline decoders reduce the RC delay by a factor of four.

長い読み出しレイテンシの別の主な原因は、メモリアレイの側面に沿った階段状ステップの上方のメモリアレイの長さにわたってX方向に延びるグローバルワード線(GWL)のRC遅延が大きいことである。図6Fは、本発明のビット線セグメンテーションスキームに関連する、或る平面(すなわち、或る階段状ステップ)上のローカルワード線を接続するためのグローバルワード線の一実施形態を示す。図6Fでは、メモリアレイの側面に沿った階段状ステップを通る或るX−Y平面におけるローカルワード線、階段状ステップ上のグローバルワード線、及び、それらの相互接続のみが示されている。説明を明確にするために、他の全ての詳細(例えば、P−チャネル材料層及び電荷トラップ層)は省略している。図6Fに示すように、メモリアレイ(例えば、図6Eに示した実施形態に対応するメモリアレイ)のワード線WL、WL、・・・は、Y方向に沿って延在している。グローバルワード線GWL、GWL、・・・は、階段状ステップの上方でX方向に沿って延びる。グローバルワード線は、メモリアレイの各面のワード線を、それらの、基板605内のそれぞれのデコーダ、電圧源、及び他のサポート回路に接続する。例えば、図3D、図3E、図3F及び図3Gのアーキテクチャにビット線セグメント化を適用する場合、各階段状ステップは、最大で、ビット線セグメント内のローカルワード線の数nに一致するn個のグローバルワード線を収容する。図6Fの実施形態では、例えば、各ビット線セグメントは128本のビット線を含み、各ステップにおける各ストレージトランジスタは、対応するワード線によって選択される。このため、ビット線セグメントの各ステップには、128本のワード線が存在する。したがって、各グローバルワード線は、128番目のワード線毎に接続される。例えば、各平面上において、グローバルワード線GWLは、ワード線WL−0、WL−127、・・・に、ビアVIA、VIA127、・・・を介して接続され、GWLは、ワード線WL−1、WL−129、・・・に、ビアVIA、VIA128、・・・を介して、その基板デコーダ及び基板605内の電圧源に接続される。この構成により、共通グローバルワード線及びその専用センスアンプデコーダをアクティブ化することによって、各平面上の128組のストレージトランジスタを同時に読み出すことが可能になる。例えば、ワード線WL、WLi+128、・・・(通常、WLi+128k;k=0、1、・・・)に関連するストレージトランジスタは、グローバルワード線GWLiをアクティブ化することによって、同時に読み出しまたはプログラムすることができ、一方、同一のステップ及び他のステップにおける他の全てのグローバルワード線は、接地電位にしてもよいし(すなわち、全ての他のストレージトランジスタをオフにする)、接地電位に浮遊させてもよい。 Another major cause of long read latency is the large RC delay of the global word line (GWL) extending in the X direction over the length of the memory array above the stepped steps along the sides of the memory array. FIG. 6F shows an embodiment of a global word line for connecting local word lines on a plane (ie, a stepped step), which is related to the bit line segmentation scheme of the present invention. In FIG. 6F, only local word lines in an XY plane through stepped steps along the sides of the memory array, global word lines on stepped steps, and their interconnects are shown. All other details (eg, P-channel material layer and charge trap layer) are omitted for clarity. As shown in FIG. 6F, the word lines WL 0 , WL 1 , ... Of the memory array (for example, the memory array corresponding to the embodiment shown in FIG. 6E) extend along the Y direction. The global word lines GWL 0 , GWL 1 , ... Extend along the X direction above the stepped steps. The global word line connects the word lines on each side of the memory array to their respective decoders, voltage sources, and other support circuits within the board 605. For example, when applying bit line segmentation to the architectures of FIGS. 3D, 3E, 3F and 3G, each stepped step has a maximum of n matching the number n of local word lines in the bit line segment. Accommodates the global ward line of. In the embodiment of FIG. 6F, for example, each bit line segment contains 128 bit lines, and each storage transistor in each step is selected by the corresponding word line. Therefore, there are 128 word lines in each step of the bit line segment. Therefore, each global word line is connected at every 128th word line. For example, on each plane, the global word line GWL 0 is connected to the word lines WL-0, WL-127, ... Via via VIA 0 , VIA 127 , ..., And the GWL 1 is a word. The lines WL-1, WL-129, ... Are connected to the substrate decoder and the voltage source in the substrate 605 via via VIA 1 , VIA 128, .... With this configuration, by activating the common global word line and its dedicated sense amplifier decoder, 128 sets of storage transistors on each plane can be read out at the same time. For example, the storage transistors associated with the word lines WL i , WL i + 128 , ... (Usually WL i + 128k ; k = 0, 1, ...) Can be simultaneously read or read by activating the global word line GWLi. It can be programmed, while all other global word lines in the same step and other steps may be at ground potential (ie, turn off all other storage transistors) or at ground potential. It may be floated.

図6Fに示した実施形態は、シリコン領域においてコストが高いと考えられる。各ビット線セグメント内に128本のワード線が存在し、階段状構造体内に64のステップが存在する場合、64ステップの階段状構造体(または、合計8192のグローバルワード線)の各ステップには、128本のグローバルワード線が必要とされる。本発明の一実施形態によれば、必要とされるグローバルワード線の数は、各グローバルワード線を各ビット線セグメント内の2つ以上のローカルワード線と接触させることによって、2、4、8、16、またはそれ以上減少させることができる。例えば、グローバルワード線GSLは、ワード線WL、WL129、・・・だけでなく、ワード線WL33、WL65、・・・(通常、WL1+32k;k=0、1、・・・)にも接触させることができ、これにより、1ステップ当たりに必要なグローバルワード線の数を4倍減らし、階段状構造体の全幅を4分の1に減らすことができる。当然ながら、シリコン基板には、追加的な復号回路またはビット線セグメントごとに、4倍の数の専用センスアンプが必要とされる(あるいは、ビット線セグメントの単一センスアンプは、4つの連続した読み出しまたはプログラムシーケンスを介して時間共有してもよい)。 The embodiment shown in FIG. 6F is considered to be costly in the silicon region. If there are 128 word lines in each bit line segment and there are 64 steps in the stepped structure, then each step in the 64 step stepped structure (or a total of 8192 global word lines) , 128 global word lines are required. According to one embodiment of the invention, the number of global word lines required is 2, 4, 8 by contacting each global word line with two or more local word lines within each bit line segment. , 16, or more. For example, the global word line GSL 1 includes not only word lines WL 1 , WL 129 , ..., but also word lines WL 33 , WL 65 , ... (Usually WL 1 + 32k ; k = 0, 1, ... ) Can also be contacted, which can reduce the number of global word lines required per step by a factor of four and the overall width of the stepped structure by a factor of four. Of course, the silicon substrate requires four times as many dedicated sense amplifiers for each additional decoding circuit or bit line segment (or a single sense amplifier for the bit line segment is four consecutive Time may be shared via read or program sequence).

グローバルワード線は、階段状ステップの上のメモリアレイの頂部に実装されるので、グローバルワード線は、低抵抗銅配線を使用して実施することができる。当業者に知られているように、ステップ内の隣接するグローバルワード線間のキャパシタンスは、それらの間の誘電体としての置換エアギャップによって低減することができる。グローバルワード線RC遅延は、グローバルワード線デコーダ及びシリコン基板内の電圧源を階段状ステップの真下に接続して、グローバルワード線の長さに沿ったブレークを介して、グローバルワード線の長さの半分、4分の1、または8分の1ごとにアクセスすることによって、さらに低減することができる。 Since the global ward wire is mounted on the top of the memory array above the stepped steps, the global ward wire can be implemented using low resistance copper wiring. As is known to those of skill in the art, the capacitance between adjacent global word lines within a step can be reduced by a substitution air gap as a dielectric between them. The global word line RC delay connects the voltage source in the global word line decoder and silicon substrate directly under the stepped step, and through a break along the length of the global word line, of the length of the global word line. It can be further reduced by accessing every half, one quarter, or one eighth.

例えば、32層スタックから64層スタックに移行する場合、ワード線階段状ステップの数は、32から64に倍増される。図6Gは、本発明の一実施形態による、そのようなステップ倍増を回避する垂直NORストリングメモリアレイの実施形態を示す。図6Gでは、メモリアレイのZ−Y断面が示されており、メモリアレイ内の平面の総数は、互いに積み重ねられた、2以上の連続して形成されたスタック(例えば、STK及びSTK)として提供される。各スタックには、次のスタックが形成される前に完成した、階段状ステップのセットが設けられる。従来技術の3次元NANDメモリアレイでは、32の平面のそれぞれに、メモリセルの2つのスタックが形成される。その後、64平面の階段状構造体のステップが別々に形成され、その後、それらの関連するグローバルワード線が形成される。対照的に、図6Fは、各ステップがグローバルワード線GWL、GWL、・・・、GWL32(X方向に沿って延びている)のうちの1つによって接続されたワード線(Y方向に沿って延びている)である、32の階段状構造体の幅ステップ(ステップA、ステップB)を各々有するスタックSTK及びスタックSTKの形成を示す。スタックSTK及びSTKは、絶縁層617によって互いに絶縁されており、したがって、64の階段状ステップを提供する総幅の半分に低減される。このスキーム下では、スタックSTK内のローカルビット線(例えば、BL654)及びローカルソース線(例えば、SL655)は、絶縁層617を介して開口部をエッチングしてN+ドープ垂直アクティブ列の上部を露出させることによって、スタックSTK内の対応するローカルビット線及びローカルソース線に接続され、それによって、上側の32の平面の垂直アクティブ列が、基板605の上方の下側の32の平面内の対応するものに接続される。同様に、スタックSTK及びSTKの両方のP−ドープチャネル領域(例えば、図5Bのチャネル領域556に対応するチャネル領域656)は、スタックSTKを形成する前に絶縁層617内に形成されたP+ドーププラグ691によって互いに接続される。 For example, when transitioning from a 32-layer stack to a 64-layer stack, the number of wordline stepped steps is doubled from 32 to 64. FIG. 6G shows an embodiment of a vertical NOR string memory array that avoids such step doubling according to one embodiment of the present invention. FIG. 6G shows a ZZ cross section of the memory array, where the total number of planes in the memory array is two or more consecutively formed stacks (eg, STK 1 and STK 2 ) stacked on top of each other. Provided as. Each stack is provided with a set of stepped steps that was completed before the next stack was formed. In a prior art three-dimensional NAND memory array, two stacks of memory cells are formed on each of the 32 planes. The steps of the 64-planar stepped structure are then formed separately, after which their associated global word lines are formed. In contrast, FIG. 6F shows a word line (Y direction) in which each step is connected by one of the global word lines GWL 1 , GWL 2 , ..., GWL 32 (extending along the X direction). Shows the formation of stack STK 1 and stack STK 2 each having a width step (step A, step B) of 32 stepped structures (extending along). Stacks STK 1 and STK 2 are insulated from each other by an insulating layer 617 and are therefore reduced to half the total width that provides 64 stepped steps. Under this scheme , local bit wires (eg, BL654) and local source wires (eg, SL655) in stack STK 2 etch openings through an insulating layer 617 to expose the top of the N + dope vertical active row. By letting it connect to the corresponding local bit lines and local source lines in stack STK 1 , the vertical active rows of the upper 32 planes correspond in the lower 32 planes above the substrate 605. Connected to what you do. Similarly, both P-doped channel regions of stacks STK 1 and STK 2 (eg, channel regions 656 corresponding to channel regions 556 of FIG. 5B) are formed in the insulating layer 617 prior to forming stack STK 2. They are connected to each other by a P + dope plug 691.

グローバルワード線に関連するシリコン基板領域は、グローバルワード線デコーダ及び電圧源を、基板内のアレイの外側ではなく、階段状ステップの下側またはメモリアレイの上側のいずれかに配置することによって減少させることができる。そのような配置は、図3F及び図3Gのメモリアレイと関連して提供され得る。これらの実施形態では、メモリアレイの頂面は、ソース線またはビット線と相互接続されない。当然ながら、そのようなワード線デコーダ及び電圧源は、プログラム中にグローバルワード線上で必要とされる比較的高い電圧(例えば、12V〜20Vの範囲)をサポートできる必要がある薄膜トランジスタを使用して実施される。このような薄膜トランジスタは、堆積されたポリシリコンを部分的に再結晶化するための浅い(エキシマ)レーザアニール、または、ソーラーパネル、LEDディスプレイ、または他の用途のために開発された他のシーディング技術を用いて達成することができる。また、メモリアレイの頂面も、メモリチップ領域を過度に増加させることなく、それらのRC遅延を減少させるために、より広いまたはより高いグローバルワード線相互接続を、より大きな間隔で実行するために利用することができる。 The silicon substrate area associated with the global word line is reduced by placing the global word line decoder and voltage source either below the stepped steps or above the memory array rather than outside the array within the board. be able to. Such an arrangement may be provided in connection with the memory arrays of FIGS. 3F and 3G. In these embodiments, the top surface of the memory array is not interconnected with the source or bit lines. Of course, such wordline decoders and voltage sources are implemented using thin film transistors that need to be able to support the relatively high voltages required on the global wordline during the program (eg, in the range of 12V to 20V). Will be done. Such thin film transistors are shallow (excimer) laser annealing for partial recrystallization of the deposited polysilicon, or other seedings developed for solar panels, LED displays, or other applications. It can be achieved using technology. Also, the top surface of the memory array is to perform wider or higher global word line interconnects at greater intervals to reduce their RC delays without excessively increasing the memory chip area. It can be used.

準揮発性NORストリングのためのセグメント化ビット線を使用した3D垂直NORアレイ 3D vertical NOR array with segmented bit lines for semi-volatile NOR strings

非仮特許出願III(米国特許出願公開第2017/0092371A1号明細書)には、極めて高いサイクル耐久性を必要としない特定のストレージ用途においてDRAMと置き換えるのに適した準揮発性のNORストリングが開示されている(段落[0128]−[0131]を参照)。そのため、準揮発性NORストリングの読み出しアクセス時間は、DRAMの読み出しアクセス時間に近づき、100ナノ秒以下で、従来の3D−NANDフラッシュメモリよりも約500倍高速となる。この詳細な説明に開示された3次元垂直NORストリングでは、アレイの底部にあるセグメント化されたビット線は、専用のセンスアンプを有し、ビット線セグメントの真下の基板内のデコーダ(例えば、図3D、図3E、図3F、及び図3Gに示した)は、非仮特許出願IIIの水平ストリングを厳密に模倣し、DRAMに近い読み出しレイテンシを等しく達成することができる。これらの準揮発性垂直NORストリングを構築するためのプロセスステップは、非仮特許出願IIIの段落[0129]で説明されているステップと同様である。準揮発性ストレージトランジスタは、保持時間が比較的短いので(例えば、1時間〜数日の範囲)、頻繁に読み出しリフレッシュする必要がある。このため、多数のストレージトランジスタを同時に読み出すまたは再プログラムする(すなわち、多数のビット線セグメントに関連するストレージトランジスタを並列に読み出すまたは再プログラムする)能力を有することは、チップ密度が1テラビットに近づいた場合に、通常の読み出しの中断を最小にするために重要である。 Non-provisional patent application III (US Patent Application Publication No. 2017/0092371A1) discloses a semi-volatile NOR string suitable to replace DRAM in certain storage applications that do not require extremely high cycle durability. (See paragraphs [0128]-[0131]). Therefore, the read access time of the quasi-volatile NOR string approaches the read access time of the DRAM, which is 100 nanoseconds or less, which is about 500 times faster than that of the conventional 3D-NAND flash memory. In the 3D vertical NOR string disclosed in this detailed description, the segmented bit lines at the bottom of the array have a dedicated sense amplifier and a decoder in the substrate directly below the bit line segments (eg, figure). 3D, FIG. 3E, FIG. 3F, and FIG. 3G) can closely mimic the horizontal strings of non-provisional patent application III and achieve equal read latencies close to DRAM. The process steps for constructing these quasi-volatile vertical NOR strings are similar to those described in paragraph [0129] of Non-Provisional Patent Application III. Semi-volatile storage transistors have a relatively short retention time (eg, in the range of one hour to several days) and therefore need to be read and refreshed frequently. Therefore, having the ability to read or reprogram a large number of storage transistors simultaneously (ie, read or reprogram the storage transistors associated with a large number of bit line segments in parallel) has brought the chip density closer to 1 terabit. In some cases, it is important to minimize interruptions in normal reads.

非仮特許出願IIIはまた、水平NORストリングにおける高速読み出しキャッシュメモリのための2つのストレージトランジスタのペアリングを開示している(段落[0194]〜[0196]を参照)。この詳細な説明に開示されているように、垂直NORストリング内に専用のセグメントセンスアンプを有するセグメント化されたビット線は、このような高速読み出しキャッシュメモリによく適しており、デュアルトランジスタ対を使用して、同一のワードラインを共有する或るトランジスタ上のデータと、それに隣接するトランジスタ上に逆データ(すなわち、消去された状態)をプログラムすることができる。例えば、図6Eでは、同一のワード線WL31−1の両側を共有する互いに隣接する2つのビット線セグメントMSBL(L)、MSBL(R)における、2つのトランジスタTL(683)、TR(682)からの読み出し出力信号が、シリコン基板内の差動センスアンプに供給される。差動センスアンプは、Y方向に沿って隣接する2つのビット線セグメント間で共有される。このデュアルセグメント構成は、アレイビット効率が50%低減するが、プロセス変動及びストリングリーク、チップ全体にわたるパラメータドリフトまたはデバイス感度に対する優れた耐性を提供する。その上、非常に高速のセンシング、及びより高いサイクル耐久性を提供し、かつ、プログラム可能な基準ストリングの必要性を排除することができる。X方向に沿った(すなわち、グローバルビット線と同一方向に沿った)ビット線セグメント間の絶縁のために、キャッシュ記憶のために対トランジスタ差動感知で構成されたビット線セグメントの同一のチップブロックを有することが可能であり、一方、他のブロックは、倍密度のために一度に単一トランジスタの通常のセンシングを用いる。この柔軟性により、同一チップが、キャッシュメモリとして、ストレージメモリとして部分的に機能することが可能になる。また、多くのページのストレージ(例えば、4MBの記憶容量を必要とする1枚の写真画像は、2KB当たり2000ページを占有する)を必要とするファイルを保存することができ、高速なキャッシュメモリを使用して最初の1以上のページをセグメントに書き込み、残りを同一チップ上の非キャッシュセグメントに書き込み、そして、その最初のページを非常に高速に読み取ることによって画像を取得しながら、他のページのパイプライン読み出しを使用して、4MB全体のための低い読み出しレイテンシを享受する。 Non-provisional patent application III also discloses pairing of two storage transistors for fast read cache memory in a horizontal NOR string (see paragraphs [0194] to [0196]). As disclosed in this detailed description, segmented bit lines with a dedicated segment sense amplifier in the vertical NOR string are well suited for such high speed read cache memory and use dual transistor pairs. Data on a transistor that shares the same wordline can then be programmed with inverse data (ie, erased) on a transistor adjacent to it. For example, in FIG. 6E, two transistors TL (683), TR (in FIG. 6E) in two adjacent bit line segments MSBL 1 (L), MSBL 1 (R) sharing both sides of the same word line WL 31-1. The read output signal from 682) is supplied to the differential sense amplifier in the silicon substrate. The differential sense amplifier is shared between two adjacent bit line segments along the Y direction. This dual segment configuration reduces array bit efficiency by 50%, but provides excellent resistance to process variation and string leaks, parameter drift across the chip, or device sensitivity. Moreover, it can provide very fast sensing, higher cycle durability, and eliminate the need for programmable reference strings. The same chip block of the bit line segment configured with anti-transistor differential sensing for cache storage for isolation between the bit line segments along the X direction (ie, along the same direction as the global bit line). On the other hand, other blocks use the usual sensing of a single transistor at a time for double density. This flexibility allows the same chip to partially function as cache memory and storage memory. In addition, it is possible to store a file that requires storage of many pages (for example, one photographic image that requires a storage capacity of 4 MB occupies 2000 pages per 2 KB), and a high-speed cache memory can be used. Use to write the first one or more pages to a segment, write the rest to a non-cached segment on the same chip, and get the image by reading that first page very fast, while the other pages Use pipeline reads to enjoy low read latency for the entire 4MB.

本発明の、対応するセグメントセンスアンプによるグローバルビット線の領域ビット線セグメントへのセグメンテーション及びグローバルワード線セグメンテーション(図6F及び図6Hに関連して説明した)は、3次元垂直NORストリングについて説明したが、従来の3D垂直NANDメモリストリングにも同様に適用することができる。 Although the segmentation of global bit lines into regional bit line segments and global word line segmentation by the corresponding segment sense amplifiers of the present invention (discussed in relation to FIGS. 6F and 6H) have described 3D vertical NOR strings. , Can be similarly applied to conventional 3D vertical NAND memory strings.

製造プロセス Manufacturing process

図7A、図7B、図7C、及び図7Dは、本発明の一実施形態による、マルチゲートNORストリングアレイの製造プロセスにおいて形成される中間構造体の断面図である。 7A, 7B, 7C, and 7D are cross-sectional views of an intermediate structure formed in the process of manufacturing a multi-gate NOR string array according to an embodiment of the present invention.

図7Aは、本発明の一実施形態による、低抵抗層723pが基板701の上方に形成された後の、半導体構造体700のZ−Y平面の断面図を示す。この例では、pは、0〜31の範囲の整数であり、32本のワード線のそれぞれを表す。図7Aに示すように、半導体構造体700は、低抵抗率層723−0〜723−31を含む。半導体基板701は、例えば、垂直NORストリングを形成する前にメモリ構造体700のための支持回路が形成されるP−ドープバルクシリコンウェハを表す。このような支持回路は、アナログ論理回路及びデジタル論理回路の両方を含み得る。このような支持回路のいくつかの例には、シフトレジスタ、ラッチ、センスアンプ、基準セル、電源ライン、バイアス及び基準電圧発生器、インバータ、NAND、NOR、排他的論理和及び他の論理ゲート、入出力ドライバ、ビット線及びワード線デコーダを含むアドレスデコーダ、他のメモリ素子、シーケンサ、並びに、状態機械が含まれ得る。これらの支持回路を提供するために、当業者に知られているように、従来のN−ウェル、P−ウェル、トリプルウェル(図示せず)、N+拡散領域(例えば、領域707−0)及びP+拡散領域(例えば、領域706)、低電圧トランジスタ及び高電圧トランジスタ、キャパシタ(コンデンサ)、抵抗器、ダイオード、並びに、相互接続部が設けられる。 FIG. 7A shows a cross-sectional view of the ZZ plane of the semiconductor structure 700 after the low resistance layer 723p is formed above the substrate 701 according to the embodiment of the present invention. In this example, p is an integer in the range 0-31 and represents each of the 32 word lines. As shown in FIG. 7A, the semiconductor structure 700 includes a low resistivity layer 723-0 to 723-31. The semiconductor substrate 701 represents, for example, a P-doped bulk silicon wafer on which a support circuit for the memory structure 700 is formed before forming the vertical NOR string. Such support circuits may include both analog and digital logic circuits. Some examples of such support circuits include shift registers, latches, sense amplifiers, reference cells, power lines, bias and reference voltage generators, inverters, NAND, NOR, exclusive logic sums and other logic gates. Input / output drivers, address decoders including bit line and word line decoders, other memory elements, sequencers, and state machines may be included. To provide these support circuits, as known to those skilled in the art, conventional N-wells, P-wells, triple wells (not shown), N + diffusion regions (eg, regions 707-0) and A P + diffusion region (eg, region 706), low-voltage and high-voltage transistors, capacitors, resistors, diodes, and interconnects are provided.

支持回路が半導体基板701内及びその上に形成された後、例えば、厚い二酸化シリコンを堆積または成長させることにより、絶縁層708が設けられる。いくつかの実施形態では、グローバルソース線713−0を含む1以上の金属相互接続層が形成される。この金属相互接続層は、所定の方向に沿って延びる水平な細長いストリップとして設けられる。グローバルソース線713−0は、エッチングされた開口部714を介して、基板701内の回路707に接続される。この詳細な説明における説明を容易にするために、グローバルソース線はX方向に沿って延びていると仮定する。金属相互接続線は、1以上の堆積された金属層上にフォトリソグラフィーパターニング及びエッチングを適用することによって形成することができる(代替的に、これらの金属相互接続線は、従来の銅またはタングステンのダマシン配線プロセスなどの従来のダマシン配線プロセスを用いて形成してもよい)。次に、厚い誘電体層709を堆積させ、次いで、従来の化学機械研磨(CMP)を用いて平坦化する。 After the support circuit is formed in and on the semiconductor substrate 701, the insulating layer 708 is provided, for example, by depositing or growing thick silicon dioxide. In some embodiments, one or more metal interconnect layers are formed that include a global source line 713-0. The metal interconnect layer is provided as a horizontal elongated strip extending along a predetermined direction. The global source line 713-0 is connected to the circuit 707 in the substrate 701 via the etched opening 714. For ease of explanation in this detailed description, it is assumed that the global source line extends along the X direction. Metal interconnects can be formed by applying photolithography patterning and etching on one or more deposited metal layers (alternatively, these metal interconnects are of conventional copper or tungsten. It may be formed using a conventional damascene wiring process, such as a damascene wiring process). A thick dielectric layer 709 is then deposited and then flattened using conventional chemical mechanical polishing (CMP).

次に、導体層723−0〜723−31を順次形成する。各導体層は、その下層及びその上層との間に介在する絶縁層726によって、下層及び上層と絶縁される。図7Aでは、32の導体層が示されているが、導体層は任意の数だけ設けることができる。実際には、設けることができる導体層の数は、例えば、多数の導体層及びそれらの間の誘電体絶縁層726を切断することを可能にする十分に制御された異方性エッチングプロセスの利用可能性などの、プロセス技術に依存する。例えば、導体層723pは、まず、厚さ1〜2nmの窒化チタン層(TiN)を堆積させ、続いて、厚さ10〜50nmのタングステン(W)または同様の高融点金属、またはシリサイド(とりわけ、ニッケル、コバルト、またはタングステンのシリサイド)、またはサリサイドなどの層を堆積させ、次いで、酸化アルミニウム(Al)などのエッチストップ材料の薄層を堆積させることによって形成することができる。各導体層は、堆積後にブロック700内でエッチングされるか、または、従来のダマシン配線プロセスによってブロックとして堆積される。図7Aに示す実施形態では、連続する導体層723pの各々は、直前の金属層の縁部よりも短い(すなわち、縁部から凹んだ)距離727だけY方向に延在し、これにより、全ての導体層は、製造プロセスの後の段階で構造体700の頂部からアクセスすることができる。しかしながら、図7Aの階段状導体スタックを形成するために必要なマスキング及びエッチングのステップの数を減らすために、露出した凹面727を形成するのに個々の導体面を個別にマスキング及びエッチングすることを必要としない当業者に既知の他のプロセス技術を使用して、複数の導体層に対して凹面727を同時に形成することもできる。導体層が堆積及びエッチングされた後、対応する誘電体絶縁層726を堆積させる。誘電体絶縁層726は、例えば、15nm〜50nmの厚さの二酸化シリコンであり得る。従来のCMPは、次の導体層を堆積するために各誘電体層の表面を整える。ブロック700のスタック内の導体層の数は、垂直NORストリング内のメモリTFTの数と、プリチャージTFT(例えば、図5AのプリチャージTFT575)などの非メモリTFTの制御ゲート、またはビット線アクセス選択TFT(例えば、図5Aの585ビット線アクセス選択TFT511)の制御ゲートとして使用され得る任意の追加の導体層との数に、少なくとも対応する。導体層の堆積及びエッチングステップ、並びに、誘電体層の堆積及びCMPプロセスは、全ての導体層が設けられるまで繰り返される。 Next, the conductor layers 723 to 723-31 are sequentially formed. Each conductor layer is insulated from the lower layer and the upper layer by an insulating layer 726 interposed between the lower layer and the upper layer thereof. Although 32 conductor layers are shown in FIG. 7A, any number of conductor layers can be provided. In practice, the number of conductor layers that can be provided is, for example, the use of a well-controlled anisotropic etching process that allows cutting of a large number of conductor layers and the dielectric insulating layer 726 between them. Depends on process technology, such as possibility. For example, the conductor layer 723p first deposits a titanium nitride layer (TiN) with a thickness of 1 to 2 nm, followed by tungsten (W) with a thickness of 10 to 50 nm or a similar refractory metal, or silicide (particularly, among others). It can be formed by depositing a layer such as nickel, cobalt, or tungsten silicide), or salicide, and then a thin layer of etch stop material such as aluminum oxide (Al 2 O 3). Each conductor layer is either etched within the block 700 after deposition or deposited as a block by a conventional damascene wiring process. In the embodiment shown in FIG. 7A, each of the continuous conductor layers 723p extends in the Y direction by a distance 727 shorter (ie, recessed from the edge) than the edge of the immediately preceding metal layer, thereby all. The conductor layer of is accessible from the top of the structure 700 at a later stage in the manufacturing process. However, in order to reduce the number of masking and etching steps required to form the stepped conductor stack of FIG. 7A, individual conductor surfaces may be individually masked and etched to form the exposed concave surface 727. Recessed surfaces 727 can also be formed simultaneously for multiple conductor layers using other process techniques known to those skilled in the art that do not require it. After the conductor layer is deposited and etched, the corresponding dielectric insulating layer 726 is deposited. The dielectric insulating layer 726 can be, for example, silicon dioxide having a thickness of 15 nm to 50 nm. Conventional CMPs prepare the surface of each dielectric layer for depositing the next conductor layer. The number of conductor layers in the stack of block 700 is the number of memory TFTs in the vertical NOR string and the control gates of non-memory TFTs such as precharged TFTs (eg, precharged TFTs 575 in FIG. 5A), or bit line access selection. It corresponds at least to the number with any additional conductor layer that can be used as a control gate for the TFT (eg, 585-bit line access selection TFT 511 in FIG. 5A). The conductor layer deposition and etching steps, as well as the dielectric layer deposition and CMP process, are repeated until all conductor layers are provided.

次に、誘電体絶縁層710及びハードマスク層715を堆積させる。ハードマスク715は、導体層723pをエッチングして、まだ形成されていないワード線の長いストリップを形成することを可能にするようにパターニングされる。ワード線の長さは、Y方向に沿って延びている。ワード線623p−R、623p−Lに対するマスキングパターンの一例が図6に示されており、このマスキングパターンは、絶縁部(ギャップ)676において互い対向する隣接ワード線の延長部、及び所望の湾曲部675を生成するための各ワード線の凹部などの特徴を含む。連続した導体層723p及びそれらの間に介在する誘電体絶縁層726を介して、導体層723pの底部の誘電体層709に達するまで異方性エッチングを行うことによって、深いトレンチが形成される。多数の導体層がエッチングされるので、フォトレジストマスク自体は、多数の連続的なエッチングを通じて所望のワード線パターンを保持するのに十分にロバストではない恐れがある。ロバストなマスクを提供するためには、当業者に知られているように、ハードマスク層715(例えば、カーボン)を設けることが好ましい。エッチングは、誘電体材料709、グローバルソース線上のランディングパッド713、または、基板701で終了される。ランディングパッド713をエッチングから保護するために、エッチストップバリア膜(例えば、酸化アルミニウム)を設けることが有益であり得る。 Next, the dielectric insulating layer 710 and the hard mask layer 715 are deposited. The hard mask 715 is patterned to allow the conductor layer 723p to be etched to form long strips of word lines that have not yet been formed. The length of the word line extends along the Y direction. An example of a masking pattern for the word lines 623p-R and 623p-L is shown in FIG. 6, in which the masking pattern is an extension of adjacent word lines facing each other in an insulating portion (gap) 676 and a desired curved portion. Includes features such as recesses in each word line to generate 675. A deep trench is formed by performing anisotropic etching through the continuous conductor layer 723p and the dielectric insulating layer 726 intervening between them until the dielectric layer 709 at the bottom of the conductor layer 723p is reached. Due to the large number of conductor layers being etched, the photoresist mask itself may not be robust enough to retain the desired wordline pattern through multiple continuous etchings. In order to provide a robust mask, it is preferable to provide a hard mask layer 715 (eg, carbon), as is known to those skilled in the art. Etching is terminated on the dielectric material 709, the landing pad 713 on the global source line, or the substrate 701. It may be beneficial to provide an etch stop barrier film (eg, aluminum oxide) to protect the landing pad 713 from etching.

図7Bは、本発明の実施形態による、半導体構造体700のZ−X平面の断面図である。図示のように、連続する導体層723p及び対応する誘電体層726をエッチングすることにより、誘電体層709に達するトレンチ(例えば、深いトレンチ795)が形成されている。図7Bでは、導体層723pを異方性エッチングすることにより、深いトレンチ795によって互いに絶縁された導体スタック723p−R及び723p−Lが形成されている。この異方性エッチングは、高アスペクト比のエッチングである。様々な層の材料をエッチングするので、最良の結果を達成するためには、当業者に知られているように、導体材料エッチングと誘電体エッチングとでは、エッチング化学物質を変更する必要がある。スタックの底部に形成されるワード線の導体幅及びトレンチ間隔が、スタックの頂部またはその付近のワード線の対応する導体幅及びトレンチ間隔と略同一になるように、いずれの層のアンダーカットも回避するべきなので、多段階エッチングの異方性は重要である。当然ながら、スタック内の導体層の数が多いほど、多数の連続したエッチングを通じてタイトなパターン許容差を維持することがより困難になる。例えば64または128以上の導体層を介してエッチングすることに伴う困難を軽減するために、エッチングは、例えば32層のセクション毎に行うとよい。別個にエッチングされたセクションは、その後、例えば上述の非特許文献1に教示されているようにして、互いにステッチ(stitch)することができる。 FIG. 7B is a cross-sectional view of the ZX plane of the semiconductor structure 700 according to the embodiment of the present invention. As shown, by etching the continuous conductor layer 723p and the corresponding dielectric layer 726, a trench reaching the dielectric layer 709 (eg, a deep trench 795) is formed. In FIG. 7B, the conductor layers 723p are anisotropically etched to form conductor stacks 723p-R and 723p-L that are insulated from each other by deep trenches 795. This anisotropic etching is an etching having a high aspect ratio. Since various layers of material are etched, it is necessary to change the etching chemicals between conductor material etching and dielectric etching, as is known to those skilled in the art, in order to achieve the best results. Avoid undercuts in any layer so that the conductor width and trench spacing of the word lines formed at the bottom of the stack are approximately the same as the corresponding conductor width and trench spacing of the word lines at or near the top of the stack. The anisotropy of multi-step etching is important because it should be done. Of course, the greater the number of conductor layers in the stack, the more difficult it is to maintain tight pattern tolerances through multiple successive etchings. Etching may be performed, for example, in sections of 32 layers, in order to reduce the difficulties associated with etching through, for example, 64 or 128 or more conductor layers. The separately etched sections can then be stitched together, for example as taught in Non-Patent Document 1 above.

導体材料(例えば、タングステン、または他のエッチングしにくい材料)の複数の導体層723pを通じて行うエッチングは、介在する絶縁層726のエッチングよりもはるかに困難であり、時間がかかる。そのため、導体層723pの複数のエッチングを不要にする代替プロセスが用いられる。この代替プロセスは、当業者に周知であり、まず、図7Bの導体層723pを、容易にエッチング可能な材料の犠牲層に置き換える。例えば、絶縁層726は二酸化シリコンであり、犠牲層(図7Bでは723pとして示される空間を占める)は、窒化シリコンまたは別の高速エッチング誘電材料であり得る。次に、ONON(酸化物−窒化物−酸化物−窒化物)交互誘電体層を介して、深いトレンチを異方性エッチングして、デュアル誘電体(二重誘電体)の高いスタックを形成する。製造プロセスの後の段階(後述する)で、これらのスタックはポリシリコンのアクティブ垂直ストリップによって支持され、これにより、好ましくは選択的な化学的または等方性エッチングによって犠牲層をエッチング除去することが可能になる。このようにして形成されたキャビティは、その後、導体材料のコンフォーマルな堆積によって充填され、その結果、介在する絶縁層726によって絶縁された導体層723pが得られる。 Etching through multiple conductor layers 723p of a conductor material (eg, tungsten, or other hard-to-etch material) is much more difficult and time consuming than etching the intervening insulating layer 726. Therefore, an alternative process is used that eliminates the need for multiple etchings of the conductor layer 723p. This alternative process is well known to those of skill in the art and first replaces the conductor layer 723p of FIG. 7B with a sacrificial layer of easily etchable material. For example, the insulating layer 726 may be silicon dioxide and the sacrificial layer (occupying the space shown as 723p in FIG. 7B) may be silicon nitride or another fast etching dielectric material. Next, the deep trench is anisotropically etched through the ONON (oxide-nitride-oxide-nitride) alternating dielectric layer to form a high stack of dual dielectrics. .. At a later stage of the manufacturing process (discussed below), these stacks are supported by active vertical strips of polysilicon, which can preferably etch and remove the sacrificial layer by selective chemical or isotropic etching. It will be possible. The cavity thus formed is then filled by a conformal deposit of conductor material, resulting in a conductor layer 723p insulated by an intervening insulating layer 726.

図7Bの構造が形成された後、電荷トラップ層734及びポリシリコン層730を、エッチングされた導体ワード線スタックの垂直側壁上にコンフォーマルに連続して堆積させる。これにより形成された構造体のZ−X平面の断面を図7Cに示す。図7Cに示すように、電荷トラップ層734は、例えば、高誘電率の誘電体膜(例えば、酸化アルミニウム、酸化ハフニウム、または、二酸化ケイ素及び窒化ケイ素の或る組み合わせなど)からなる厚さが5〜15nmのブロッキング誘電体732aを最初に堆積させることによって形成される。その後、電荷トラップ材料732bを、4〜10nmの厚さで堆積させる。電荷トラップ材料732bは、例えば、窒化シリコン、シリコンリッチな酸窒化物、誘電体膜に埋め込まれた導電性ナノドット、または、同一の垂直アクティブストリップを共有する隣接TFTから絶縁された薄い導電性フローティングゲートであり得る。次いで、電荷トラップ732bを、2〜10nmの範囲の厚さで堆積させたコンフォーマルな薄いトンネル誘電体膜(例えば、二酸化シリコン層、または酸化シリコン−窒化シリコン−酸化シリコン(「ONO」)三重層)によってキャップする。電荷トラップ層734から形成される記憶素子は、SONOS、TANOS、ナノドットストレージ、絶縁されたフローティングゲート、または、当業者に知られている任意の適切な電荷トラッピングサンドイッチ構造体のいずれかであり得る。電荷トラップ層734の合計厚さは、一般的に、15〜25nmである。 After the structure of FIG. 7B is formed, the charge trap layer 734 and the polysilicon layer 730 are formally and continuously deposited on the vertical sidewalls of the etched conductor wordline stack. A cross section of the ZX plane of the structure formed thereby is shown in FIG. 7C. As shown in FIG. 7C, the charge trap layer 734 has, for example, a thickness of 5 made of a high dielectric constant dielectric film (eg, aluminum oxide, hafnium oxide, or some combination of silicon dioxide and silicon nitride). It is formed by first depositing a blocking dielectric 732a of ~ 15 nm. The charge trap material 732b is then deposited to a thickness of 4-10 nm. The charge trap material 732b is, for example, silicon nitride, silicon-rich oxynitride, conductive nanodots embedded in a dielectric film, or a thin conductive floating gate insulated from adjacent TFTs that share the same vertical active strip. Can be. The charge trap 732b was then deposited with a thickness in the range of 2-10 nm to form a thin, conformal tunnel dielectric film (eg, a silicon dioxide layer, or a silicon oxide-silicon nitride-silicon oxide (“ONO”) triple layer. ) To cap. The storage element formed from the charge trap layer 734 can be either SONOS, TANOS, nanodot storage, an insulated floating gate, or any suitable charge trapping sandwich structure known to those of skill in the art. The total thickness of the charge trap layer 734 is generally 15-25 nm.

電荷トラップ層734の堆積後、マスキングステップを用いて、トレンチ795の底部の電荷トラップ層734及び誘電体層709を通じて異方性エッチングを行い、ソース電源電圧Vssのための底部グローバルソース線ランディングパッド713(図7B参照)、グローバルビット線電圧Vbl(図示せず)の領域、またはバックバイアス供給電圧VbbにコンタクトするためのP+領域706(図7C参照)で停止することによって、トレンチ795の底部にコンタクト開口部が形成される。いくつかの実施形態では、トレンチ795の底部での電荷トラップ材料734のコンタクト開口部のエッチング中にトンネル誘電体層732cの垂直表面を保護するために、このエッチングステップに先立って、ポリシリコンの超薄膜(例えば、2〜5nmの厚さ)を堆積させる。一実施形態では、各グローバルソース線は、垂直NORストリング対の行における交互の位置にのみ接続される。例えば、図5Aでは、奇数番目のアドレスワード線に電気コンタクト(例えば、コンタクト開口部557)をエッチングして、N+ドープされたローカルソース線(例えば、図5Aのローカルソース線555)をグローバルソース線513−1に接続する。同様に、偶数番目のアドレスワード線に電気コンタクトをエッチングして、垂直NORストリング対の行におけるN+ドープされたローカルソース線をグローバルソース線513−2(図5Aでは図示せず)に接続する。寄生キャパシタC(すなわち、図5Aのキャパシタ560)を介して仮想Vssを使用する実施形態では、トレンチ795の底部の電荷トラップ層734をエッチングするステップをスキップすることができる。 After depositing the charge trap layer 734, an anisotropic etching is performed through the charge trap layer 734 and the dielectric layer 709 at the bottom of the trench 795 using a masking step to perform a bottom global source line landing pad for the source supply voltage V ss. Trench 795 by stopping at 713 (see FIG. 7B), region of global bit line voltage V bl (not shown), or P + region 706 (see FIG. 7C) for contacting the back bias supply voltage V bb. A contact opening is formed at the bottom. In some embodiments, polysilicon super is used prior to this etching step to protect the vertical surface of the tunnel dielectric layer 732c during etching of the contact openings of the charge trap material 734 at the bottom of the trench 795. A thin film (eg, 2-5 nm thick) is deposited. In one embodiment, each global source line is connected only at alternating positions in the rows of vertical NOR string pairs. For example, in FIG. 5A, an electrical contact (eg, contact opening 557) is etched into an odd-numbered address word line, and an N + doped local source line (eg, local source line 555 in FIG. 5A) is used as a global source line. Connect to 513-1. Similarly, an electrical contact is etched into the even address word line to connect the N + -doped local source line in the row of the vertical NOR string pair to the global source line 513-2 (not shown in FIG. 5A). In embodiments where the virtual V ss is used through the parasitic capacitor C (ie, capacitor 560 in FIG. 5A), the step of etching the charge trap layer 734 at the bottom of the trench 795 can be skipped.

その後、ポリシリコン薄膜730を、5〜10nmの厚さで堆積させる。図7Cでは、ポリシリコン薄膜730が、トレンチ795の互いに対向する側壁に、それぞれ730R及び730Lと表示されている。ポリシリコン薄膜730は、ドープされていないか、または好ましくは、ホウ素が1×1016/cm〜1×1017/cmの範囲のドーピング濃度でドープされており、内部に形成されるTFTが、より大きい固有の閾値電圧を有ることができる。トレンチ795は、その互いに対向する側壁上に、電荷トラップ層734及びポリシリコン薄膜730を設けるのに十分な幅を有する。ポリシリコン730を堆積させた後、上述したスタック内の犠牲層をエッチング除去し、それにより形成されたキャビティに、コンフォーマルに堆積させた導体層723pを充填する(図7C)。 Then, the polysilicon thin film 730 is deposited to a thickness of 5 to 10 nm. In FIG. 7C, the polysilicon thin film 730 is labeled 730R and 730L on the opposite side walls of the trench 795, respectively. The polysilicon thin film 730 is undoped or preferably doped with boron at a doping concentration in the range of 1 × 10 16 / cm 3 to 1 × 10 17 / cm 3, and a TFT formed therein. However, it can have a larger inherent threshold voltage. The trench 795 has a width sufficient to provide the charge trap layer 734 and the polysilicon thin film 730 on the side walls facing each other. After the polysilicon 730 is deposited, the sacrificial layer in the stack described above is etched and removed, and the cavity formed thereby is filled with the conformally deposited conductor layer 723p (FIG. 7C).

図7Bに示すように、トレンチ795は、Y方向に沿って延びる。絶縁されたワード線スタック723p−L及び723p−Rの形成後、一実施例の半導体構造体700は、それぞれが、各スタックの長さに沿って形成される8000個またはそれ以上の個数のアクティブ列、すなわち16000個のTFT(スタックの各側に8000個のTFTが設けられる)の制御ゲートとして機能する、16000個またはそれ以上の個数の並列ワード線スタックを有することができる。各スタック内の64本のワード線により、このようなマルチゲート垂直NORストリングアレイのそれぞれに、最終的に160億個のTFTが形成される。各TFTが2つのデータビットを記憶する場合、このようなマルチゲート垂直NORストリングアレイは、32ギガビットのデータを記憶する。このようなマルチゲート垂直NORストリングアレイ(それに加えて、スペアアレイ)は、1つの半導体基板上に約32個形成することができ、これによって、1テラビットの集積回路チップを提供することができる。 As shown in FIG. 7B, the trench 795 extends along the Y direction. After the formation of the insulated wordline stacks 723p-L and 723p-R, the semiconductor structures 700 of one embodiment each have 8000 or more actives formed along the length of each stack. It can have a row, i.e. 16000 or more parallel wordline stacks that act as control gates for 16000 TFTs (8000 TFTs are provided on each side of the stack). The 64 word lines in each stack will eventually form 16 billion TFTs in each of these multi-gate vertical NOR string arrays. Such a multi-gate vertical NOR string array stores 32 gigabits of data if each TFT stores two data bits. Approximately 32 such multi-gate vertical NOR string arrays (plus spare arrays) can be formed on a single semiconductor substrate, thereby providing a 1 terabit integrated circuit chip.

図7Dは、一実施形態による、図7Cの構造体の頂面のX−Y平面の断面図である。ワード線723p−Lと723p−Rとの間には、垂直に堆積されたP−ドープされたポリシリコン構造(すなわち、アクティブ列)の2つの側壁730L及び730Rが存在する。側壁730Lと730Rとの間の深い空間740は、高速エッチング絶縁誘電体材料(例えば、二酸化シリコン、液体ガラス、または炭素ドープ酸化シリコン)で充填され得る。頂面は、その後、従来のCMPを使用して平坦化され得る。続いて、フォトリソグラフィーステップにより、開口部776及び777を露出させる。次に、高アスペクト比の選択エッチングを行い、露出領域776及び777内の高速エッチング誘電体材料をトレンチ795の底部まで掘削する。エッチング中の過度のパターン劣化を回避するために、このエッチングステップでは、ハードマスクが必要とされる場合がある。次いで、掘削された空間に、その場でN+ドープされたポリシリコンを充填する。N+ドーパントは、露出した空間内の非常に薄い低濃度ドープされたアクティブポリシリコンピラー730L及び730R内に拡散して、それらをN+ドープさせる。代替的に、掘削された空間にその場でN+ドープポリシリコンを充填する前に、空間内の低濃度ドープポリシリコンを、簡単な等方性プラズマエッチングまたは選択的ウェットエッチングによってエッチング除去してもよい。次に、CMPまたは頂面エッチングにより、頂面からN+ポリシリコンを除去して、領域754(N+)及び755(N+)に高濃度N+ポリシリコンのパイロンを残す。これらのN+パイロンは、結果として形成される垂直NORストリング内のTFTに対する共有垂直ローカルソース線及び共有垂直ローカルビット線を形成する。 FIG. 7D is a cross-sectional view of the top surface of the structure of FIG. 7C according to an embodiment in the XY plane. Between the word lines 723p-L and 723p-R are two side walls 730L and 730R of a vertically deposited P-doped polysilicon structure (ie, the active row). The deep space 740 between the side walls 730L and 730R can be filled with a fast etching insulating dielectric material (eg, silicon dioxide, liquid glass, or carbon-doped silicon oxide). The top surface can then be flattened using conventional CMP. Subsequently, the openings 776 and 777 are exposed by a photolithography step. High aspect ratio selective etching is then performed to excavate the fast etched dielectric material in the exposed areas 776 and 777 to the bottom of the trench 795. A hard mask may be required in this etching step to avoid excessive pattern degradation during etching. The excavated space is then filled with N + doped polysilicon on the fly. The N + dopant diffuses into the very thin low concentration doped active polysilicon pillars 730L and 730R in the exposed space to N + dope them. Alternatively, the low-concentration doped polysilicon in the space may be removed by simple isotropic plasma etching or selective wet etching before the excavated space is filled with N + doped polysilicon on the fly. Good. The N + polysilicon is then removed from the apex by CMP or top etching to leave a high concentration of N + polysilicon pylon in the regions 754 (N +) and 755 (N +). These N + pylon form a shared vertical local source line and a shared vertical local bit line for the TFT in the resulting vertical NOR string.

図7D−1は、垂直パイロン754及び755の露出した空隙部776の一部のみを充填することによって、背の高い垂直ソース/ドレインパイロンの導電性を実質的に高めることを示す。このことは、例えば、最初にN+ドープポリシリコン754(N+)及び755(N+)の超薄層をそれぞれ5〜15ナノメートルの間の厚さで堆積させ(これは、隙間を埋めるのには不十分である)、次いで、金属導電性材料(例えば、窒化チタン、窒化タングステン、またはタングステン)を、(例えば、原子層堆積法(ALD)を用いて)堆積させて、ソース/ドレインパイロンのコアの残りの空隙部720(M)を充填することによってなされる。また、図4A−1も参照されたい。図4A−1は、Y−Z平面においてパイロンのコアに充填された金属導体420(M)が、極薄N+ポリ454(N+)と密接に接触している状態を示す。コアに充填された金属材料の導電率は比較的高いので、極薄N+ドープポリシリコンのN型ドーピング濃度を1または2桁減少させることができ、これにより、チャネルのP型ドーパントへのN型ドーパントの望ましくない熱拡散を減少させることができる。N+/金属導体構造は、ソースパイロン及びドレインパイロンの一方または両方に適用することができる。別の実施形態では、チャネル領域756の外側の領域757内に存在する薄いP−ドープポリシリコンは、チャネル領域756内のP−ドーピングと比較して、まず、より高濃度にP+(例えば、1019cm以上)をドープすることができ、これは、2×1018/cm以下であり得る。チャネル内のP−ポリに接触するP+ポリをソースパイロン内に加えることにより、消去動作中にローカルソース線が高い正の電圧に上昇したときに、消去効率を高めることができる。 FIG. 7D-1 shows that filling only a portion of the exposed voids 776 of the vertical pylon 754 and 755 substantially enhances the conductivity of the tall vertical source / drain pylon. This means, for example, that an ultrathin layer of N + doped polysilicon 754 (N +) and 755 (N +) is first deposited to a thickness between 5 and 15 nanometers, respectively (this is to fill the gap. (Insufficient), then a metal conductive material (eg, titanium nitride, tungsten nitride, or tungsten) is deposited (eg, using atomic layer deposition (ALD)) to form a source / drain pylon core. It is done by filling the remaining voids 720 (M) of. See also FIG. 4A-1. FIG. 4A-1 shows a state in which the metal conductor 420 (M) filled in the core of the pylon in the YZ plane is in close contact with the ultrathin N + poly 454 (N +). Due to the relatively high conductivity of the metal material packed in the core, the N-type doping concentration of ultrathin N + doped polysilicon can be reduced by one or two orders of magnitude, thereby N-type to the P-type dopant of the channel. Undesirable thermal diffusion of the dopant can be reduced. The N + / metal conductor structure can be applied to one or both of the source pylon and the drain pylon. In another embodiment, the thin P-doped polysilicon present in the outer region 757 of the channel region 756 first has a higher concentration of P + (eg, 1019 cm) as compared to the P-doping in the channel region 756. 3 or more) can be doped, which can be 2 × 1018 / cm 3 or less. By adding P + poly in contact with P-poly in the channel into the source pylon, the erasing efficiency can be increased when the local source line rises to a high positive voltage during the erasing operation.

次に、誘電体絶縁層を堆積させ、フォトリソグラフィー・マスキング及びエッチングステップを用いてパターニングする。エッチングステップにより、垂直ローカルビット線を水平グローバルビット線に接続するコンタクトを開口形成する(例えば、図6に示すように、奇数番目アドレスでは657−1をストリングスに接続し、偶数番目アドレスでは657−2をストリングに接続する)。低抵抗の金属層(例えば、タングステン)を堆積させる。次に、堆積された金属をフォトリソグラフィー及びエッチングステップを用いてパターニングして、グローバルビット線(例えば、図6に示すように、奇数番目アドレスではストリングス用のグローバルワード線614−1(GBL)、偶数番目アドレスではストリングング用グローバルビット線614−2(GBL))を形成する。代替的に、グローバルビット線は、従来の銅ダマシン配線プロセスを使用して形成してもよい。全てのグローバルビット線、及びワード線スタックの全ての金属層723p(図7A)は、当業者に知られているように、エッチングされたビアによって、基板内のワード線及びビット線のデコード及び感知回路に接続される。スイッチ及び感知回路、デコーダ、及び基準電圧源は、個別に、またはビット線及びワード線のいくつかが共有して、グローバルビット線及びグローバルワード線に設けることができる。 The dielectric insulating layer is then deposited and patterned using photolithography masking and etching steps. The etching step creates a contact that connects the vertical local bit line to the horizontal global bit line (eg, as shown in FIG. 6, 657-1 is connected to the strings at odd-numbered addresses and 657- at even-numbered addresses. Connect 2 to the string). A low resistance metal layer (eg tungsten) is deposited. The deposited metal is then patterned using photolithography and etching steps to create a global bit line (eg, global word line 614-1 (GBL 1 ) for strings at odd-numbered addresses, as shown in FIG. 6). , The even-numbered address forms a stringing global bit line 614-2 (GBL 2 )). Alternatively, the global bit wire may be formed using a conventional copper damascene wiring process. All global bit lines, and all metal layers of the word line stack, 723p (FIG. 7A), are decoded and sensed in the substrate by the etched vias, as is known to those skilled in the art. Connected to the circuit. The switch and sensing circuit, decoder, and reference voltage source can be provided on the global bit and global word lines individually or shared by some of the bit and word lines.

いくつかの実施形態では、ビット線アクセス選択トランジスタ(図5Aの511)及びそれに関連する制御ゲートワード線(例えば、図5Aのワード線585)は、当業者に知られているように、絶縁された垂直N+P−N+トランジスタとして形成され、奇数番目及び偶数番目のグローバルビット線(例えば、図6Aのビット線614−1及び614−2)を、交互の奇数及び偶数アドレス(例えば、図6Aのローカルビット線657−1及び657−2)において垂直NORストリングに選択的に接続する。 In some embodiments, the bit line access selection transistor (511 in FIG. 5A) and its associated control gate word line (eg, word line 585 in FIG. 5A) are isolated, as known to those skilled in the art. Formed as vertical N + PN + transistors, odd and even global bit lines (eg, bit lines 614-1 and 614-2 in FIG. 6A) are alternated with odd and even addresses (eg, local in FIG. 6A). Selectively connect to the vertical NOR string at bit lines 657-1 and 657-2).

読み出し動作 Read operation

垂直NORストリングのTFTは並列に接続されているので、本発明の全ての実施形態において、アクティブ列(垂直NORストリング対が形成されたアクティブ列を含む)内の全てのTFTは、好ましくは、読み出し動作中における共有ローカルソース線と共有ローカルビット線(例えば、図4Cに示すローカルビット線454及びローカルソース線455)との間のリーク電流を抑制するために、エンハンスメントモードにあるべき、すなわち、各TFTが正のゲート−ソース間閾値電圧を有するべきである。エンハンスメントモードTFTは、約1VのネイティブTFT閾値電圧を目標とする、一般的には1×1016〜1×1017/cmの範囲の濃度のホウ素でチャネル領域(例えば、図7CのP−チャネル領域756)をドーピングすることによって達成される。このようなTFTでは、アクティブ列の垂直NORストリング対内の全ての非選択ワード線を0Vに保持することができる。代替的に、読み出し動作は、共有ローカルN+ドレイン線(例えば、図4Cのローカルソース線455)上の電圧を約1.5Vに上昇させると共に、共有ローカルN+ドレイン線(例えば、ローカルビット線454)を約2Vに上昇させ、選択されていない全てのローカルワード線を0Vに保持する。このような構成は、ワード線をソースに対して−1.5Vに設定することと同等であり、これによって、例えばTFTがわずかに過剰消去された場合に生じる、わずかに空乏化された閾値電圧のTFTによるリーク電流を抑制する。 Since the TFTs of the vertical NOR string are connected in parallel, in all embodiments of the present invention, all TFTs in the active row (including the active row in which the vertical NOR string pair is formed) are preferably read out. In order to suppress the leakage current between the shared local source line and the shared local bit line (for example, the local bit line 454 and the local source line 455 shown in FIG. 4C) during operation, it should be in the enhancement mode, that is, each The TFT should have a positive gate-source threshold voltage. The enhancement mode TFT targets a native TFT threshold voltage of about 1 V, generally with a concentration of boron in the range of 1 × 10 16 to 1 × 10 17 / cm 3 in the channel region (eg, P- in FIG. 7C). This is achieved by doping the channel region 756). In such a TFT, all non-selected word lines in the vertical NOR string pair of active columns can be kept at 0V. Alternatively, the read operation raises the voltage on the shared local N + drain line (eg, local source line 455 in FIG. 4C) to about 1.5 V and the shared local N + drain line (eg, local bit line 454). Is raised to about 2V and all unselected local word lines are kept at 0V. Such a configuration is equivalent to setting the word line to -1.5V with respect to the source, which results in a slightly depleted threshold voltage, for example if the TFT is slightly over-erased. The leakage current due to the TFT is suppressed.

垂直NORストリングのTFTを消去した後、過剰消去された(すなわち、空乏モードの閾値電圧を有するようになった)垂直NORストリングの任意のTFTをエンハンスメントモードの閾値電圧に戻すために、ソフトプログラム動作が必要とされ得る。図5Aでは、P−チャネルがボディバイアスソース506のバックバイアス電圧(Vbb)に接続される任意選択のコンタクト556が示されている(図4Cでは、ボディ接続部456としても示されている)。共有N+ソースと共有N+ドレイン/ローカルビット線との間のサブスレッショルドリーク電流を低減するために、Vbbに負電圧を使用して各アクティブ列内のTFTの閾値電圧を調節することができる。いくつかの実施形態では、制御ゲートが0Vに保持されるトンネル消去TFTの消去動作中に正のVbb電圧を使用することができる。 After erasing the TFT of the vertical NOR string, a soft program operation to return any TFT of the over-erased (ie, having a depletion mode threshold voltage) to the enhancement mode threshold voltage. May be needed. FIG. 5A shows an optional contact 556 in which the P-channel is connected to the back bias voltage (V bb ) of the body bias source 506 (also shown as body connection 456 in FIG. 4C). .. To reduce the subthreshold leakage current between the shared N + source and the shared N + drain / local bit lines, a negative voltage on the V bb can be used to adjust the threshold voltage of the TFTs in each active row. In some embodiments, a positive V bb voltage can be used during the erasing operation of the tunnel erasing TFT where the control gate is held at 0V.

垂直NORストリング対のTFTに記憶されたデータを読み出すために、垂直NORストリング対の両方の垂直NORストリング上の全てのTFTは、最初に、マルチゲートNORストリングアレイ内の全てのワード線を0Vに保持することによって、「オフ」状態に置かれる。アドレス指定された垂直NORストリングは、デコード回路を使用して、共通のワード線に沿っていくつかの垂直NORストリング間で感知回路を共有することができる。代替的に、各垂直NORストリングは、グローバルビット線(例えば、図4CのGBL1)を介して専用の感知回路に直接接続してもよい。後者の場合、同一のワード線平面を共有する1以上の垂直NORストリングを並列に感知することができる。アドレス指定された垂直NORストリングのそれぞれは、そのローカルソース線をVss〜0Vに設定する。この設定は、図8Aに概略的に示すように、そのハードワイヤードグローバルソース線(例えば、図4CのGSL1)を介して行われるか、または、図8Bに概略的に示すように、プリチャージ中にVbl〜0Vを寄生キャパシタC(例えば、フローティングローカルソース線455または355のキャパシタ460またはキャパシタ360)に瞬間的に転送するプリチャージトランジスタ(例えば、図4Cのプリチャージトランジスタ470または図3Cのトランジスタ317)を介して仮想Vss〜0Vとして設定される。 To read the data stored in the TFTs of the vertical NOR string pair, all TFTs on both vertical NOR strings of the vertical NOR string pair first set all word lines in the multi-gate NOR string array to 0V. By holding it, it is put in the "off" state. Addressed vertical NOR strings can use decoding circuits to share sensing circuits among several vertical NOR strings along a common word line. Alternatively, each vertical NOR string may be directly connected to a dedicated sensing circuit via a global bit line (eg, GBL1 in FIG. 4C). In the latter case, one or more vertical NOR strings sharing the same word line plane can be sensed in parallel. Each addressed vertical NOR string, and sets the local source line V ss ~0V. This setting is made via its hard-wired global source line (eg, GSL1 in FIG. 4C), as schematically shown in FIG. 8A, or is being precharged as schematically shown in FIG. 8B. A precharge transistor (eg, the precharge transistor 470 of FIG. 4C or the transistor of FIG. 3C) that instantaneously transfers V bl to 0 V to the parasitic capacitor C (eg, capacitor 460 or capacitor 360 of the floating local source line 455 or 355). It is set as virtual V ss ~ 0V via 317).

プリチャージトランジスタ470をオフにした直後に、ローカルビット線(例えば、図4Cのローカルビット線454)は、ビット線アクセス選択トランジスタ(例えば、図4Cのビット線アクセス選択トランジスタ411、または図5Aのアクセス選択トランジスタ511)を介して、Vbl〜2Vに設定される。Vbl〜2Vは、アドレス指定された垂直NORストリングのセンスアンプにおける電圧でもある。このとき、アドレス指定されたワード線は、0Vから一般的に約6Vまでの小さなインクリメント電圧ステップで上昇し、一方、垂直NORストリング対の奇数番目アドレスTFT及び偶数番目アドレスTFTの両方における全ての非選択ワード線は、0Vに維持される。図8AのハードワイヤードVssの実施形態では、アドレス指定されたTFTは、一例では、2.5Vの閾値電圧にプログラムされている。したがって、ローカルビット線LBLの電圧Vblは、そのWLが2.5Vを超えるとすぐに、選択されたTFTを介してローカルソース線(Vss)の0Vに向かって放電を開始し、これにより、選択されたグローバルビット線をサーブするセンスアンプで検出される電圧降下(図8Aにおいて破線矢印で示す)をもたらす。図8Bの仮想Vssの実施形態では、プリチャージトランジスタワード線WLCHGは、読み出しシーケンスの開始時に瞬間的にオンにされ、フローティングローカルソース線LSLを0Vにプリチャージする。次に、選択されたワード線WLは、電圧インクリメントステップを行い、プログラムされた2.5Vを超えるとすぐに、選択されたTFTはそのローカルビット線上の電圧をVbl〜2Vから瞬間的に降下させる。この電圧ディップ(降下)(図8Bにおいて破線の矢印で示す)は、選択されたローカルビット線に接続されたグローバルビット線のセンスアンプによって検出される。当業者に知られているように、選択されたTFTのプログラムされた閾値電圧を正確に読み出すための他の代替スキームが存在する。寄生キャパシタCに依存して仮想電圧Vssを一時的に保持する実施形態では、垂直スタックが高いほど寄生キャパシタCも大きくなり、そのため保持時間が長くなり、選択されたセンスアンプに提供される読み出し信号は大きくなる。寄生キャパシタCをさらに増加させるために、一実施形態では、キャパシタCを増加させることを主目的とする1以上のダミー導体を垂直ストリングに追加することが可能である。 Immediately after turning off the precharge transistor 470, the local bit line (eg, local bit line 454 in FIG. 4C) is replaced by a bit line access selection transistor (eg, bit line access selection transistor 411 in FIG. 4C, or access in FIG. 5A. It is set to V bl to 2V via the selection transistor 511). V bl to 2V is also the voltage in the sense amplifier of the addressed vertical NOR string. At this time, the addressed wordline rises in small increment voltage steps from 0V to generally about 6V, while all non-addressed TFTs in both the odd-numbered and even-numbered address TFTs of the vertical NOR string pair. The selected word line is maintained at 0V. In the hard-wired V ss embodiment of FIG. 8A, the addressed TFT is programmed to a threshold voltage of 2.5 V, in one example. Therefore, the voltage V bl of the local bit lines LBL, the WL S as soon as more than 2.5V, starts to discharge towards 0V the local source line (V ss) via the selected TFT, which Causes the voltage drop (indicated by the dashed arrow in FIG. 8A) detected by the sense amplifier serving the selected global bit line. In the virtual V ss embodiment of FIG. 8B, the precharge transistor word line WL CHG is momentarily turned on at the start of the read sequence to precharge the floating local source line LSL to 0V. Next, the word line WL S chosen performs the voltage increment step, as soon as it exceeds the programmed 2.5V, momentarily is selected TFT voltages of the local bit lines from V bl 2V Let it descend. This voltage dip (indicated by the dashed arrow in FIG. 8B) is detected by a global bit line sense amplifier connected to the selected local bit line. As known to those of skill in the art, there are other alternative schemes for accurately reading the programmed threshold voltage of the selected TFT. In the embodiment for temporarily holding the virtual voltage V ss depends on the parasitic capacitor C, read the vertical stack as parasitic capacitor C also increases high, therefore the retention time is long, is provided to the sense amplifier selected The signal gets louder. In order to further increase the parasitic capacitor C, in one embodiment it is possible to add one or more dummy conductors whose main purpose is to increase the capacitor C to the vertical string.

MLC実施(すなわち、各TFTが2ビット以上を記憶する「マルチレベルセル」実施)の場合、アドレス指定されたTFTは、いくつかの電圧(例えば、1V(消去状態)、2.5V、4V、または5.5V)のうちの1つにプログラムされていてもよい。アドレス指定されたワード線WLは、電圧インクリメントステップにおいて、TFTの導通がセンスアンプで検出されるまで電圧をインクリメントする。代替的に、単一のワード線電圧を印加してもよく(例えば、約6ボルト)、ローカルビット線LBL(Vbl)の放電率を、記憶されたマルチビットの電圧状態を表すいくつかのプログラム可能な基準電圧からの放電率と比較することができる。このアプローチは、連続した状態に対して拡張することができ、アナログストレージを効果的に提供する。プログラム可能な基準電圧は、マルチゲート垂直NORストリングアレイ内に配置された専用の基準垂直NORストリングに格納することができ、これにより、読み出し、プログラム、及びバックグラウンドリークの間の特性を厳密に追跡することができる。垂直NORストリング対では、2つの垂直NORストリングのうちの一方のTFTのみを各読み出しサイクルで読み出すことができ、他方の垂直NORストリング上のTFTは「オフ」状態(すなわち、全てのワード線は0V)に置かれる。読み出しサイクル中、垂直NORストリングのTFTのうちの1つだけに読み出し電圧が印加されるので、読み出しディスターブ条件は本質的に存在しない。 In the case of MLC implementation (ie, "multi-level cell" implementation where each TFT stores 2 bits or more), the addressed TFT has several voltages (eg, 1V (erased state), 2.5V, 4V, etc.). Alternatively, it may be programmed into one of 5.5V). It addressed word line WL S, at a voltage increment step, incrementing the voltage until conduction TFT is detected by the sense amplifier. Alternatively, a single word line voltage may be applied (eg, about 6 volts) and the discharge rate of the local bit line LBL (V bl ), some representing the stored multi-bit voltage state. It can be compared to the discharge rate from a programmable reference voltage. This approach can be extended to continuous states and effectively provides analog storage. The programmable reference voltage can be stored in a dedicated reference vertical NOR string located within the multi-gate vertical NOR string array, which closely tracks the characteristics between reads, programs, and background leaks. can do. With a vertical NOR string pair, only one TFT of the two vertical NOR strings can be read in each read cycle, and the TFT on the other vertical NOR string is in the "off" state (ie, all word lines are 0V). ) Is placed. Since the read voltage is applied to only one of the TFTs in the vertical NOR string during the read cycle, there is essentially no read disturb condition.

本発明の一実施形態の一例では、64個のTFTと、1個以上のプリチャージTFTとが、垂直NORストリング対の各垂直NORストリングに設けられる。各ワード線は、ローカル垂直N+ソース線ピラーとの交差部において、キャパシタを形成する(例えば、図6Aのキャパシタ660を参照)。このようなキャパシタの一般的な容量は、例えば、1×1018F(ファラド)である。垂直NORストリングの両方の垂直NORストリングの全てのキャパシタを含めると、全体的な分布容量Cは約1×1016ファラドとなる。これは、プリチャージ動作の直後に一般的に1マイクロ秒未満で完了する読み出しサイクル中に、ローカルソース線がプリチャージされた電源電圧(Vss)を保存するのに十分な容量である。ビット線アクセス選択トランジスタ411及びプリチャージTFT470による充電時間は数ナノ秒程度であり、したがって、充電時間は読み出しレイテンシに顕著には加わらない。垂直NORストリング内のTFTからの読み出しは高速である。その理由は、直列接続された多数のTFTを導通することが必要なNANDストリングの読み出し動作とは異なり、読み出し動作が垂直NORストリング内のTFTのうちの1つのみの導通しか伴わないからである。 In one example of an embodiment of the invention, 64 TFTs and one or more precharged TFTs are provided on each vertical NOR string pair of vertical NOR strings. Each word line forms a capacitor at the intersection with the local vertical N + source line pillar (see, eg, capacitor 660 in FIG. 6A). A typical capacitance of such a capacitor is, for example, 1 × 10 18 F (farad). Including all the capacitors in both vertical NOR strings in the vertical NOR string, the overall distributed capacitance C is about 1 × 10 16 farads. This is generally in the completed read cycle in less than 1 microsecond immediately after the precharge operation, a sufficient capacity to the local source line to save the precharged supply voltage (V ss). The charging time by the bit line access selection transistor 411 and the precharge TFT 470 is about several nanoseconds, and therefore the charging time does not significantly add to the read latency. Reading from the TFT in the vertical NOR string is fast. The reason is that unlike the NAND string read operation, which requires conducting a large number of series-connected TFTs, the read operation involves only one of the TFTs in the vertical NOR string. ..

本発明の垂直NORストリングの読み出しレイテンシに寄与する主な要因は、次の2つである。(a)グローバルビット線(例えば、図6AのGBL614−1)の抵抗Rbl及びキャパシタンスCblに関連するRC時間遅延、及び、(b)アドレス指定されたTFTが導通を開始したときのローカルビット線(例えば、LBL−1)上の電圧降下Vblに対するセンスアンプの応答時間。グローバルビット線に関連するRC時間遅延は、例えば、16、000個の垂直NORストリングをサーブする場合、約数十ナノ秒である。従来技術の垂直NANDストリング(例えば、図1BのNANDストリング)のTFTを読み出すための読み出しレイテンシは、32個以上の直列接続されたTFTと、グローバルビット線のキャパシタンスCblを放電する選択トランジスタとを通る電流によって決定される。対照的に、本発明の垂直NORストリングでは、読み出し電流放電Cblは、ビット線アクセス選択トランジスタ411と直列の1つのアドレス指定されたトランジスタ(例えば、図4Aのトランジスタ416L)のみを介して供給され、これにより、ローカルビット線電圧(Vbl)の放電がはるかに早くなる。この結果、はるかに短いレイテンシが達成される。 The following two factors contribute to the read latency of the vertical NOR string of the present invention. (A) RC time delay associated with the resistor R bl and capacitance C bl of the global bit line (eg, GBL614-1 in FIG. 6A), and (b) the local bit when the addressed TFT begins to conduct. Response time of the sense amplifier to the voltage drop Vbl on the line (eg LBL-1). The RC time delay associated with the global bit line is, for example, about tens of nanoseconds when serving 16,000 vertical NOR strings. The read latency for reading the TFT of the conventional vertical NAND string (for example, the NAND string of FIG. 1B) is that 32 or more series-connected TFTs and a selection transistor for discharging the capacitance Cbl of the global bit line are used. Determined by the passing current. In contrast, in the vertical NOR string of the present invention, the read current discharge C bl is the bit line access selection transistor 411 in series with one of the addressed transistor (e.g., transistor 416L of FIG. 4A) is supplied only through the This makes the local bit line voltage (V bl ) discharge much faster. As a result, much shorter latency is achieved.

図4Cでは、一度に1つのTFT(例えば、垂直NORストリング451b内のTFT416L)が読み出されるとき、垂直NORストリング対491の垂直NORストリング451a及び451b内の他の全てのTFTが「オフ」状態に保持され、それらのワード線は0Vに保持される。垂直NORストリング対492の垂直NORストリング452a内のTFT416Rは、ワード線W31をTFT416Lと共有しているが、垂直NORストリング452aはグローバルビット線414−2によってサーブされ、垂直NORストリング451bはグローバルビット線414−1によってサーブされるので、TFT416RはTFT416Lと同時に読み出される(図6A及び図6Bは、グローバルビット線614−1及び614−2が、隣接する垂直NORストリング対をどのようにサーブするかを示す)。 In FIG. 4C, when one TFT (eg, TFT 416L in the vertical NOR string 451b) is read at a time, all other TFTs in the vertical NOR strings 451a and 451b of the vertical NOR string vs. 491 are in the "off" state. It is held and those word lines are held at 0V. The TFT 416R in the vertical NOR string 452a of the vertical NOR string vs. 492 shares the word line W31 with the TFT 416L, while the vertical NOR string 452a is served by the global bit line 414-2 and the vertical NOR string 451b is the global bit line. Since served by 414-1, the TFT 416R is read out at the same time as the TFT 416L (FIGS. 6A and 6B show how the global bit lines 614-1 and 614-2 serve adjacent vertical NOR string pairs. Show).

一実施形態では、ワード線スタックは、32の面に設けられた32本以上のワード線を含む。1つのマルチゲート垂直NORストリングアレイでは、各面は、16、000個のTFTを制御する8、000本のワード線を含み、その各々は、各ビット線が専用のセンスアンプに接続されているという条件で、16、000本のグローバルビット線を通して並列に読み出すことができる。代替的に、いくつかのグローバルビット線がデコード回路を介してセンスアンプを共有する場合、16、000個のTFTは、いくつかの連続した読み出しサイクルにわたって読み出される。大量の放電TFTを並列に読み出すと、チップの接地電源(Vss)に電圧バウンスが発生して、読み出しエラーが発生する可能性がある。しかしながら、ローカルソース線にプリチャージされた寄生キャパシタCを使用する(すなわち、垂直NORストリングに仮想電源電圧(Vss)を提供する)実施形態では、そのような接地電圧バウンスが排除されるという特別な利点を有する。これは、垂直NORストリング内の仮想電源電圧が独立しており、チップの接地電源に接続されていないためである。 In one embodiment, the wordline stack comprises 32 or more wordlines provided on 32 faces. In one multi-gate vertical NOR string array, each surface contains 8,000 word lines that control 16,000 TFTs, each of which has its own bit line connected to a dedicated sense amplifier. Under the condition, it can be read out in parallel through 16,000 global bit lines. Alternatively, if several global bit lines share a sense amplifier via a decoding circuit, 16,000 TFTs are read over several consecutive read cycles. Reading a large amount of discharge TFT in parallel, the voltage bounce on the chip of the ground power supply (V ss) is generated, a read error may occur. However, using the parasitic capacitor C is precharged to the local source line (i.e., to provide a virtual supply voltage (V ss) to the vertical NOR string) In the embodiment, special that such a ground voltage bounce is eliminated It has a great advantage. This is because the virtual power supply voltage in the vertical NOR string is independent and is not connected to the ground power supply of the chip.

プログラム(書き込み)動作及びプログラム禁止動作 Program (write) operation and program prohibition operation

アドレス指定されたTFTのプログラムは、選択されたワード線(例えば、ワード線423p−R)と、アクティブチャネル領域(例えば、図4Aのボディ領域456のアクティブチャネル領域)との間に高プログラム電圧が印加されたときに、TFTのチャネル領域(例えば、図4Bに示すチャネル領域430L)から電荷トラップ層(例えば、電荷トラップ層434)への電子のトンネリング(直接トンネリング、またはファウラー・ノルドハイム・トンネリング)によって達成され得る。トンネリングは非常に効率的であり、TFTをプログラムするために非常に少ない電流しか必要としないので、低電力消費で数万個のTFTの並列プログラムを達成することができる。トンネリングによるプログラムは、例えば、20V、100マイクロ秒のパルスを必要とし得る。好ましくは、プログラムは、約14Vで開始され、約20Vまで高くなる、連側的なより短い持続時間の段階的な電圧パルスによって実施される。段階的な電圧パルスを用いることにより、TFTの電気的ストレスを低減させ、意図されたプログラム閾値電圧のオーバーシュートを回避することができる。 The addressed TFT program has a high programming voltage between the selected word line (eg, word line 423p-R) and the active channel region (eg, the active channel region of body region 456 in FIG. 4A). When applied, by electron tunneling (direct tunneling, or Fowler-Nordheim tunneling) from the TFT channel region (eg, channel region 430L shown in FIG. 4B) to the charge trap layer (eg, charge trap layer 434). Can be achieved. Since tunneling is very efficient and requires very little current to program the TFTs, it is possible to achieve parallel programming of tens of thousands of TFTs with low power consumption. A tunneling program may require, for example, a pulse of 20 V, 100 microseconds. Preferably, the program is carried out by a stepwise voltage pulse with a shorter duration on the side, starting at about 14V and increasing to about 20V. By using stepwise voltage pulses, the electrical stress of the TFT can be reduced and overshoot of the intended program threshold voltage can be avoided.

各高電圧パルスをプログラムした後、アドレス指定されたトランジスタを読み出して、それがその目標閾値電圧に達したかどうかを調べる。目標閾値電圧に達していない場合、選択されたワード線に印加される次のプログラムパルスは、一般的に数百ミリボルトだけインクリメントされる。このプログラムベリファイシーケンスは、アクティブ列(例えば、図4Bのアクティブ列430L)のローカルビット線(例えば、図4Aのローカルビット線454)に0Vを印加した状態で、1本のアドレスされたワード線(すなわち、制御ゲート)に繰り返し適用される。これらの高ワード線電圧のプログラムでは、TFT416Lのチャネル領域は反転され、かつ0Vに保持され、これにより、電子がTFT416Lの電荷蓄積層にトンネリングする。読み出し感知が、アドレス指定されたTFTがその目標閾値電圧に到達したことを示したとき、アドレス指定されたTFTは、さらなるプログラムを禁止しなければならないが、同一のワード線を共有する他のTFTは、それらのより高い目標閾値電圧へのプログラムを続けることができる。例えば、垂直NORストリング451b内のTFT416Lをプログラムする場合、垂直NORストリング451b及び451a内の他の全てのTFTのプログラムは、その全てのワード線を0Vに保持することによって禁止しなければならない。 After programming each high voltage pulse, the addressed transistor is read to see if it has reached its target threshold voltage. If the target threshold voltage is not reached, the next program pulse applied to the selected wordline is generally incremented by a few hundred millivolts. In this program verification sequence, one addressed word line (for example, the local bit line 454 of FIG. 4A) is applied with 0V applied to the local bit line (for example, the local bit line 454 of FIG. 4A) of the active column (for example, the active column 430L of FIG. 4B). That is, it is repeatedly applied to the control gate). In these high word line voltage programs, the channel region of the TFT 416L is inverted and held at 0V, which causes electrons to tunnel to the charge storage layer of the TFT 416L. When read sensing indicates that the addressed TFT has reached its target threshold voltage, the addressed TFT must prohibit further programming, but other TFTs that share the same wordline. Can continue programming to those higher target threshold voltages. For example, when programming the TFT 416L in the vertical NOR string 451b, programming of all other TFTs in the vertical NOR strings 451b and 451a must be prohibited by keeping all their word lines at 0V.

TFT416Lがその目標閾値電圧に達した後の、TFT416Lに対するさらなるプログラムを禁止するために、半選択電圧(すなわち、約10V)がローカルビット線454に印加される。チャネル領域に10Vが印加され、制御ゲートに20Vが印加された状態では、正味10Vのみが電荷トラップ層に印加されるので、ファウラー・ノルドハイム・トンネリング電流は重要ではなく、最大20Vまでのステップパルス電圧の残りのシーケンス中は、TFT416Lに対する意味のあるさらなるプログラムは行われない。ワード線WL31上のプログラム電圧パルスをインクリメントしながら、ローカルビット線454の電圧を10Vまで上昇させることにより、同一の選択されたワード線を共有する垂直NORストリング上の全てのTFTが、より高い目標閾値電圧に正しくプログラムされる。数万個のTFTを、並列に、マルチレベルセルストレージにおけるそれらの様々な目標閾値電圧状態に正確にプログラムするためには、「プログラム−読み出し−プログラム禁止」のシーケンスが不可欠である。個々のTFTの過剰プログラムのこのようなプログラム禁止が存在しない場合は、次のより高い目標閾値電圧状態の閾値電圧によるオーバーステアまたはマージを引き起こす可能性がある。TFT416R及びTFT416Lは同一のワード線を共有するが、それらは別個の垂直NORストリング対452及び451に属する。TFT416L及びTFT416Rは、それぞれのビット線電圧がGBL及びGBLを介して供給され、独立して制御されるので、TFT416L及びTFT416Rの両方を同一のプログラムパルス電圧シーケンスでプログラムすることができる。例えば、TFT416Lは、プログラムを継続することができ、一方、TFT416Rは、さらなるプログラムをいつでも禁止することができる。垂直NORストリング対491の垂直NORストリングs451a及び451bは、別々のワード線423p−L及び423p−Rによってそれぞれ制御され、各ローカルビット線の電圧は、他の全ての垂直NORストリング対から独立して設定することができるので、これらのプログラム及びプログラム禁止電圧条件を満たすことができる。プログラム中に、アドレス指定されたワード線スタック内またはアドレス指定されていないワード線スタック内の選択されていないワード線は、0V、半選択電圧10V、または、フロート状態にすることができる。グローバルソース線(例えば、図4CのGSL)がソースアクセス選択トランジスタ(図4Cには図示せず)を介してアクセスされる実施形態では、アクセス選択トランジスタは、プログラム中にはオフになり、その結果、プログラム及びプログラム禁止中には、ローカルソース線455の電圧は、ローカルビット線454の電圧に追従する。ローカルソース線上の電圧が、図4Cではキャパシタ460によって表されるその寄生キャパシタCによって供給される実施形態についても同様である。グローバルソース線は存在するがソースアクセス選択トランジスタは存在しない図4Cの実施形態では、アドレス指定されたストリングのグローバルソース線413−1に印加される電圧は、プログラム及びプログラム禁止の間、アドレス指定されたグローバルビット線414−1の電圧を追跡することが好ましい。 A semi-selective voltage (ie, about 10V) is applied to the local bit line 454 to prohibit further programming for the TFT 416L after the TFT 416L has reached its target threshold voltage. With 10V applied to the channel region and 20V applied to the control gate, only the net 10V is applied to the charge trap layer, so the Fowler-Nordheim tunneling current is not important and the step pulse voltage up to 20V. No further meaningful programming for TFT 416L is performed during the rest of the sequence. By increasing the voltage of the local bit line 454 to 10V while incrementing the program voltage pulse on the word line WL31, all TFTs on the vertical NOR string sharing the same selected word line have a higher target. Correctly programmed to the threshold voltage. In order to accurately program tens of thousands of TFTs in parallel to their various target threshold voltage states in multi-level cell storage, a "program-read-program prohibited" sequence is essential. In the absence of such programming prohibition of individual TFT overprogramming, it can cause oversteering or merging due to the threshold voltage of the next higher target threshold voltage state. The TFT 416R and TFT 416L share the same word line, but they belong to separate vertical NOR string pairs 452 and 451. Since the respective bit line voltages of the TFT 416L and the TFT 416R are supplied via the GBL 1 and the GBL 2 and are controlled independently, both the TFT 416L and the TFT 416R can be programmed with the same program pulse voltage sequence. For example, the TFT 416L can continue the program, while the TFT 416R can prohibit further programming at any time. The vertical NOR strings 491a and 451b of the vertical NOR string pairs 491 are controlled by separate word lines 423p-L and 423p-R, respectively, and the voltage of each local bit line is independent of all other vertical NOR string pairs. Since it can be set, these programs and the program prohibition voltage condition can be satisfied. During the program, the unselected wordlines in the addressed or unaddressed wordline stack can be in the 0V, semi-selective voltage 10V, or float state. In an embodiment in which the global source line (eg, GSL 1 in FIG. 4C) is accessed via a source access selection transistor (not shown in FIG. 4C), the access selection transistor is turned off during the program. As a result, the voltage of the local source line 455 follows the voltage of the local bit line 454 during the program and program prohibition. The same is true for embodiments in which the voltage on the local source line is supplied by its parasitic capacitor C, represented by capacitor 460 in FIG. 4C. In the embodiment of FIG. 4C, where the global source line is present but the source access selection transistor is not present, the voltage applied to the global source line 413-1 of the addressed string is addressed during program and program prohibition. It is preferable to track the voltage of the global bit line 414-1.

プログラムパルスの電圧の各インクリメント後には、TFT416L及び416Rがそれらのそれぞれの目標閾値電圧に達したか否かを判定する読み出しサイクルが行われる。目標閾値電圧に達している場合には、さらなるプログラムを禁止するために、ドレイン、ソース、及びボディ電圧を10Vに上昇させる(あるいは、これらの電圧を10Vに近づくようにフロートする)、一方、ワード線WL31は、目標閾値電圧にまだ達していない同一平面上の他のアドレス指定されたTFTのプログラムを継続する。このシーケンスは、全てのアドレス指定されたTFTが正しくプログラムされたことが読み出し/ベリファイされたときに終了する。MLCの場合、アドレス指定された各グローバルビット線をいくつかの所定の電圧(例えば、記憶される2ビットデータの4つの互いに異なる状態を表す0V、1.5V、3.0V、または4.5V)のうちの1つに設定し、次いで、階段状のプログラムパルス(約20Vまで)をワード線WL31に印加することによって、複数の閾値電圧状態のうちの1つのプログラムを高速化することができる。このようにして、アドレス指定されたTFTは、有効なトンネリング電圧(すなわち、それぞれ、20V、18.5V、17V、15.5V)のうちの所定の1つを受け取り、その結果、所定の閾値電圧の1つが単一プログラムシーケンスでTFTにプログラムされる。その後、微細なプログラムパルスが、個々のTFTレベルで提供され得る。 After each increment of the voltage of the program pulse, a read cycle is performed to determine if the TFTs 416L and 416R have reached their respective target threshold voltages. If the target threshold voltage is reached, the drain, source, and body voltages are raised to 10V (or floated closer to 10V) to prohibit further programming, while ward. Line WL31 continues the program of other addressed TFTs on the same plane that have not yet reached the target threshold voltage. This sequence ends when all addressed TFTs have been read / verified to be correctly programmed. In the case of MLC, each addressed global bit line has several predetermined voltages (eg, 0V, 1.5V, 3.0V, or 4.5V representing four different states of stored 2-bit data). ), And then a stepped program pulse (up to about 20V) is applied to the word line WL31 to speed up one of the multiple threshold voltage states. .. In this way, the addressed TFT receives a predetermined one of the effective tunneling voltages (ie, 20V, 18.5V, 17V, 15.5V, respectively), resulting in a predetermined threshold voltage. One of them is programmed into the TFT in a single program sequence. Subtle program pulses can then be provided at individual TFT levels.

高速化された全面並列プログラム High-speed full-parallel program

マルチゲート垂直NORストリングアレイの各ローカルソース線の固有の寄生キャパシタCに起因して、マルチゲート垂直NORストリングアレイの全てのローカルソース線は、高電圧パルスシーケンスを適用する前に、全ての垂直NORストリング上に0V(プログラム用)または10V(禁止用)の電圧を(例えば、グローバルビット線GBL、ビット線アクセス選択トランジスタ411、プリチャージトランジスタ470を介して)瞬間的に印加することができる。この手順は、ワード線平面をアドレス指定することによって、平面毎に実行することができる。アドレス指定されたワード線平面毎に、他のワード線平面上の全てのワード線を0Vに保持しながら、アドレス指定されたワード線平面上の多くのまたは全てのワード線にプログラムパルスシーケンスを適用することができ、これにより、アドレス指定された平面上の多数のTFTを並列にプログラムし、次いで、個々の読み出しベリファイを行うことができる。また、必要に応じて、適切にプログラムされたTFTのローカルソース線を、プログラム禁止電圧にリセットすることができる。このアプローチは、プログラム時間が比較的長く(すなわち、約100マイクロ秒)、一方、アドレス指定されたワード線平面を共有する全てのTFTの全てのローカルソース線キャパシタのプリチャージまたは読み出しベリファイの速度は1000倍以上速いので、大きな利点を提供する。したがって、各ワード線平面に可能な限り多数のTFTを並列にプログラムすることは有益である。この高速化されたプログラム機能は、シングルビットプログラムよりも大幅に遅いMLCプログラムにおいて、さらに大きな利点を提供する。 Due to the unique parasitic capacitor C of each local source line in the multi-gate vertical NOR string array, all local source lines in the multi-gate vertical NOR string array are all vertical NOR before applying the high voltage pulse sequence. A voltage of 0V (for programming) or 10V (for prohibition) can be instantaneously applied on the string (eg, via global bit line GBL 1 , bit line access selection transistor 411, precharge transistor 470). This procedure can be performed on a plane-by-plane basis by addressing the wordline planes. For each addressed wordline plane, apply the program pulse sequence to many or all wordlines on the addressed wordline plane while keeping all wordlines on the other wordline planes at 0V. This allows a large number of TFTs on an addressed plane to be programmed in parallel and then individual read verifications can be performed. Also, if necessary, the local source line of the properly programmed TFT can be reset to the program prohibition voltage. This approach has a relatively long programming time (ie, about 100 microseconds), while the speed of precharging or reading verification of all local source line capacitors on all TFTs that share an addressed word line plane is It is more than 1000 times faster, which offers a great advantage. Therefore, it is beneficial to program as many TFTs as possible in parallel on each word line plane. This accelerated programming capability offers even greater advantages in MLC programs that are significantly slower than single-bit programs.

消去動作 Erase operation

いくつかの電荷トラップ材料では、消去動作は、トラップされた電荷の逆トンネリングによって実行される。この消去動作は、かなり遅くなる場合があり、ときには、数十ミリ秒の20Vまたはそれ以上のパルスを必要とする。したがって、消去動作は、垂直NORストリングアレイレベル(「ブロック消去」)で実施することができ、しばしば、バックグラウンドで実行される。一般的な垂直NORストリングアレイは、64のワード線平面を有し、各ワード線平面は、例えば16、384×16、384個、合計で約17億のTFTを制御する。したがって、各TFTに2ビットのデータが格納されている場合、1テラビットチップは、約30個の垂直NORアレイ配列を含むことができる。いくつかの実施形態では、ブロック消去は、ブロック内の全てのワード線を0Vに保持しつつ、垂直NORストリング(例えば、図4Cのボディ接続部456、及び図5Aのコンタクト556)において全てのTFTによって共有されるPチャネルに約20Vを印加することによって実行することができる。消去パルスの持続時間は、ブロック内の大部分のTFTがエンハンスメントモードのわずかな閾値電圧、すなわち0V〜1Vの範囲で消去されるような持続時間にしなくてはならない。いくつかのTFTは、オーバーシュートして空乏モード(すなわち、わずかに負の閾値電圧)に消去される。消去コマンドの一部として、消去パルスの終了後に、過剰消去されたTFTを、エンハンスメントモードのわずかな閾値電圧に戻すために、ソフトプログラムが必要とされ得る。エンハンスメントモードにプログラムすることができない1以上の空乏モードTFTを含むことができる垂直NORストリングは、予備のストリングに置き換えるために除去する必要がある。 For some charge trap materials, the erasing operation is performed by reverse tunneling of the trapped charge. This erasing operation can be quite slow, sometimes requiring a pulse of 20 V or more for tens of milliseconds. Therefore, the erase operation can be performed at the vertical NOR string array level (“block erase”) and is often performed in the background. A typical vertical NOR string array has 64 word line planes, and each word line plane controls, for example, 16,384 × 16,384 TFTs, for a total of about 1.7 billion TFTs. Therefore, if each TFT stores 2 bits of data, a 1 terabit chip can contain about 30 vertical NOR array arrays. In some embodiments, block erasure keeps all word lines in the block at 0V while all TFTs in the vertical NOR string (eg, body connection 456 in FIG. 4C and contact 556 in FIG. 5A). It can be performed by applying about 20V to the P channel shared by. The duration of the erasure pulse must be such that most of the TFTs in the block are erased at the slight threshold voltage in enhancement mode, i.e. in the range 0V to 1V. Some TFTs overshoot and are eliminated in depletion mode (ie, slightly negative threshold voltage). As part of the erasure command, a soft program may be required to return the over-erased TFT to the slight threshold voltage in enhancement mode after the end of the erasure pulse. Vertical NOR strings that can contain one or more depletion mode TFTs that cannot be programmed into enhancement mode need to be removed to replace the spare string.

代替的に、ボディ(すなわち、P−層)に消去パルスを供給するのではなく、消去パルスの持続時間の間、全てのワード線平面上の全てのワード線を0Vに保持しながら、垂直NORストリングアレイ内の全ての垂直NORストリング対上のローカルソース線及びローカルビット線(例えば、図4Cのローカルソース線455及びローカルビット線454)の電圧を約20Vまで上昇させてもよい。このスキームでは、グローバルソース線及びグローバルビット線選択デコーダは、それらの接合部において20Vに耐えることができる高電圧トランジスタを使用する必要がある。代替的に、アドレス指定されたワード線平面を共有する全てのTFTは、他の全ての平面上のワード線を0Vに保持しながら、アドレス指定された平面上の全てのワード線に−20Vパルスを印加することによって、同時に消去することができる。垂直NORストリング対の他の全ての電圧は、0Vに保持される。このようにして、アドレス指定された1つのワード線平面と接続する全てのTFTのX−Yスライスのみが消去される。 Alternatively, instead of supplying the erase pulse to the body (ie, the P-layer), the vertical NOR is held at 0 V for all word lines on all word line planes for the duration of the erase pulse. The voltage of the local source line and local bit line (eg, local source line 455 and local bit line 454 in FIG. 4C) on all vertical NOR string pairs in the string array may be increased to about 20 V. In this scheme, the global source line and global bit line selection decoders need to use high voltage transistors capable of withstanding 20V at their junctions. Alternatively, all TFTs that share an addressed wordline plane hold a -20V pulse on all wordlines on the addressed plane, while holding the wordlines on all other planes at 0V. Can be erased at the same time by applying. All other voltages in the vertical NOR string pair are held at 0V. In this way, only the XY slices of all TFTs connected to one addressed word line plane are erased.

半揮発性NOR TFTストリング Semi-volatile NOR TFT string

垂直NORストリングへの使用に適したいくつかの電荷トラップ材料(例えば、酸化物−窒化物−酸化物、すなわち「ONO」)は、一般的に、何年もの長さのデータ保持時間を有するが、耐久性は比較的低い(すなわち、一般的に、約1万サイクル以下の回数の書き込み消去サイクルの後、性能が劣化する)。しかしながら、いくつかの実施形態では、電荷の保持時間は非常に短いが、非常に向上した耐久性を有する(例えば、保持時間は、数分または数時間程度であるが、数千万回の書き込み消去サイクルが可能な耐久性を有する)電荷トラップ材料を選択してもよい。例えば、図7Cの実施形態では、一般的に6〜8nmのSiO層であるトンネル誘電体層732cは、約2nmまで薄くするか、または、同様の厚さの別の誘電体材料(例えば、SiN)に置き換えることが可能である。この非常に薄い誘電体層は、直接トンネリングによって電荷トラップ層に電子を導入するのに、(より高い電圧を必要とするファウラー・ノルドハイム・トンネリングとは異なる)より小さい電圧を使用することを可能にし、この場合、電子を数分から数時間または数日間にわたってトラップすることができる。電荷トラップ層732bは、窒化シリコン、薄い誘電体膜中に分散された導電性ナノドット、または、絶縁された薄いフローティングゲートを含む他の電荷トラップ膜の組み合わせであり得る。ブロッキング層732aは、二酸化ケイ素、酸化アルミニウム、酸化ハフニウム、窒化ケイ素、高誘電率誘電体、またはそれらの任意の組み合わせであり得る。ブロッキング層732aは、電荷トラップ層732b内の電子が制御ゲートワード線に逃げることを阻止する。トラップされた電子は、最終的には、極薄トンネル誘電体層の破損の結果として、または逆方向の直接トンネリングによって、アクティブ領域730Rに漏出する。しかしながら、トラップされた電子のこのような損失は、比較的遅い。また、電荷トラップ材料の他の組み合わせを使用してもよいが、その場合は、耐久性は高くなるが、失われた電荷を補充するために定期的な書き込みまたは読み出しリフレッシュ動作を必要とする保持性が低い「半揮発性」ストレージTFTが得られる。本発明の垂直NORストリングは、比較的高速の読み出しアクセス(すなわち、低レイテンシ)を有するので、それらは、ダイナミックランダムアクセスメモリ(DRAM)の使用を現時点では必要とするいくつかの用途で使用することができる。本発明の垂直方向NORストリングは、3次元スタックに組み込むことができないDRAMに対して、ビット当たりのコストが大幅に低いという大きな利点を有する。また、本発明の垂直方向NORストリングは、リフレッシュサイクルは数分または数時間ごとに1回実行するだけでよいので、数ミリ秒ごとリフレッシュを必要とするDRAMと比べて消費電力が大幅に低いという大きな利点を有する。本発明の3次元半揮発性ストレージTFTは、電荷トラップ材料のために上記したような適切な材料を選択し、プログラム/読み出し/プログラム禁止/消去条件を適切に適合させ、かつ、定期的なデータのリフレッシュを組み込むことによって達成される。 Although some charge trapping materials suitable for use in vertical NOR strings (eg, oxide-nitride-oxide, or "ONO") generally have years of data retention time. , Durability is relatively low (ie, generally performance deteriorates after write / erase cycles of about 10,000 cycles or less). However, in some embodiments, the charge retention time is very short but has very improved durability (eg, the retention time is on the order of minutes or hours, but tens of millions of writes. A charge trapping material (with durability that allows for erasure cycles) may be selected. For example, in the embodiment of FIG. 7C , the tunnel dielectric layer 732c, which is typically a SiO 2 layer of 6-8 nm, is thinned to about 2 nm or another dielectric material of similar thickness (eg, for example. It can be replaced with SiN). This very thin dielectric layer allows the use of smaller voltages (unlike Fowler Nordheim tunneling, which requires higher voltage) to introduce electrons into the charge trap layer by direct tunneling. , In this case, the electrons can be trapped for minutes to hours or days. The charge trap layer 732b can be a combination of silicon nitride, conductive nanodots dispersed in a thin dielectric film, or other charge trap film containing an insulated thin floating gate. The blocking layer 732a can be silicon dioxide, aluminum oxide, hafnium oxide, silicon nitride, a high dielectric constant dielectric, or any combination thereof. The blocking layer 732a prevents the electrons in the charge trap layer 732b from escaping to the control gate word line. The trapped electrons eventually leak into the active region 730R as a result of breakage of the ultrathin tunnel dielectric layer or by direct tunneling in the opposite direction. However, such loss of trapped electrons is relatively slow. Other combinations of charge trapping materials may also be used, in which case retention is more durable but requires regular write or read refresh operations to replenish the lost charge. A low-quality "semi-volatile" storage TFT is obtained. Since the vertical NOR strings of the present invention have relatively fast read access (ie, low latency), they should be used in some applications that currently require the use of dynamic random access memory (DRAM). Can be done. The vertical NOR string of the present invention has a great advantage that the cost per bit is significantly lower than that of a DRAM that cannot be incorporated into a three-dimensional stack. In addition, the vertical NOR string of the present invention consumes significantly less power than a DRAM that requires refreshing every few milliseconds because the refresh cycle only needs to be executed once every few minutes or hours. It has a great advantage. The three-dimensional semi-volatile storage TFT of the present invention selects an appropriate material as described above for the charge trap material, appropriately adapts the program / read / program prohibition / erasure conditions, and periodically data. Achieved by incorporating a refresh of.

NROM/ミラービットNOR TFTストリング NROM / Mirror Bit NOR TFT String

本発明の別の実施形態では、垂直NORストリングは、当業者に知られている2次元NROM/ミラービットトランジスタで使用されるものと同様のチャネルホットエレクトロン注入法を用いてプログラムすることができる。一例として図4Aの実施形態を使用すると、チャネルホットエレクトロン注入のプログラム条件は、制御ゲート(すなわちワード線423p)では8V、ローカルソース線455では0V、ローカルドレイン線454では5Vであり得る。1ビットを表す電荷は、ローカルビット線454との接合部に隣接する(ボディ領域456の)チャネル領域の一端の電荷蓄積層に蓄積される。ローカルソース線455及びローカルビット線454の極性を反転させることによって、第2のビットを表す電荷がプログラムされ、ローカルソース線455との接合部に隣接するチャネル領域456の反対側の端部の電荷蓄積層に記憶される。両方のビットを読み出すためには、当業者には知られているように、プログラムのときと逆の順序で読み出す必要がある。チャネルホットエレクトロンプログラムは、直接トンネリングまたはファウラー・ノルドハイム・トンネリングによるプログラムよりもはるかに効率が悪いため、トンネリングにより可能な大規模な並列プログラムには適していない。しかしながら、各TFTは2倍のビット密度を有するので、アーカイブメモリなどの用途では魅力的である。NROM TFT実施形態での消去は、バンド間トンネリング誘起ホットホール注入を利用する従来のNROM消去メカニズムを用いて、トラップされた電子の電荷を中和することにより、すなわち、ワード線に−5V、ローカルソース線455に0V、ローカルビット線454に5Vをそれぞれ印加することによって達成することができる。代替的に、NROM TFTは、0Vのワード線を有するボディ領域456に、高い正の基板電圧Vbbを印加することによって消去することができる。チャネルホットエレクトロン注入プログラムは高プログラム電流を必要とするので、垂直NROM TFTストリングの全ての実施形態は、図3A及び図6Cの実施形態のように、ハードワイヤードローカルソース線及びローカルビット線を使用しなければならない。 In another embodiment of the invention, the vertical NOR string can be programmed using a channel hot electron injection method similar to that used in two-dimensional NROM / mirror bit transistors known to those of skill in the art. Using the embodiment of FIG. 4A as an example, the programming conditions for channel hot electron injection can be 8V at the control gate (ie, word line 423p), 0V at the local source line 455, and 5V at the local drain line 454. The charge representing one bit is stored in the charge storage layer at one end of the channel region (of the body region 456) adjacent to the junction with the local bit line 454. By reversing the polarities of the local source line 455 and the local bit line 454, a charge representing the second bit is programmed and the charge at the opposite end of the channel region 456 adjacent to the junction with the local source line 455. It is stored in the storage layer. In order to read both bits, it is necessary to read them in the reverse order of the program, as is known to those skilled in the art. Channel hot electron programs are far less efficient than programs by direct tunneling or Fowler-Nordheim tunneling, making them unsuitable for large parallel programs possible by tunneling. However, each TFT has twice the bit density, which makes it attractive for applications such as archive memory. Erasing in the NROM TFT embodiment uses a conventional NROM erasing mechanism that utilizes interband tunneling-induced hot hole injection by neutralizing the charge of trapped electrons, i.e. -5 V local to the word line. This can be achieved by applying 0 V to the source line 455 and 5 V to the local bit line 454, respectively. Alternatively, the NROM TFT can be erased by applying a high positive substrate voltage V bb to the body region 456 having a 0 V word line. Since the channel hot electron injection program requires a high program current, all embodiments of the vertical NROM TFT string use hard-wired local source and local bit wires, as in the embodiments of FIGS. 3A and 6C. There must be.

上記の詳細な説明は、本発明の特定の実施形態を例示するために提供されたものであって、限定することを意図するものではない。本発明の範囲内で様々な変形及び変更が可能である。本発明は、添付の特許請求の範囲に記載されている。 The above detailed description is provided to illustrate certain embodiments of the present invention and is not intended to be limiting. Various modifications and modifications are possible within the scope of the present invention. The present invention is described in the appended claims.

Claims (33)

メモリ構造体であって、
実質的に平坦な表面を有し、メモリ回路を動作させるための回路が形成された半導体基板と、
前記半導体基板の上方に形成された半導体材料の複数のアクティブ列であって、各アクティブ列は、前記半導体基板の前記平坦な表面に対して直交する第1の方向に沿って延在し、かつ、第1の高濃度ドープ領域、第2の高濃度ドープ領域、及び前記第1の高濃度ドープ領域と前記第2の高濃度ドープ領域との両方に隣接する1以上の低濃度ドープ領域を含み、前記アクティブ列は、第2の方向に沿って延在する複数行のアクティブ列と、第3の方向に沿って延在する複数行のアクティブ列とを有する2次元アレイに配置され、前記第2の方向及び前記第3の方向は、前記半導体基板の前記平坦な表面に対して平行である、該複数のアクティブ列と、
前記各アクティブ列における1以上の表面上に設けられた電荷トラップ材料と、
複数のスタックをなす前記アクティブ列同士の間に設けられた、互いに電気的に絶縁された複数のワード線導体であって、前記各スタックは、前記第3の方向に沿って長手方向に延び、前記アクティブ列、前記電荷トラップ材料、及び前記ワード線導体は、互いに協働して複数の可変閾値薄膜トランジスタを形成し、前記各可変閾値薄膜トランジスタは、前記複数のワード線導体のうちの関連する前記ワード線導体、前記アクティブ列における前記低濃度ドープ領域の部分、前記低濃度ドープ領域の前記部分と前記ワード線導体との間の前記電荷トラップ材料、第1の高濃度ドープ領域、及び第2の高濃度ドープ領域を含む、該複数のワード線導体と、
それぞれ前記アクティブ列の上方及び下方で前記第2の方向に沿って長手方向に延びる第1の複数の相互接続導体及び第2の複数の相互接続導体と、を備え、
(i)前記第1の高濃度ドープ領域は、ローカルビット線を形成し、前記可変閾値薄膜トランジスタの第1のドレインまたはソース端子として機能し、前記ローカルビット線は、前記第2の複数の相互接続導体のうちの関連する相互接続導体に選択的に接続され、
(ii)前記関連するワード線導体は、前記可変閾値薄膜トランジスタに制御電圧を提供するためのゲート端子として機能し、
(iii)前記第2の高濃度ドープ領域は、ローカルソース線を形成し、前記可変閾値薄膜トランジスタの第2のドレインまたはソース端子として機能し、前記ローカルソース線は、前記第1の複数の相互接続導体のうちの関連する相互接続導体に接続される、
メモリ構造体。
It's a memory structure
A semiconductor substrate having a substantially flat surface and having a circuit for operating a memory circuit formed therein.
A plurality of active rows of semiconductor material formed above the semiconductor substrate, each active row extending along a first direction orthogonal to the flat surface of the semiconductor substrate and extending. , A first high-concentration dope region, a second high-concentration dope region, and one or more low-concentration dope regions adjacent to both the first high-concentration dope region and the second high-concentration dope region. , The active column is arranged in a two-dimensional array having a plurality of rows of active columns extending along a second direction and a plurality of rows of active columns extending along a third direction. The plurality of active rows and the plurality of active rows, which are parallel to the flat surface of the semiconductor substrate, in the second direction and the third direction.
A charge trap material provided on one or more surfaces in each active row and
A plurality of electrically isolated word wire conductors provided between the active rows forming the plurality of stacks, each of which extends longitudinally along the third direction. The active row, the charge trap material, and the word wire conductor cooperate with each other to form a plurality of variable threshold thin films, and each variable threshold thin film is the related word of the plurality of word wire conductors. A wire conductor, a portion of the low concentration dope region in the active row, the charge trap material between the portion of the low concentration dope region and the ward wire conductor, a first high concentration dope region, and a second high. With the plurality of word wire conductors including a concentration-doped region,
A first plurality of interconnect conductors and a second plurality of interconnector conductors extending longitudinally along the second direction above and below the active row, respectively, are provided.
(I) The first high-concentration doped region forms a local bit line and functions as a first drain or source terminal of the variable threshold thin film transistor, and the local bit line is the second plurality of interconnects. Selectively connected to the relevant interconnect conductor of the conductors,
(Ii) The related word line conductor functions as a gate terminal for providing a control voltage to the variable threshold thin film transistor.
(Iii) The second high-concentration doped region forms a local source line and functions as a second drain or source terminal of the variable threshold thin film transistor, and the local source line is the first plurality of interconnects. Connected to the relevant interconnect conductor of the conductors,
Memory structure.
請求項1に記載のメモリ構造体であって、
前記第2の複数の相互接続導体は、前記第2の方向に沿った前記アクティブ列の各行の下方に複数のビット線セグメントを含み、
前記各ビット線セグメントは、互いに選択的に電気的に絶縁され、かつ、前記アクティブ列の各行において所定の数のローカルビット線を接続する、メモリ構造体。
The memory structure according to claim 1.
The second plurality of interconnect conductors include a plurality of bit line segments below each row of the active column along the second direction.
A memory structure in which each bit line segment is selectively electrically isolated from each other and connects a predetermined number of local bit lines in each row of the active column.
請求項2に記載のメモリ構造体であって、
複数の領域ビット線セグメントをさらに備え、
前記各ビット線セグメントは、前記各領域ビット線セグメントに選択的に接続される、メモリ構造体。
The memory structure according to claim 2.
Further with multiple region bit line segments,
A memory structure in which each bit line segment is selectively connected to each area bit line segment.
請求項2に記載のメモリ構造体であって、
複数のセグメント選択トランジスタをさらに備え、
前記各セグメント選択トランジスタは、対応するビット線セグメントを前記半導体基板の前記回路に選択的に接続する、メモリ構造体。
The memory structure according to claim 2.
With multiple segment selection transistors
Each segment selection transistor is a memory structure that selectively connects a corresponding bit line segment to the circuit of the semiconductor substrate.
請求項4に記載のメモリ構造体であって、
前記半導体基板の前記回路は、前記半導体基板の前記平坦な表面の全体にわたって配置された複数のセンスアンプを少なくとも含み、
前記各センスアンプは、1以上の前記セグメント選択トランジスタの別個のグループによって、対応するビット線セグメントに接続される、メモリ構造体。
The memory structure according to claim 4.
The circuit of the semiconductor substrate comprises at least a plurality of sense amplifiers arranged over the flat surface of the semiconductor substrate.
A memory structure in which each sense amplifier is connected to a corresponding bit line segment by a separate group of one or more segment selection transistors.
請求項4に記載のメモリ構造体であって、
前記セグメント選択トランジスタは、前記半導体基板に形成される、メモリ構造体。
The memory structure according to claim 4.
The segment selection transistor is a memory structure formed on the semiconductor substrate.
請求項2に記載のメモリ構造体であって、
前記各ワード線導体は、前記ワード線導体の両側の前記アクティブ列の可変閾値薄膜トランジスタのためのゲート端子を提供し、
前記ワード線導体の両側の互いに隣接する前記アクティブ列の前記ローカルビット線は、異なるビット線セグメントに関連付けられる、メモリ構造体。
The memory structure according to claim 2.
Each word line conductor provides gate terminals for variable threshold thin film transistors in the active row on either side of the word line conductor.
A memory structure in which the local bit lines of the active column adjacent to each other on either side of the word line conductor are associated with different bit line segments.
請求項1に記載のメモリ構造体であって、
前記第1の複数の相互接続導体は、前記第2の方向に沿った前記アクティブ列の各行の上方に複数のソース線セグメントを含み、
前記各ソース線セグメントは、前記アクティブ列の各行においての所定の数のローカルソース線を接続する、メモリ構造体。
The memory structure according to claim 1.
The first plurality of interconnect conductors include a plurality of source line segments above each row of the active column along the second direction.
Each source line segment is a memory structure that connects a predetermined number of local source lines in each row of the active column.
請求項8に記載のメモリ構造体であって、
前記各ソース線セグメントは、互いに選択的に電気的に絶縁される、メモリ構造体。
The memory structure according to claim 8.
A memory structure in which each of the source line segments is selectively electrically isolated from each other.
請求項9に記載のメモリ構造体であって、
グローバルソース線と、複数のセグメント選択トランジスタとをさらに備え、
前記各セグメント選択トランジスタは、所定の数のソース線セグメントをグローバルソース線に接続する、メモリ構造体。
The memory structure according to claim 9.
Further equipped with a global source line and multiple segment selection transistors,
Each segment selection transistor is a memory structure that connects a predetermined number of source line segments to a global source line.
請求項10に記載のメモリ構造体であって、
前記各アクティブ列は、該アクティブ列の前記ローカルソース線を該アクティブ列の前記ローカルビット線に電気的に接続するためのプリチャージトランジスタをさらに含む、メモリ構造体。
The memory structure according to claim 10.
Each active row is a memory structure further comprising a precharge transistor for electrically connecting the local source line of the active row to the local bit line of the active row.
請求項11に記載のメモリ構造体であって、
前記各ソース線セグメントによって接続された前記ローカルソース線は、該ソース線セグメントに関連する前記アクティブ列における1以上の前記可変閾値薄膜トランジスタの読み出し、プログラム、プログラム禁止、または消去の動作中に仮想電圧源として機能するキャパシタンスを提供する、メモリ構造体。
The memory structure according to claim 11.
The local source line connected by each source line segment is a virtual voltage source during the read, program, program prohibition, or erase operation of one or more of the variable threshold thin film transistors in the active column associated with the source line segment. A memory structure that provides capacitance to function as.
請求項8に記載のメモリ構造体であって、
前記半導体基板は、ボディバイアス電圧源をさらに含み、
前記各アクティブ列の前記低濃度ドープ領域は、前記アクティブ列の上方または下方に設けられた導体によって、前記ボディバイアス電圧源に接続される、メモリ構造体。
The memory structure according to claim 8.
The semiconductor substrate further includes a body bias voltage source.
A memory structure in which the low concentration doped region of each active row is connected to the body bias voltage source by a conductor provided above or below the active row.
請求項13に記載のメモリ構造体であって、
前記アクティブ列の上方の前記導体は、前記第1の複数の相互接続導体のうちの1つを含む、メモリ構造体。
The memory structure according to claim 13.
The conductor above the active row is a memory structure comprising one of the first plurality of interconnect conductors.
請求項13に記載のメモリ構造体であって、
前記アクティブ列の上方の前記導体は、前記第3の方向に沿って配線される、メモリ構造体。
The memory structure according to claim 13.
A memory structure in which the conductor above the active row is routed along the third direction.
請求項8に記載のメモリ構造体であって、
前記複数のソース線セグメントのうちの関連するソース線セグメントに各々関連付けられた複数のチャージ列をさらに備え、
前記各チャージ列は、前記各アクティブ列の前記第1の高濃度ドープ領域及び前記第2の高濃度ドープ領域と構造的に実質的に同一の第1の高濃度ドープ領域及び第2の高濃度ドープ領域を有し、
前記各チャージ列は、それぞれ前記チャージ列の前記第1の高濃度ドープ領域及び前記第2の高濃度ドープ領域を選択的に接続する複数のパストランジスタをさらに含み、
前記第1の高濃度ドープ領域及び前記第2の高濃度ドープ領域のうちの少なくとも一方は、前記半導体基板の前記回路に接続される、メモリ構造体。
The memory structure according to claim 8.
It further comprises a plurality of charge columns associated with each of the relevant source line segments of the plurality of source line segments.
Each of the charge rows has a first high-concentration dope region and a second high-concentration that are structurally substantially identical to the first high-concentration dope region and the second high-concentration dope region of each active row. Has a dope region and
Each of the charge trains further comprises a plurality of pass transistors that selectively connect the first high concentration dope region and the second high concentration dope region of the charge train, respectively.
A memory structure in which at least one of the first high-concentration doping region and the second high-concentration doping region is connected to the circuit of the semiconductor substrate.
請求項16に記載のメモリ構造体であって、
前記各チャージ列は、互いに隣接する2つのソース線セグメント間に形成される、メモリ構造体。
The memory structure according to claim 16.
Each of the charge rows is a memory structure formed between two source line segments adjacent to each other.
請求項16に記載のメモリ構造体であって、
前記複数のワード線導体のうちの1以上のワード線導体が、前記複数のチャージ列のうちの1以上のチャージ列における前記複数のパストランジスタのうちの1以上のパストランジスタをアクティブ化する、メモリ構造体。
The memory structure according to claim 16.
A memory in which one or more word line conductors of the plurality of word line conductors activate one or more pass transistors of the plurality of pass transistors in one or more charge rows of the plurality of charge rows. Structure.
請求項18に記載のメモリ構造体であって、
前記1以上のチャージ列の前記1以上のパストランジスタをアクティブ化する前記1以上のワード線導体を接続するグローバルソース線をさらに備える、メモリ構造体。
The memory structure according to claim 18.
A memory structure further comprising a global source line connecting the one or more word line conductors that activate the one or more pass transistors in the one or more charge trains.
請求項16に記載のメモリ構造体であって、
前記各チャージ列は、前記半導体基板のセグメント選択トランジスタによって、前記半導体基板に設けられた電圧源に接続される、メモリ構造体。
The memory structure according to claim 16.
Each charge row is a memory structure connected to a voltage source provided on the semiconductor substrate by a segment selection transistor of the semiconductor substrate.
請求項20に記載のメモリ構造体であって、
前記電圧源は、消去動作中に、ソース線の消去電圧を供給する、メモリ構造体。
The memory structure according to claim 20.
The voltage source is a memory structure that supplies the erasing voltage of the source line during the erasing operation.
請求項1に記載のメモリ構造体であって、
前記アクティブ列は、絶縁誘電体材料またはエアギャップによって互いに絶縁される、メモリ構造体。
The memory structure according to claim 1.
A memory structure in which the active rows are insulated from each other by an insulating dielectric material or an air gap.
請求項1に記載のメモリ構造体であって、
前記スタックの前記ワード線導体は、絶縁誘電体材料またはエアギャップによって互いに絶縁される、メモリ構造体。
The memory structure according to claim 1.
A memory structure in which the word wire conductors of the stack are insulated from each other by an insulating dielectric material or an air gap.
請求項1に記載のメモリ構造体であって、
前記各アクティブ列に関連する前記可変閾値薄膜トランジスタは、1以上のNOR薄膜トランジスタストリングを構成するべく並列に配置される、メモリ構造体。
The memory structure according to claim 1.
A memory structure in which the variable threshold thin film transistors associated with each active row are arranged in parallel to form one or more NOR thin film transistor strings.
請求項1に記載のメモリ構造体であって、
前記各スタックの前記ワード線導体は、階段状構造体のステップを形成するべく、前記第1の方向に沿って互いに異なる位置に配置され、
前記各ワード線導体は、前記階段状構造体において、ビアによって、前記第1の複数の相互接続導体及び前記第2の複数の相互接続導体のうちの対応する一方に接続される、メモリ構造体。
The memory structure according to claim 1.
The word line conductors of each stack are arranged at different positions along the first direction to form steps in the stepped structure.
Each word wire conductor is connected to a corresponding one of the first plurality of interconnect conductors and the second plurality of interconnect conductors by a via in the stepped structure. ..
請求項25に記載のメモリ構造体であって、
互いに異なる前記スタックにおける前記階段状構造体の選択されたステップにおいて選択されたワード線導体は、前記第1の複数の相互接続導体及び前記第2の複数の相互接続導体のうちの対応する一方に接続される、メモリ構造体。
The memory structure according to claim 25.
The word line conductors selected in the selected steps of the stepped structure in the stacks that are different from each other are in the corresponding one of the first plurality of interconnect conductors and the second plurality of interconnect conductors. A memory structure to be connected.
互いに重ねて配置された第1のモジュール式メモリ構造体及び第2のモジュール式メモリ構造体を含むメモリ構造体であって、
前記各モジュール式メモリ構造体が、請求項25に記載のメモリ構造体を含む、メモリ構造体。
A memory structure including a first modular memory structure and a second modular memory structure arranged on top of each other.
A memory structure in which each modular memory structure includes the memory structure according to claim 25.
請求項27に記載のメモリ構造体であって、
前記第1のモジュール式メモリ構造体及び前記第2のモジュール式メモリ構造体は、誘電体層によって互いに絶縁される、メモリ構造体。
The memory structure according to claim 27.
The first modular memory structure and the second modular memory structure are memory structures that are insulated from each other by a dielectric layer.
請求項28に記載のメモリ構造体であって、
前記第1のモジュール式メモリ構造体及び前記第2のモジュール式メモリ構造体内の前記アクティブ列は、前記第1の方向に沿って配列され、
該アクティブ列の前記ローカルソース線は、前記誘電体層を介してビアによって接続される、メモリ構造体。
The memory structure according to claim 28.
The first modular memory structure and the active rows in the second modular memory structure are arranged along the first direction.
A memory structure in which the local source lines of the active column are connected by vias via the dielectric layer.
請求項1に記載のメモリ構造体であって、
前記アクティブ列の前記ローカルソース線及び前記ローカルビット線の一方または両方に埋め込まれた金属製のパイロンをさらに備える、メモリ構造体。
The memory structure according to claim 1.
A memory structure further comprising a metal pylon embedded in one or both of the local source line and the local bit line of the active column.
請求項30に記載のメモリ構造体であって、
前記金属製のパイロンは、窒化チタン、窒化タングステン、及びタングステンのうちの1以上を含む、メモリ構造体。
The memory structure according to claim 30.
The metal pylon is a memory structure containing one or more of titanium nitride, tungsten nitride, and tungsten.
請求項31に記載のメモリ構造体であって、
前記金属製のパイロンは、原子層蒸着技術を用いて形成される、メモリ構造体。
The memory structure according to claim 31.
The metal pylon is a memory structure formed by using atomic layer deposition technology.
請求項1に記載のメモリ構造体であって、
前記各アクティブ列における前記低濃度ドープ領域は、第1のセクション及び第2のセクションを含み、
前記低濃度ドープ領域の前記第1のセクションは、前記アクティブ列の前記可変閾値薄膜トランジスタのためのチャネル領域として機能し、
前記低濃度ドープ領域の前記第2のセクションは、前記低濃度ドープ領域の前記第1のセクションの数倍のドーパント濃度を有する、メモリ構造体。
The memory structure according to claim 1.
The low concentration dope region in each active row comprises a first section and a second section.
The first section of the low concentration doped region serves as a channel region for the variable threshold thin film transistor in the active row.
The second section of the low concentration dope region is a memory structure having a dopant concentration several times that of the first section of the low concentration dope region.
JP2020541723A 2018-02-02 2019-01-18 3D Vertical NOR Flash Thin Film Transistor String Active JP7141462B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2022143443A JP2022172352A (en) 2018-02-02 2022-09-09 Three-dimensional vertical nor flash thin film transistor string

Applications Claiming Priority (7)

Application Number Priority Date Filing Date Title
US201862625818P 2018-02-02 2018-02-02
US62/625,818 2018-02-02
US201862630214P 2018-02-13 2018-02-13
US62/630,214 2018-02-13
US16/107,732 US10249370B2 (en) 2015-09-30 2018-08-21 Three-dimensional vertical NOR flash thing-film transistor strings
US16/107,732 2018-08-21
PCT/US2019/014319 WO2019152226A1 (en) 2018-02-02 2019-01-18 Three-dimensional vertical nor flash thin-film transistor strings

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2022143443A Division JP2022172352A (en) 2018-02-02 2022-09-09 Three-dimensional vertical nor flash thin film transistor string

Publications (3)

Publication Number Publication Date
JP2021512494A true JP2021512494A (en) 2021-05-13
JP2021512494A5 JP2021512494A5 (en) 2021-11-25
JP7141462B2 JP7141462B2 (en) 2022-09-22

Family

ID=67478415

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2020541723A Active JP7141462B2 (en) 2018-02-02 2019-01-18 3D Vertical NOR Flash Thin Film Transistor String
JP2022143443A Pending JP2022172352A (en) 2018-02-02 2022-09-09 Three-dimensional vertical nor flash thin film transistor string

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2022143443A Pending JP2022172352A (en) 2018-02-02 2022-09-09 Three-dimensional vertical nor flash thin film transistor string

Country Status (4)

Country Link
JP (2) JP7141462B2 (en)
KR (2) KR102448489B1 (en)
CN (1) CN111937147A (en)
WO (1) WO2019152226A1 (en)

Families Citing this family (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11251199B2 (en) 2019-12-09 2022-02-15 Sandisk Technologies Llc Three-dimensional NOR array including active region pillars and method of making the same
KR102602494B1 (en) * 2020-05-28 2023-11-14 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 Three-dimensional memory device and method
US11716855B2 (en) 2020-05-28 2023-08-01 Taiwan Semiconductor Manufacturing Co., Ltd. Three-dimensional memory device and method
DE102020132373A1 (en) * 2020-05-28 2021-12-02 Taiwan Semiconductor Manufacturing Co. Ltd. FERROELECTRIC STORAGE DEVICE AND ITS TRAINING METHOD
US11695073B2 (en) 2020-05-29 2023-07-04 Taiwan Semiconductor Manufacturing Co., Ltd. Memory array gate structures
US11710790B2 (en) 2020-05-29 2023-07-25 Taiwan Semiconductor Manufacturing Company, Ltd. Memory array channel regions
US11653500B2 (en) * 2020-06-25 2023-05-16 Taiwan Semiconductor Manufacturing Co., Ltd. Memory array contact structures
US11600520B2 (en) * 2020-06-26 2023-03-07 Taiwan Semiconductor Manufacturing Co., Ltd. Air gaps in memory array structures
US11581337B2 (en) * 2020-06-29 2023-02-14 Taiwan Semiconductor Manufacturing Company, Ltd. Three-dimensional memory device and manufacturing method thereof
US11444069B2 (en) * 2020-06-29 2022-09-13 Taiwan Semiconductor Manufacturing Co., Ltd. 3D semiconductor package including memory array
US11729987B2 (en) * 2020-06-30 2023-08-15 Taiwan Semiconductor Manufacturing Company, Ltd. Memory array source/drain electrode structures
US11640974B2 (en) * 2020-06-30 2023-05-02 Taiwan Semiconductor Manufacturing Co., Ltd. Memory array isolation structures
US11963363B2 (en) * 2020-07-14 2024-04-16 Taiwan Semiconductor Manufacturing Company, Ltd. Memory device and method for fabricating the same
US11903214B2 (en) 2020-07-16 2024-02-13 Taiwan Semiconductor Manufacturing Co., Ltd. Three-dimensional ferroelectric random access memory devices and methods of forming
US11527553B2 (en) 2020-07-30 2022-12-13 Taiwan Semiconductor Manufacturing Co., Ltd. Three-dimensional memory device and method
US11968833B2 (en) 2021-01-15 2024-04-23 Macronix International Co., Ltd. Memory device with vertically separated channels
US11587931B2 (en) 2021-03-03 2023-02-21 Micron Technology, Inc. Multiplexor for a semiconductor device
US11716856B2 (en) 2021-03-05 2023-08-01 Taiwan Semiconductor Manufacturing Co., Ltd. Three-dimensional memory device and method
CN112909012B (en) * 2021-03-08 2023-09-22 中国科学院微电子研究所 NOR type memory device, method of manufacturing the same, and electronic apparatus including the same
CN117352490A (en) * 2022-06-21 2024-01-05 长鑫存储技术有限公司 Semiconductor structure, manufacturing method thereof, memory chip and electronic equipment
CN114927527B (en) * 2022-07-20 2022-11-04 合肥晶合集成电路股份有限公司 Flash memory device, memory cell and manufacturing method thereof

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090242970A1 (en) * 2008-03-27 2009-10-01 Kabushiki Kaisha Toshiba Semiconductor device, capacitor, and field effect transistor
US20170148517A1 (en) * 2015-11-25 2017-05-25 Eli Harari Three-dimensional vertical nor flash thin film transistor strings
WO2017091338A1 (en) * 2015-11-25 2017-06-01 Eli Harari Three-dimensional vertical nor flash thin film transistor strings

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6873004B1 (en) * 2002-02-04 2005-03-29 Nexflash Technologies, Inc. Virtual ground single transistor memory cell, memory array incorporating same, and method of operation thereof
KR20080051014A (en) * 2006-12-04 2008-06-10 삼성전자주식회사 And type and nor type flash memory array having vertical structure and manufacturing method and operating method of the same respectively
US7898857B2 (en) * 2008-03-20 2011-03-01 Micron Technology, Inc. Memory structure having volatile and non-volatile memory portions
US8148763B2 (en) * 2008-11-25 2012-04-03 Samsung Electronics Co., Ltd. Three-dimensional semiconductor devices
US8630114B2 (en) * 2011-01-19 2014-01-14 Macronix International Co., Ltd. Memory architecture of 3D NOR array
KR20130095499A (en) * 2012-02-20 2013-08-28 에스케이하이닉스 주식회사 Nonvolatile memory device, method for operating the same and method for fabricating the same
US9190293B2 (en) * 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US10014317B2 (en) * 2014-09-23 2018-07-03 Haibing Peng Three-dimensional non-volatile NOR-type flash memory
US9595566B2 (en) * 2015-02-25 2017-03-14 Sandisk Technologies Llc Floating staircase word lines and process in a 3D non-volatile memory having vertical bit lines
US9449701B1 (en) * 2015-06-30 2016-09-20 Sandisk Technologies Llc Non-volatile storage systems and methods
US9412752B1 (en) * 2015-09-22 2016-08-09 Macronix International Co., Ltd. Reference line and bit line structure for 3D memory
US10121553B2 (en) * 2015-09-30 2018-11-06 Sunrise Memory Corporation Capacitive-coupled non-volatile thin-film transistor NOR strings in three-dimensional arrays

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090242970A1 (en) * 2008-03-27 2009-10-01 Kabushiki Kaisha Toshiba Semiconductor device, capacitor, and field effect transistor
JP2009239080A (en) * 2008-03-27 2009-10-15 Toshiba Corp Semiconductor device, capacitor, and field effect transistor
US20170148517A1 (en) * 2015-11-25 2017-05-25 Eli Harari Three-dimensional vertical nor flash thin film transistor strings
WO2017091338A1 (en) * 2015-11-25 2017-06-01 Eli Harari Three-dimensional vertical nor flash thin film transistor strings
JP2019504479A (en) * 2015-11-25 2019-02-14 サンライズ メモリー コーポレイション 3D vertical NOR flash thin film transistor string

Also Published As

Publication number Publication date
KR102626137B1 (en) 2024-01-18
KR20220133333A (en) 2022-10-04
CN111937147A (en) 2020-11-13
JP7141462B2 (en) 2022-09-22
KR102448489B1 (en) 2022-09-30
KR20200112976A (en) 2020-10-05
WO2019152226A1 (en) 2019-08-08
JP2022172352A (en) 2022-11-15

Similar Documents

Publication Publication Date Title
US11758727B2 (en) Three-dimensional vertical nor flash thin-film transistor strings
JP7117406B2 (en) 3D Vertical NOR Flash Thin Film Transistor String
JP7141462B2 (en) 3D Vertical NOR Flash Thin Film Transistor String
US10381378B1 (en) Three-dimensional vertical NOR flash thin-film transistor strings
US11749344B2 (en) Three-dimensional vertical nor flash thin-film transistor strings
US20230368843A1 (en) Three-dimensional vertical nor flash thin film transistor strings

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20201002

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20211012

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20211012

A871 Explanation of circumstances concerning accelerated examination

Free format text: JAPANESE INTERMEDIATE CODE: A871

Effective date: 20211012

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20211214

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20220310

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20220510

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20220808

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20220823

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20220909

R150 Certificate of patent or registration of utility model

Ref document number: 7141462

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150