KR102618869B1 - 배면 보우 보상 증착의 스테이션-대-스테이션 (station-to-station) 제어 - Google Patents

배면 보우 보상 증착의 스테이션-대-스테이션 (station-to-station) 제어 Download PDF

Info

Publication number
KR102618869B1
KR102618869B1 KR1020227022697A KR20227022697A KR102618869B1 KR 102618869 B1 KR102618869 B1 KR 102618869B1 KR 1020227022697 A KR1020227022697 A KR 1020227022697A KR 20227022697 A KR20227022697 A KR 20227022697A KR 102618869 B1 KR102618869 B1 KR 102618869B1
Authority
KR
South Korea
Prior art keywords
substrate
bow
backside
depositing
compensation layer
Prior art date
Application number
KR1020227022697A
Other languages
English (en)
Other versions
KR20220098816A (ko
Inventor
얀후이 후앙
비네시 찬드라세카
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Priority to KR1020237044523A priority Critical patent/KR102656744B1/ko
Publication of KR20220098816A publication Critical patent/KR20220098816A/ko
Application granted granted Critical
Publication of KR102618869B1 publication Critical patent/KR102618869B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32899Multiple chambers, e.g. cluster tools
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/245Detection characterised by the variable being measured
    • H01J2237/24571Measurements of non-electric or non-magnetic variables
    • H01J2237/24585Other variables, e.g. energy, mass, velocity, time, temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • H01J2237/3321CVD [Chemical Vapor Deposition]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

보잉된 반도체 기판들의 휨을 감소시키는 방법은 반도체 프로세싱 챔버의 제 1 스테이션으로 제 1 기판을 제공하는 단계, 반도체 프로세싱 챔버의 제 2 스테이션으로 제 2 기판을 제공하는 단계, 제 1 스테이션에서 제 1 기판의 배면 상에 재료의 제 1 보우 보상 층을 그리고 제 2 스테이션에서 제 2 기판의 배면 상에 재료의 제 1 보우 보상 층을 동시 증착하는 단계, 및 제 1 기판이 제 1 스테이션에 있고 제 2 기판이 제 2 스테이션에 있는 동안 그리고 제 2 기판의 배면 상에 재료를 동시 증착하지 않는 동안, 제 1 기판의 배면 상에 재료의 제 2 보우 보상 층을 증착하는 단계를 포함한다.

Description

배면 보우 보상 증착의 스테이션-대-스테이션 (station-to-station) 제어
반도체 제작 프로세스들은 많은 증착 및 에칭 동작들을 수반하고, 이는 웨이퍼 보우 (wafer bow) 를 급격하게 변화시킬 수 있다. 예를 들어, 다양한 애플리케이션들에서 보다 낮은 비용 및 보다 높은 신뢰성으로 인해 2D-NAND 칩들을 점진적으로 대체하는 3D-NAND 제조에서, 두꺼운, 고 응력 탄소-기반 하드 마스크들을 갖는 멀티-스택된 막들은 상당한 웨이퍼 휨 (wafer warpage) 을 유발할 수 있고, 전면 (front side) 리소그래피 오버레이 미스매칭 (mismatch), 또는 심지어 정전 척 (electrostatic chuck) 의 척킹 한계 (chucking limit) 을 넘어서는 웨이퍼 보우를 야기한다.
본 명세서에 제공된 배경기술 기술 (description) 은 일반적으로 본 개시의 맥락을 제시하기 위한 목적이다. 출원 당시 종래 기술로서 달리 인정되지 않을 수도 있는 기술의 양태들 뿐만 아니라, 본 배경 기술 섹션에서 기술된 범위까지, 현재 명명된 발명자들의 업적은 본 개시에 대하여 선행 기술로서 명시적으로도 또는 묵시적으로도 인정되지 않는다.
PCT 출원 양식은 본 출원의 일부로서 본 명세서와 동시에 출원된다. 본 출원이 동시에 제출된 PCT 출원 양식에서 식별된 바와 같이 이익 또는 우선권을 주장하는 출원 각각은 모든 목적들을 위해 전체가 참조로서 본 명세서에 인용된다.
일 실시 예에서, 방법이 제공될 수도 있다. 방법은 반도체 프로세싱 챔버의 제 1 스테이션으로 제 1 기판을 제공하는 단계, 반도체 프로세싱 챔버의 제 2 스테이션으로 제 2 기판을 제공하는 단계, 제 1 스테이션에서 제 1 기판의 배면 상에 재료의 제 1 보우 보상 층을 그리고 제 2 스테이션에서 제 2 기판의 배면 상에 재료의 제 1 보우 보상 층을 동시 증착하는 단계, 및 제 1 기판이 제 1 스테이션에 있고 제 2 기판이 제 2 스테이션에 있는 동안 그리고 제 2 기판의 배면 상에 재료를 동시 증착하지 않는 동안, 제 1 기판의 배면 상에 재료의 제 2 보우 보상 층을 증착하는 단계를 포함할 수도 있다.
일부 실시 예들에서, 제 1 기판의 배면 상에 그리고 제 2 기판의 배면 상에 재료의 제 1 보우 보상 층을 동시 증착하는 단계는 제 1 스테이션에서 제 1 기판의 배면 상으로 그리고 제 2 스테이션에서 제 2 기판의 배면 상으로 전구체를 동시에 흘리는 단계를 더 포함할 수도 있고, 그리고 제 2 기판의 배면 상에 재료의 제 2 보우 보상 층을 증착하는 단계는 제 2 기판의 배면 상으로 전구체를 동시에 흘리지 않는 동안 제 1 스테이션에서 제 1 기판의 배면 상으로 전구체를 흘리는 단계를 더 포함할 수도 있다.
일부 실시 예들에서, 제 1 기판의 배면 상에 재료의 제 1 보우 보상 층 및 재료의 제 2 보우 보상 층을 증착하는 단계는 제 1 기판의 제 1 보우를 제 1 양만큼 감소시킬 수도 있고, 그리고 제 2 기판의 배면 상에 재료의 제 1 보우 보상 층을 증착하는 단계는 제 2 기판의 제 2 보우를 제 1 양보다 적은 제 2 양만큼 감소시킬 수도 있다.
일부 실시 예들에서, 제 1 기판은 제 1 스테이션으로 제공될 때 제 1 보우로 보잉될 (bow) 수도 있고, 제 2 기판은 제 2 스테이션으로 제공될 때 제 1 보우보다 작은 제 2 보우로 보잉될 수도 있다.
일부 이러한 실시 예들에서, 제 1 보우는 -30 ㎛ 내지 +30 ㎛일 수도 있고, 제 2 보우는 -30 ㎛ 내지 +30 ㎛일 수도 있고 제 1 보우보다 작을 수도 있으며, 제 1 기판의 배면 상에 재료의 제 1 보우 보상 층 및 재료의 제 2 보우 보상 층을 증착한 후, 제 1 기판은 -10 ㎛ 내지 +10 ㎛인 제 3 보우를 가질 수도 있고, 그리고 제 2 기판의 배면 상으로 재료의 제 1 보우 보상 층을 증착한 후, 제 2 기판은 -10 ㎛ 내지 +10 ㎛인 제 4 보우를 가질 수도 있다.
일부 이러한 실시 예들에서, 제 1 보우는 -300 ㎛ 내지 +300 ㎛일 수도 있고, 제 2 보우는 -300 ㎛ 내지 +300 ㎛일 수도 있고 제 1 보우보다 작을 수도 있으며, 제 1 기판의 배면 상에 재료의 제 1 보우 보상 층 및 재료의 제 2 보우 보상 층을 증착한 후, 제 1 기판은 -200 ㎛ 내지 +200 ㎛인 제 3 보우를 가질 수도 있고, 그리고 제 2 기판의 배면 상으로 재료의 제 1 보우 보상 층을 증착한 후, 제 2 기판은 -200 ㎛ 내지 +200 ㎛인 제 4 보우를 가질 수도 있다.
일부 실시 예들에서, 제 1 기판의 배면 및 제 2 기판의 배면 상에 제 1 보우 보상 층을 동시 증착하는 단계는 제 2 기판의 배면 상에 재료를 동시 증착하지 않는 동안 제 1 기판의 배면 상에 제 2 보우 보상 층을 증착하기 전에 수행될 수도 있다.
일부 이러한 실시 예들에서, 방법은 제 1 기판의 배면 및 제 2 기판의 배면 상에 제 1 보우 보상 층을 동시 증착한 후, 제 2 기판 상의 제 1 보우 보상 층의 증착을 중단하는 단계를 더 포함할 수도 있다. 재료는 동시 증착하는 단계와 제 1 기판의 배면 상에 제 2 층의 재료를 증착하는 단계 사이에 그리고 동시 증착하는 단계 및 제 1 기판의 배면 상에 제 2 층의 재료를 증착하는 단계 동안, 제 1 기판의 배면 상에 계속적으로 증착될 수도 있다.
일부 이러한 실시 예들에서, 제 1 기판의 배면 상에 그리고 제 2 기판의 배면 상에 재료의 제 1 보우 보상 층을 동시 증착하는 단계는 제 1 스테이션에서 제 1 기판의 배면 상으로 그리고 제 2 스테이션에서 제 2 기판의 배면 상으로 전구체를 동시에 흘리는 단계를 더 포함할 수도 있고, 제 2 기판의 배면 상에 재료의 제 2 보우 보상 층을 증착하는 단계는 제 2 기판의 배면 상으로 전구체를 동시에 흘리지 않는 동안 제 1 스테이션에서 제 1 기판의 배면 상으로 전구체를 흘리는 단계를 더 포함할 수도 있고, 중단하는 단계는 제 2 기판의 배면 상으로 전구체 플로우를 중단하는 단계를 더 포함할 수도 있고, 그리고 전구체는 동시 증착하는 단계와 제 1 기판의 배면 상에 재료의 제 2 층을 증착하는 단계 사이에 그리고 동시 증착하는 단계 및 제 1 기판의 배면 상에 재료의 제 2 층을 증착하는 단계 동안, 제 1 기판의 배면 상으로 계속적으로 흘릴 수도 있다.
일부 실시 예들에서, 제 2 기판의 배면 상에 재료를 동시 증착하지 않는 동안 제 1 기판의 배면 상에 제 2 보우 보상 층을 증착하는 단계는, 제 1 기판의 배면 및 제 2 기판의 배면 상에 제 1 보우 보상 층을 동시 증착하는 단계 전에 수행될 수도 있다.
일부 이러한 실시 예들에서, 방법은 제 2 기판의 배면 상에 재료를 동시 증착하지 않는 동안 제 2 기판의 배면 상에 재료의 제 1 보우 보상 층을 증착한 후, 제 1 기판의 배면 상에 그리고 제 2 기판의 배면 상에 제 1 보우 보상 층을 동시 증착하는 것을 시작하는 (start) 단계를 더 포함할 수도 있고, 그리고 재료는 동시 증착하는 단계와 제 1 기판의 배면 상에 재료의 제 2 층을 증착하는 단계 사이에 그리고 동시 증착하는 단계 및 제 1 기판의 배면 상에 재료의 제 2 층을 증착하는 단계 동안, 제 1 기판의 배면 상에 계속적으로 증착될 수도 있다.
일부 이러한 실시 예들에서, 제 1 기판의 배면 상에 그리고 제 2 기판의 배면 상에 재료의 제 1 보우 보상 층을 동시 증착하는 단계는 제 1 스테이션에서 제 1 기판의 배면 상으로 그리고 제 2 스테이션에서 제 2 기판의 배면 상으로 전구체를 동시에 흘리는 단계를 더 포함할 수도 있고, 제 2 기판의 배면 상에 재료의 제 2 보우 보상 층을 증착하는 단계는 제 2 기판의 배면 상으로 전구체를 동시에 흘리지 않는 동안 제 1 스테이션에서 제 1 기판의 배면 상으로 전구체를 흘리는 단계를 더 포함할 수도 있고, 시작하는 단계는 제 2 기판의 배면 상으로 전구체 흘리는 것을 시작하는 단계를 더 포함할 수도 있고, 그리고 전구체는 동시 증착하는 단계와 제 1 기판의 배면 상에 재료의 제 2 층을 증착하는 단계 사이에 그리고 동시 증착하는 단계 및 제 1 기판의 배면 상에 재료의 제 2 층을 증착하는 단계 동안, 제 1 기판의 배면 상으로 계속적으로 흘릴 수도 있다.
일부 실시 예들에서, 재료의 제 1 보우 보상 층 및 재료의 제 2 보우 보상 층은 실리콘 나이트라이드를 포함할 수도 있다.
일부 이러한 실시 예들에서, 제 1 기판은 제 1 스테이션으로 제공될 때 제 1 오목한 보우로 보잉될 수도 있고, 제 2 기판은 제 2 스테이션으로 제공될 때 제 2 오목한 보우로 보잉될 수도 있다.
일부 이러한 실시 예들에서, 제 1 기판의 배면 및 제 2 기판의 배면 상에 재료의 제 1 보우 보상 층을 증착하는 단계는 기판들의 배면을 암모니아 및 실리콘-함유 전구체와 콘택트시키는 단계를 더 포함할 수도 있고, 그리고 제 1 기판의 배면 상에 재료의 제 2 보우 보상 층을 증착하는 단계는 기판들의 배면을 암모니아 및 실리콘-함유 전구체와 콘택트시키는 단계를 더 포함할 수도 있다.
일부 실시 예들에서, 재료의 제 1 보우 보상 층 및 재료의 제 2 보우 보상 층은 실리콘 옥사이드를 포함할 수도 있다.
일부 이러한 실시 예들에서, 제 1 기판은 제 1 스테이션으로 제공될 때 제 1 볼록한 보우로 보잉될 수도 있고, 제 2 기판은 제 2 스테이션으로 제공될 때 제 2 볼록한 보우로 보잉될 수도 있다.
일부 이러한 실시 예들에서, 제 1 기판의 배면 및 제 2 기판의 배면 상에 재료의 제 1 보우 보상 층을 증착하는 단계는 기판들의 배면을 아산화질소 및 실리콘-함유 전구체와 콘택트시키는 단계를 더 포함할 수도 있고, 그리고 제 1 기판의 배면 상에 재료의 제 2 보우 보상 층을 증착하는 단계는 기판들의 배면을 아산화질소 및 실리콘-함유 전구체와 콘택트시키는 단계를 더 포함할 수도 있다.
일부 실시 예들에서, 제 1 스테이션에 제공될 때, 제 1 기판은 보잉될 수도 있고 내부 압축 응력 (compressive stress) 을 갖는 제 1 기판의 전면 상에 증착된 층들을 갖고, 제 2 스테이션에 제공될 때, 제 2 기판은 보잉될 수도 있고 내부 압축 응력을 갖는 제 2 기판의 전면 상에 증착된 층들을 갖고, 그리고 제 1 기판의 배면 상에 그리고 제 2 기판의 배면 상에 증착된 재료의 제 1 보우 보상 층은 내부 인장 응력 (tensile stress) 을 가질 수도 있다.
일부 실시 예들에서, 제 1 스테이션에 제공될 때, 제 1 기판은 보잉될 수도 있고 내부 인장 응력을 갖는 제 1 기판의 전면 상에 증착된 층들을 갖고, 제 2 스테이션에 제공될 때, 제 2 기판은 보잉될 수도 있고 내부 인장 응력을 갖는 제 2 기판의 전면 상에 증착된 층들을 갖고, 그리고 제 1 기판의 배면 상에 그리고 제 2 기판의 배면 상에 증착된 재료의 제 1 보우 보상 층은 내부 압축 응력을 가질 수도 있다.
일부 실시 예들에서, 보우 보상 층들의 재료를 제 1 기판 및 제 2 기판 상으로 증착하는 단계는 플라즈마 강화 화학 기상 증착에 의해 수행될 수도 있다.
일부 이러한 실시 예들에서, 재료의 보우 보상 층들을 제 1 기판 및 제 2 기판 상으로 증착하는 단계는 제 1 스테이션에서 플라즈마를 점화하는 단계를 포함할 수도 있고, 제 1 스테이션에서 플라즈마는 동시 증착하는 단계와 제 1 기판의 배면 상에 재료의 제 2 층을 증착하는 단계 사이, 및 동시 증착하는 단계 및 제 1 기판의 배면 상에 재료의 제 2 층을 증착하는 단계 동안, 소화되지 (extinguish) 않을 수도 있다.
일부 실시 예들에서, 방법은 제 1 스테이션으로 제 1 기판을 제공하기 전에, 제 1 기판의 측정된 제 1 보우를 결정하는 단계, 제 2 스테이션으로 제 2 기판을 제공하기 전에, 제 2 기판의 측정된 제 2 보우를 결정하는 단계, 측정된 제 1 보우에 기초하여, 제 1 기판 상으로 보우 보상 재료를 증착하기 위한 제 1 증착 시간을 결정하는 단계, 및 측정된 제 2 보우에 기초하여, 제 2 기판 상으로 보우 보상 재료를 증착하기 위한 제 2 증착 시간을 결정하는 단계를 더 포함할 수도 있다. 제 1 증착 시간은 제 2 증착 시간보다 클 수도 있고, 제 1 기판의 배면 및 제 2 기판의 배면 상에 재료의 제 1 보우 보상 층을 동시 증착하는 단계는 제 2 증착 시간 동안 수행될 수도 있고, 제 1 기판의 배면 상에 재료의 제 2 보우 보상 층을 증착하는 단계는 제 3 증착 시간 동안 수행될 수도 있고, 그리고 제 1 증착 시간은 제 2 증착 시간과 제 3 증착 시간의 합과 실질적으로 같을 수도 있다.
일부 이러한 실시 예들에서, 측정된 제 1 보우 및 측정된 제 2 보우를 결정하는 것은 하나 이상의 레이저들을 사용하여 결정될 수도 있다.
일부 이러한 실시 예들에서, 제 1 증착 시간을 결정하는 단계는 (i) 기판 상의 보우를 감소시키는데 요구되는 배면 증착 시간과 (ii) 보우 감소량을 나타내는 변수 사이의 관계에 측정된 제 1 보우, 또는 이 측정된 제 1 보우로부터 도출된 파라미터를 적용하는 단계를 더 포함할 수도 있고, 그리고 적용하는 단계는 제 1 증착 시간을 리턴한다.
일부 추가의 이러한 실시 예들에서, 관계는 실질적으로 선형일 수도 있다.
일부 실시 예들에서, 재료의 제 1 보우 보상 층을 증착하는 단계 및 제 1 기판의 배면 상에 재료의 제 2 보우 보상 층을 증착하는 단계는 60 초 내지 2,000 초의 시간 범위 동안 수행될 수도 있고, 제 2 기판의 배면 상에 재료의 제 1 보우 보상 층을 증착하는 단계는 60 초 내지 2,000 초의 시간 범위 동안 수행될 수도 있다.
일부 실시 예들에서, 제 1 기판의 배면 상에 재료의 제 1 보우 보상 층 및 재료의 제 2 보우 보상 층을 증착하는 단계는 제 1 기판의 배면 상에 제 1 총 두께의 재료를 발생시킬 수도 있고, 제 2 기판의 배면 상에 재료의 제 1 보우 보상 층을 증착하는 단계는 제 1 총 두께보다 보다 작은 제 2 기판의 배면 상에 제 2 총 두께의 재료를 발생시킬 수도 있다.
일 실시 예에서, 방법이 제공될 수도 있다. 방법은 반도체 프로세싱 챔버의 제 1 스테이션에 제 1 기판을 제공하는 단계, 반도체 프로세싱 챔버의 제 2 스테이션에 제 2 기판을 제공하는 단계, 프로세스 조건들의 제 1 세트 하에서 제 1 스테이션에서 제 1 기판의 배면 상에 제 1 값을 갖는 속성 (property) 을 갖는 재료의 제 1 보우 보상 층, 및 프로세스 조건들의 제 1 세트와는 상이한 프로세스 조건들의 제 2 세트 하에서 제 2 스테이션에서 제 2 기판의 배면 상에 제 2 값을 갖는 속성을 갖는 재료의 제 2 보우 보상 층을 동시 증착하는 단계를 포함한다. 재료의 제 1 보우 보상 층의 속성의 제 1 값은 재료의 제 2 보우 보상 층의 속성의 제 2 값과 상이하다.
일부 실시 예들에서, 속성은 두께일 수도 있고, 제 1 값은 제 2 값보다 클 수도 있다.
일부 실시 예들에서, 속성은 압축 응력일 수도 있다.
일부 실시 예들에서, 속성은 인장 응력일 수도 있다.
일부 실시 예들에서, 프로세스 조건들의 제 1 세트는 제 1 지속 기간을 가질 수도 있고 프로세스 조건들의 제 2 세트는 제 1 지속 기간보다 짧은 제 2 지속 기간을 가질 수도 있다.
일부 실시 예들에서, 프로세스 조건들의 제 1 세트는 제 1 온도를 가질 수도 있고 프로세스 조건들의 제 2 세트는 제 1 온도와 상이한 제 2 온도를 가질 수도 있다.
일부 실시 예들에서, 프로세스 조건들의 제 1 세트는 제 1 전구체 플로우 레이트를 가질 수도 있고 프로세스 조건들의 제 2 세트는 제 1 전구체 플로우 레이트와 상이한 제 2 전구체 플로우 레이트를 가질 수도 있다.
일부 실시 예들에서, 프로세스 조건들의 제 1 세트는 제 1 플라즈마 전력을 가질 수도 있고 프로세스 조건들의 제 2 세트는 제 1 플라즈마 전력과 상이한 제 2 플라즈마 전력을 가질 수도 있다.
일 실시 예에서, 방법이 제공될 수도 있다. 방법은 반도체 프로세싱 챔버의 제 1 스테이션으로 제 1 기판을 제공하는 단계, 반도체 프로세싱 챔버의 제 2 스테이션으로 제 2 기판을 제공하는 단계, 프로세스 조건들의 제 1 세트 하에서 제 1 스테이션에서 제 1 기판의 배면 상에 재료의 제 1 보우 보상 층을 그리고 프로세스 조건들의 제 1 세트 하에서 제 2 스테이션에서 제 2 기판의 배면 상에 재료의 제 1 보우 보상 층을 동시 증착하는 단계, 제 2 스테이션에서 프로세스 조건들을 프로세스 조건들의 제 1 세트와는 상이한 프로세스 조건들의 제 2 세트로 조정하는 단계, 및 프로세스 조건들의 제 1 세트 하에서 제 1 스테이션에서 제 1 기판의 배면 상에 재료의 제 2 보우 보상 층 및 프로세스 조건들의 제 2 세트 하에서 제 2 스테이션에서 제 2 기판의 배면 상에 재료의 제 3 보우 보상 층을 동시 증착하는 단계를 포함할 수도 있다. 재료의 제 2 보우 보상 층은 재료의 제 3 보우 보상 층과 상이하다.
일부 실시 예들에서, 조정하는 단계는 제 2 스테이션에서 플라즈마 전력을 변화시키는 단계를 더 포함할 수도 있다.
일부 실시 예들에서, 조정하는 단계는 제 2 스테이션에서 온도를 변화시키는 단계를 더 포함할 수도 있다.
일부 실시 예들에서, 조정하는 단계는 제 2 스테이션에서 전구체 플로우 레이트를 변화시키는 단계를 더 포함할 수도 있다.
일 실시 예에서, 기판들 상으로 배면 증착을 수행하기 위한 시스템이 제공될 수도 있다. 시스템은 전구체 가스 소스를 포함하는 가스 딜리버리 시스템, 적어도 2 개의 스테이션들을 포함하는 프로세싱 챔버로서, 스테이션 각각은 가스 딜리버리 시스템에 유체적으로 연결되고 해당 스테이션에서 기판의 배면 상으로 전구체를 흘리도록 구성되는, 프로세싱 챔버, 및 시스템을 제어하고 제어 로직을 포함하는 제어기를 포함할 수도 있으며, 제어 로직은 가스 딜리버리 시스템으로 하여금 제 1 스테이션에서 제 1 기판의 배면 상으로 그리고 제 2 스테이션에서 제 2 기판의 배면 상으로 동시에 전구체를 흘리게 하고, 그리고 이에 의해 제 1 기판의 배면 상에 재료의 제 1 보우 보상 층 및 제 2 기판의 배면 상에 재료의 제 1 보우 보상 층을 동시 증착하게 하고, 그리고 가스 딜리버리 시스템으로 하여금 제 2 스테이션에서 제 2 기판의 배면 상으로 전구체를 동시에 흘리지 않게 하고 제 2 기판의 배면 상에 재료를 증착하지 않게 하는 동안, 가스 딜리버리 시스템으로 하여금 제 1 스테이션에서 제 1 기판의 배면 상으로 전구체를 흘리게 하고, 그리고 이에 의해 제 1 기판의 배면 상에 재료의 제 2 보우 보상 층을 증착하게 한다.
도 1은 특정한 개시된 실시 예들에 따른 방법에서 수행된 동작들을 보여 주는 프로세스 흐름도이다.
도 2는 특정한 개시된 실시 예들에 따른 방법에서 수행된 동작들을 보여 주는 프로세스 흐름도를 도시한다.
도 3a 내지 도 3c는 개시된 실시 예들에 따른 동작들의 기본적인 시퀀스들을 도시한다.
도 4는 배면 증착이 8 개의 기판들 상에서 수행되는 실험 데이터를 도시한다.
도 5는 보우 양 (bow amount) 을 측정하고 해당 기판에 대한 배면 보우 보상 층 증착 시간 (backside bow compensation layer deposition time) 을 결정하기 위한 예시적인 기법에 대한 플로우차트를 도시한다.
도 6은 PECVD (plasma-enhanced chemical vapor deposition) 프로세스 스테이션의 실시 예의 개략적인 예시를 도시한다.
도 7은 멀티-스테이션 프로세싱 툴의 실시 예를 도시한다.
이하의 기술에서, 제시된 실시 예들의 완전한 이해를 제공하도록 다수의 특정한 상세들이 제시된다. 개시된 실시 예들은 이들 특정한 상세들 중 일부 또는 전부 없이 실시될 수도 있다. 다른 예들에서, 공지된 프로세스 동작들은 개시된 실시 예들을 불필요하게 모호하게 하지 않도록 상세히 기술되지 않았다. 개시된 실시 예들이 특정한 실시 예들과 함께 기술될 것이지만, 개시된 실시 예들을 제한하도록 의도되지는 않는다는 것을 이해할 것이다.
본 출원에서, 용어들 "웨이퍼" 및 "기판"은 상호 교환 가능하게 사용된다. 당업자는 많은 실시 예들에서 본 명세서에 기술된 방법들 및 장치가 실리콘 웨이퍼 상의 임의의 많은 스테이지들의 집적 회로 제조 동안 실리콘 웨이퍼의 프로세싱 동안 또는 프로세싱 이전에 사용될 수 있다는 것을 이해할 것이다. 반도체 디바이스 산업에서 사용되는 웨이퍼 또는 기판은 통상적으로 200 ㎜, 300 ㎜, 또는 450 ㎜의 직경을 갖는다. 개시된 실시 예들로부터 이익을 얻을 수도 있는 다른 타입들의 반응기들은 인쇄 회로 기판들, 디스플레이들, 등과 같은 다양한 물품 (article) 들을 제조하도록 사용된 것들을 포함한다. 반도체 웨이퍼들에 더하여, 본 명세서에 기술된 방법들 및 장치는 유리 및 플라스틱 패널들을 포함하는 다른 타입들의 기판들을 위해 구성된 증착 챔버들과 함께 사용될 수도 있다. 이에 따라, 용어 "웨이퍼"가 이하의 기술 (description) 에서 사용되는 경우, 기술은 또한 패널 또는 다른 기판에 적용된다는 것이 이해될 것이다.
소개 및 맥락
반도체 제조 프로세스들은 많은 구조체들이 2 차원일 수도 있는 다양한 구조체들의 형성을 수반한다. 반도체 디바이스 치수들이 축소되고 디바이스들이 보다 작게 스케일링됨에 따라, 반도체 기판에 걸친 피처들의 밀도가 증가하여, 층의 재료들이 3 차원들을 포함하여 다양한 방식들로 에칭되고 증착된다. 예를 들어, 3D-NAND는 2D-NAND와 같은 다른 기법들과 비교하여 보다 낮은 비용 및 증가된 메모리 밀도, 및 다양한 애플리케이션들에서 보다 높은 신뢰성으로 인해 점점 대중화되고 있는 하나의 기술이다. 3D-NAND 구조체의 제조 동안, 웨이퍼 보우는 급격하게 변화할 수 있다. 예를 들어, 3D-NAND 구조체를 제조할 때 두꺼운 하드 마스크 재료들의 증착 및 웨이퍼 표면을 따라 트렌치들의 에칭은 웨이퍼 보잉 (wafer bowing) 을 유발할 수 있다.
막들의 층들이 제조 동안 서로의 상단에 스택됨에 따라, 보다 큰 응력이 반도체 웨이퍼에 도입되어 보잉을 유발할 수 있다. 보잉은 다양한 형상을 가질 수 있다. 때때로 "스마일링 웨이퍼 (smiling wafer)" 또는 보우-형상 웨이퍼로 지칭되는 오목-형상 웨이퍼에서, 최저 지점은 웨이퍼의 중심이고 가장 높은 지점은 웨이퍼의 에지이다. 때때로 "새드 웨이퍼 (sad wafer)" 또는 돔-형상 웨이퍼로 지칭되는 볼록-형상 웨이퍼에서, 최저 지점은 웨이퍼의 에지이고 가장 높은 지점은 웨이퍼의 중심이다. 이들 오목한 형상의 웨이퍼 및 볼록한 형상의 웨이퍼는 대칭적이거나 실질적으로 대칭적인 (예를 들어, 대칭의 ±15 % 이내) 보잉을 가질 수도 있다.
보잉은 광학 기법을 사용하여 측정될 수 있다. 웨이퍼 보잉은 웨이퍼 맵을 획득함으로써 측정되거나 평가될 수 있다. 보잉은 반도체 웨이퍼의 최저 지점과 웨이퍼 상의 가장 높은 지점 사이의 수직 거리로서 측정되는, 본 명세서에 기술된 바와 같이 보우 값 또는 휨 (warpage) 값을 사용하여 정량화될 수 있다. 휨 값은 수직 z-축과 같은 축을 따를 수 있다. 예를 들어, 고 보잉은 두꺼운, 고 응력 탄소 하드 마스크 층의 증착에 의해 유발될 수 있고 부가적인 보잉은 에칭 및 부가적인 증착 프로세스들과 같은 이 마스크 층이 증착되는 후속 프로세싱에 의해 유발될 수 있다. 일부 예들에서, 이 프로세싱은 -1000 ㎛를 포함하여, ±1000 ㎛ 내지 -1300 ㎛ 보우의 변동까지의 웨이퍼 휨의 경우일 수도 있다.
보잉은 기판이 휘면 후속 프로세싱에 많은 문제들을 유발할 수 있다. 예를 들어, 리소그래피 동안, 에칭은 기판이 휘어지면 고르지 않을 수 있다. 유사하게, 후속하여 증착된 층들이 고르지 않을 수도 있고 웨이퍼 상의 불균일성 (nonuniformity) 및 결함들을 유발할 수 있는 기판 보잉을 증가시킬 수도 있다. 이 휨은, 특히 웨이퍼들이 정전 척에 웨이퍼를 척킹하는 것을 수반하는 후속 프로세싱에 사용될 때, 많은 정적 척들은 웨이퍼가 효과적으로 척킹될 수 없기 전에 견딜 수 있는 최대 휨으로 규정되는, "척킹 한계 (chucking limit)"을 가지기 때문에, 특히 문제가 될 수 있다. 많은 정전 척들은 약 ±300 ㎛의 척킹 한계을 갖는다. 그 결과, 고도로 휘어진 반도체 기판들은 일부 툴들에서 프로세싱되지 않을 수도 있다. 2 개 이상의 웨이퍼들이 동일한 프로세싱 챔버 내의 상이한 스테이션들에서 동시에 프로세싱되는 멀티-스테이션 반도체 프로세싱에서, 보잉을 갖는 웨이퍼들은 스테이션-대-스테이션 불균일성을 유발할 수 있다.
그러므로 웨이퍼의 전면에 대한 프로세싱에 의해 유발된 보잉을 보상하기 위해 웨이퍼의 배면 상으로 재료의 하나 이상의 층들을 증착함으로써 이루어질 수도 있는 웨이퍼 보잉을 감소시키는 것이 바람직하다. 웨이퍼들의 배면 상으로 증착된 이들 층들은 보우 보상 층들로 간주될 수도 있다. 일반적으로, 예를 들어, 층의 두께 및 조성을 포함하는 보우 보상 층의 특성 (characteristic) 들은 그 층에 의해 보상될 수 있는 보우의 양에 영향을 준다. 예를 들어, 일부 예들에서 보우 보상 층이 보다 두꺼워질수록, 보다 많은 보우 보상이 유발될 수도 있다. 일 예에서, 4,400 의 두께를 갖는 보우 보상 층은 대략 200 ㎛의 보우 보상을 유발할 수도 있는 한편, 3,200 의 두께를 갖는 보우 보상 층은 대략 150 ㎛의 보우 보상을 유발할 수도 있다. 보우 보상 층 두께와 보우 보상량 사이의 관계는 일 변수의 값이 또한 다른 변수의 값이 증가함에 따라 증가하고, 유사하게 일 변수의 값이 다른 변수의 값이 감소함에 따라 또한 감소하는 단조 관계 (monotonic relationship) 로 간주될 수도 있다.
복수 (multiple) 의 웨이퍼들의 웨이퍼 보잉을 동일 시간에, 즉, 동시에 감소시키는 것이 더 바람직하다. 다양한 효율들이 장비 비용, 동작 비용들뿐만 아니라 증가된 쓰루풋 (throughput) 과 같은 멀티-스테이션 프로세싱 장치를 사용하여 달성될 수도 있다. 예를 들면, 단일 진공 펌프가 모든 4 개의 프로세스 스테이션들에 대해 소비된 프로세스 가스들, 등을 배기함으로써 모든 4 개의 프로세스 스테이션들에 대해 단일 고진공 분위기를 생성하도록 사용될 수도 있다. 많은 통상적인 멀티-스테이션 프로세싱 기법들은 웨이퍼 각각의 보우가 동일한 양만큼, 또는 (예를 들어, 약 ±5 % 이내로) 실질적으로 동일한 양이 감소되도록 챔버 내 웨이퍼 각각에 동일한 두께의 보우 보상 층을 동시 증착한다.
본 발명자들은 일부 멀티-스테이션 전면 기판 프로세싱이 동일한 챔버에서 동일 시간에 프로세싱되는 기판들에 상이한 양의 보잉을 유발한다는 것을 발견하였다. 예를 들어, 많은 멀티-스테이션 프로세싱 기법들 및 장치들은 스테이션 각각에서 동일한 프로세싱 조건들을 생성하도록 설계되고 따라서 동일한 프로세싱 결과들, 예를 들어, 동일한 균일성 및 두께를 갖지만 일부 스테이션들 내에서 의도하지 않은 프로세스 조건 변동들을 갖는 증착된 층들을 생성하고, 그리고 스테이션들 사이에서, 웨이퍼들 상에 고르지 않은 재료 및 피처들을 야기할 수도 있고, 이는 결국 서로 상이한 휨 양들을 갖는 기판들을 발생시킬 수도 있다. 유사하게, 동일한 프로세스 플로우에서 챔버-대-챔버 변동들은 의도하지 않은 불균일한 휨을 유발할 수 있다. 예를 들어, 일부 툴들은 특정한 동작 조건들 및 사양들을 따를 수도 있지만, 챔버들은 정확히 동일하게 캘리브레이팅되지 않을 수도 있고, 따라서 재료의 상이한 휨 양들을 유발할 수도 있는 웨이퍼 상의 고르지 않은 재료 및 피처들을 야기한다.
본 발명자들은 또한 배면 보우 보상 층들 (backside bow compensation layers) 을 증착하기 위한 통상적인 멀티-스테이션 프로세싱 기법들 및 장치들이 일반적으로 동일한 챔버 내에 위치된 상이한 기판들 상에 상이한 두께들을 갖는 배면 보우 보상 층들을 동일 시간에 증착할 수 없다는 것을 발견하였다. 예를 들어, 2 개의 스테이션들 및 스테이션 각각에 보잉된 기판을 갖는 통상적인 챔버에서, 이들 통상적인 기법들 및 장치들은 일반적으로 제 1 스테이션에서 제 1 두께를 갖는 보우 보상 층 및 제 2 스테이션에서 상이한 두께를 갖는 보우 보상 층을 증착할 수 없다. 이들 통상적인 기법들 및 장치들은 일반적으로 동일 시간에 동일한 챔버 내에 있는 2 개의 기판들 상에 동일한 두께를 갖는 보우 보상 층들을 증착하는 것으로 제한된다. 많은 통상적인 기법들 및 장치들은 다른 스테이션에서 플라즈마를 생성하는 것을 동시에 계속하면서 단지 일 스테이션에서 플라즈마의 전력을 차단하는 것과 같은 프로세스 조건들의 스테이션-대-스테이션 제어가 결여되기 때문에 제한된다. 이들 통상적인 멀티-스테이션 프로세싱 장치들 및 기법들이 약간의 조정 가능성을 갖는다하더라도, 본 발명자들은 플라즈마 생성 동안 기판과 전극 사이의 갭과 같은 수많은 프로세스 조건들을 조정하는 것이 프로세싱 조건들을 너무 많이 변화시킴으로써 그리고 바람직하지 않은 프로세싱 조건들을 야기함으로써 기판에 부정적으로 영향을 준다는 것을 알아냈다.
그러나, 본 발명자들은 멀티-스테이션 챔버의 스테이션 각각으로의 전구체 플로우를 제어하는 것과 같은 일부 특정한 프로세스 조건들을 조정함으로써, 기판들은 동일 시간에 챔버 내에 있으면서 상이한 두께들 및/또는 상이한 내부 응력들을 갖는 보우 보상 층들이 상이한 기판들 상에 증착될 수 있다는 것을 발견하였다. 동일 시간에 동일한 챔버 내에 있는 상이한 보우 양들을 갖는 보잉된 웨이퍼들의 배면 상으로 상이한 두께들 및/또는 상이한 내부 응력들을 갖는 보우 보상 층들을 증착하기 위한 기법들 및 장치들이 본 명세서에 기술된다. 이들 기법들 및 장치들은 일부 실시 예들에서, 스테이션 각각에서 증착을 시작하고 중단하기 위한 전구체 플로우의 스테이션-대-스테이션 제어를 포함하여, 상이한 두께의 보우 보상 층들 및 상이한 내부 응력 층들을 증착하기 위해 스테이션-대-스테이션 제어를 사용한다. 이들 새로운 기법들 및 장치들은 동일 시간에 동일한 챔버 내에 있는 웨이퍼들 상에서 전면 프로세싱에 의해 유발된 상이한 웨이퍼 보우 양들을 보상하고 감소시킬 수 있다.
예시적인 프로세싱 기법들
동일한 멀티-스테이션 챔버 내에 있는 웨이퍼들의 배면 상에 상이한 두께들 및/또는 상이한 내부 응력들을 갖는 재료의 보우 보상 층들을 증착하기 위한 기법들 및 장치들이 본 명세서에 기술된다. 이 증착은 예를 들어 PECVD (plasma enhanced chemical vapor deposition) 를 사용하여 수행될 수도 있다. 이들 기법들 및 장치들은 상이한 보우들을 갖는 2 개 이상의 기판들을 멀티-스테이션 챔버 내로 로딩하고 일 기판의 배면 상에 일 두께를 갖는 하나의 보우 보상 층 및 또 다른 기판의 배면 상에 상이한 두께를 갖는 또 다른 보우 보상 층을 증착하기 위해 배면 증착 조건들을 조정한다 이들 기법들은 또한 일 기판의 배면 상에 내부 응력들을 갖는 일 보우 보상 층 및 또 다른 기판의 배면 상에 상이한 내부 응력들을 갖는 또 다른 보우 보상 층을 증착하기 위해 부가적으로 또는 대안적으로 배면 증착 조건들을 조정할 수도 있다. 보우 보상 층의 두께는 증착 프로세스의 로컬 지속 기간 및 로컬 반응 조건들 (예를 들어, 개별 스테이션들에서 온도, 플로우 레이트, 플라즈마 전력, 등) 을 포함하는, 임의의 하나 이상의 다양한 기법들에 의해 (스테이션-대-스테이션) 제어될 수도 있다.  보우 보상 층의 내부 응력은 로컬 조건들을 제어함으로써 유사하게 (스테이션-대-스테이션) 조정될 수도 있다.   본 명세서에서 달리 언급되지 않는 한, 용어 "증착"은 기판의 전면이 아니라 배면 상의 재료의 증착과 관련된다.
예를 들어, +50 ㎛의 보우를 갖는 제 1 기판이 멀티-스테이션 프로세싱 챔버의 제 1 스테이션에 제공될 수도 있고 +20 ㎛의 보우를 갖는 제 2 기판이 동일한 챔버의 제 2 스테이션에 제공될 수도 있다. 후속 프로세싱은 이들 2 개의 기판들의 보우들이 ±10 ㎛ 이내일 것을 요구할 수도 있다. 이에 따라, 제 1 기판은 ±10 ㎛의 요구된 프로세싱 보우 범위 내에 있도록 제 2 기판보다 보다 많은 보우 보상, 그리고 따라서 보다 두꺼운 보우 보상 층을 요구한다. 본 명세서에 기술된 실시 예들 중 일부에서, 두 기판들이 동일 시간에 동일한 챔버 내에 있지만, 제 1 두께를 갖는 보우 보상 층은 보우를 +50 ㎛로부터 ±10 ㎛ 이내로 감소시키기 위해 제 1 기판의 배면 상으로 증착될 수도 있고, 그리고 제 1 두께보다 작은 제 2 두께를 갖는 보우 보상 층은 보우를 +20 ㎛로부터 ±10 ㎛ 이내로 감소시키도록 제 2 기판의 배면 상으로 증착될 수도 있다. 일부 실시 예들에서, 이는 PECVD를 위한 플라즈마 생성 동안, 제 2 기판 상보다는 제 1 기판 상에 보다 두꺼운 보우 보상 층을 증착하기 위해 제 2 기판의 배면 상보다 보다 긴 시간 동안 제 1 기판의 배면 상으로 전구체를 흘림으로써 달성될 수도 있다.
배면 증착은 웨이퍼 홀더들을 사용하여 2 개의 샤워헤드들 사이에 웨이퍼를 홀딩하도록, 반도체 웨이퍼를 상단 샤워헤드 및 하단 샤워헤드 (하단 샤워헤드는 페데스탈에 대한 샤워헤드 또는 "샤워헤드 페데스탈 (shoped)"로 지칭될 수도 있음) 모두를 갖는 프로세스 챔버 내로 삽입함으로써 수행될 수도 있다. 프로세싱은 상단 샤워헤드에 가깝게 웨이퍼를 위치시키고 하단 샤워헤드를 통해 웨이퍼의 배면으로 프로세스 가스들을 딜리버링함으로써 수행될 수도 있다. 일부 실시 예들에서, 웨이퍼는 웨이퍼의 배면으로 가스들을 딜리버링하기 위해 상단 샤워헤드를 사용하도록 거꾸로 (upside down) 배치될 수도 있지만, 많은 실시 예들에서, 웨이퍼는 위로 향한 패터닝된 영역들과 함께 똑바로 (upright) 배치되고 프로세스 가스들은 하단 샤워헤드로부터의 웨이퍼의 배면으로 딜리버링된다. 다양한 실시 예들에서, 웨이퍼의 배면은 편평하고 패터닝되지 않는다.
다양한 실시 예들에서, 샤워헤드는 반도체 웨이퍼의 배면으로 프로세스 가스들을 흘리기 위한 복수의 홀들 또는 노즐들을 포함한다. 일부 실시 예들에서, 샤워헤드는 프로세스 가스들을 흘리기 위한 수천 개의 작은 홀들을 포함한다. 홀들은 약 0.5 ㎜ 내지 약 1 ㎜의 직경을 가질 수도 있다. 프로세스 가스들은 기판들로 딜리버링될 샤워헤드로 흐르는 모든 가스들이 샤워헤드의 모든 홀을 통해 딜리버리되도록 모든 홀들로 균등하게 흐른다. 일부 실시 예들에서, 샤워헤드의 홀들은 모두 동일 시간에 동일한 가스를 딜리버링한다.
도 1은 특정한 개시된 실시 예들에 따른 방법에서 수행된 동작들을 보여 주는 프로세스 흐름도를 도시한다. 동작 102에서, 제 1 반도체 기판이 멀티-스테이션 프로세스 챔버의 제 1 스테이션에 제공되고, 그리고 동작 104에서 제 2 반도체 기판이 멀티-스테이션 프로세스 챔버의 제 2 스테이션에 제공된다. 제 1 기판 및 제 2 기판은 각각 실리콘 웨이퍼, 예를 들어, 상부에 증착된 유전체, 도전, 또는 반도전 재료와 같은 하나 이상의 층의 재료들을 갖는 웨이퍼들을 포함한, 200-㎜ 웨이퍼, 300-㎜ 웨이퍼, 또는 450-㎜ 웨이퍼일 수도 있다. 하나 이상의 층들 중 일부는 패터닝될 수도 있다. 층들의 비제한적인 예들은 유전체 층들 및 전도 층들, 예를 들어, 실리콘 옥사이드들, 실리콘 나이트라이드들, 실리콘 카바이드들, 금속 옥사이드들, 금속 나이트라이드들, 금속 카바이드들, 및 금속 층들을 포함한다. 다양한 실시 예들에서, 기판은 패터닝된다.
일부 실시 예들에서, 프로세싱 챔버 내로 제공될 때, 제 1 기판은 제 1 보우로 보잉되고 제 2 기판은 제 1 보우와 상이하고 제 1 보우보다 작은 제 2 보우로 보잉된다. 이들 보우 양들은 서로 상이하지만, ±1000 ㎛, ±500 ㎛, ±300 ㎛, ±100 ㎛, ±50 ㎛, 및 ±30 ㎛ 이내일 수도 있다. 동일 시간에 동일한 챔버 내에 있는 제 1 기판 및 제 2 기판 상의 상이한 보우 양들을 보상하고 감소시키기 위해, 상이한 두께의 보우 보상 층들이 기판 각각의 배면 상에 증착된다. 제 1 기판의 제 1 보우가 제 2 기판의 제 2 보우보다 크기 때문에, 보다 많은 보우 보상 재료가 제 1 기판의 배면 상에 증착된다.
동작 106에서, 재료의 제 1 보우 보상 층이 동일 시간에 기판 각각 상에 증착되도록 제 1 보우 보상 층이 제 1 기판 및 제 2 기판 모두의 배면 상으로 동시 증착된다. 이 동시 증착은 하나 이상의 전구체들을 포함하는 다양한 프로세스 가스들을 제 1 기판 및 제 2 기판의 배면 상으로 동일 시간에 흘리는 것을 포함할 수도 있고, 그리고 일부 실시 예들에서, 동일 시간에 제 1 스테이션 및 제 2 스테이션에서 플라즈마를 생성하는 것을 또한 포함할 수도 있다. 프로세스 가스들을 스테이션 각각으로 흘리도록 가스 딜리버리 시스템이 사용되고, 이 시스템은 전구체 가스 소스(들), 캐리어 가스 소스(들), 가스가 흐르는 유체 도관들, 가스 플로우를 제어하기 위한 밸브들, 및 가스를 이동시키는 질량 유량 제어기 (MFC) 와 같은 가스 추진 피처들을 포함할 수도 있다.
언급된 바와 같이, 보우 보상 층의 두께는 증착 프로세스의 로컬 지속 기간 및 로컬 반응 조건들 (예를 들어, 개별 스테이션들에서 온도, 플로우 레이트, 플라즈마 전력, 등) 을 포함하는, 임의의 하나 이상의 다양한 기법들에 의해 (스테이션-대-스테이션) 제어될 수도 있다.  일부 실시 예들에서, 제 2 기판의 배면 상보다 제 1 기판 상에 보다 두꺼운 보우 보상 층을 증착하기 위해, 보우 보상 재료의 보다 많은 증착이 제 1 기판의 배면 상에 수행되며, 예를 들어, 제 1 기판 상의 배면 증착의 지속 기간은 제 2 기판 상보다 길다. 동작 108에서, 제 1 기판 및 제 2 기판 모두 각각의 스테이션들에서 챔버 내에 있지만, 보우 보상 재료의 증착은 동일 시간에 제 2 기판의 배면 상에서 증착이 수행되지 않으면서 제 1 기판의 배면 상에서 수행된다. 그러므로, 이 동작 108 동안, 증착은 제 1 기판의 배면 상에만 있으며; 제 2 스테이션에 남아 있으면서 제 2 기판의 배면 상에서 증착이 수행되지 않는다. 이 부가적인 보우 보상 재료를 제 2 기판이 아닌 제 1 기판 상에 증착함으로써, 동작 106 및 동작 108 후에, 제 1 기판의 배면은 제 2 기판보다 전체적으로 보다 두꺼운 보우 보상 층을 갖는다. 예를 들어, 제 1 보우 보상 층은 제 1 두께 T1을 가질 수도 있고 제 2 보우 보상 층은 제 2 두께 T2를 가질 수도 있다. 동작 106 후, 제 1 기판 및 제 2 기판은 모두 두께 T1을 갖는 재료의 보우 보상 층을 갖고, 그리고 동작 106 및 동작 108 모두 후에, 제 1 기판은 두께 T1+T2를 갖는, 총 전체적인 재료의 보우 보상 층을 갖지만, 제 2 기판은 T1+T2보다 작은 두께 T1을 갖는 총, 전체적인 재료의 보우 보상 층을 갖는다. 제 1 기판의 배면 상으로 보다 많은 보우 보상 재료를 증착함으로써, 제 1 기판의 보우는 제 2 기판의 보우보다 보다 감소되거나 보상된다.
상기 언급된 바와 같이, 상이한 두께들을 갖는 보우 보상 층들을 생성하는 것에 부가하여 또는 대안적으로, 일부 실시 예들에서, 본 명세서에 기술된 기법들은 서로 상이한 내부 응력들을 갖는 보우 보상 층들을 생성하도록 다양한 프로세스 조건들의 스테이션-대-스테이션 제어를 사용할 수도 있다. 이 스테이션-대-스테이션 제어는 서로 상이한 내부 응력들을 갖는 보우 보상 층들을 생성하도록 스테이션 각각에서, 예를 들어, 온도, 플로우 레이트, 플라즈마 전력, 등의 로컬 프로세스 조건들을 제어하는 것을 포함할 수도 있다. 예를 들어, 제 1 기판 상에 제 1 보우 보상 층 및 제 2 보우 보상 층을 증착하는 것은 제 1 기판 상의 총 보우 보상 층들로 하여금 제 2 보상 층 상에 증착된 제 1 보상 층보다 내부 응력들, 예를 들어, 보다 크거나 보다 작은 인장 응력들을 갖게 할 수도 있다.
동작 106 및 동작 108은 다양한 순서 (order) 들 및 방식들로 수행될 수도 있다. 일부 실시 예들에서, 도 1에 도시된 바와 같이, 동작 106은 재료의 제 1 보우 보상 층이 재료의 제 2 층이 제 1 기판 상으로만 증착되기 전에 제 1 기판 및 제 2 기판 상으로 동시 증착되도록 동작 108 전에 수행될 수도 있다. 동작 106은 다양한 방식으로 종료될 수도 있다. 일부 실시 예들에서, 제 2 스테이션에서 제 2 기판의 배면 상으로의 증착이 중단될 수도 있고, 그러므로 동작 106을 종료할 수도 있다. 일부 이러한 실시 예들에서, 제 1 스테이션에서의 증착은 제 1 보우 보상 층 및 제 2 보우 보상 층이 일 계속적인 증착 프로세스에서 제 1 기판의 배면 상으로 증착되도록 계속될 수도 있고 일단 제 1 보우 보상 층이 제 1 기판 및 제 2 기판 모두 상에 증착되면, 제 2 기판 상의 증착은 제 1 스테이션에서의 증착이 계속되는 동안 중단될 수도 있으며, 이에 의해 동작 106을 종료하는 동안 같은 시기에 (simultaneously) 동작 108을 시작한다. 일부 예들에서, 제 1 보우 보상 층 및 제 2 보우 보상 층의 증착이 제 1 기판의 배면 상에서 계속적일 때, 이들 층들은 물리적으로 별개 (separate) 의 층들이 아니라, 재료의 일 계속적인 층의 제 1 부분 및 제 2 부분일 수도 있다.
일부 다른 실시 예들에서, 제 1 기판 및 제 2 기판의 배면 상의 증착이 중단될 수도 있고, 그러므로 동작 106을 종료하고, 그리고 나중에, 제 1 스테이션에서만 증착이 시작될 수도 있고, 따라서 동작 108을 시작한다. 일부 이러한 예들에서, 제 1 보우 보상 층 및 제 2 보우 보상 층은, 제 2 보우 보상 층이 제 1 보우 보상 층의 상단 상에 증착되는, 재료의 별개로 증착된 층일 수도 있다.
본 명세서에 기술된 기법들은 스테이션 각각에서 증착을 시작하고 중단하도록 전구체 플로우의 스테이션-대-스테이션 제어를 포함하여, 상이한 두께의 보우 보상 층들을 증착하도록 스테이션-대-스테이션 제어를 사용한다. 예를 들어, 일부 실시 예들에서, 스테이션 각각에서 그리고 스테이션으로 전구체의 제어는 해당 스테이션에서 증착의 시작 및 중단을 제어할 수도 있다. 제 1 스테이션 및 제 2 스테이션에서 보우 보상 층의 증착은 전구체가 제 1 스테이션에서 제 1 기판의 배면 및 제 2 스테이션에서 제 2 기판의 배면 상으로 흐르고 콘택트하도록 전구체를 스테이션 각각으로 흘림으로써 시작할 (start) 수도 있다. 이에 따라, 제 1 스테이션 및 제 2 스테이션에서 제 1 보우 보상 층들의 증착은 전구체가 제 1 스테이션에서 제 1 기판의 배면 및 제 2 스테이션에서 제 2 기판의 배면 상으로 흐르고 콘택트하도록 스테이션 각각에 전구체의 플로우를 시작함으로써 시작될 (begin) 수도 있다.
일부 실시 예들에서, 이 증착의 "시작"은, 스테이션으로의 전구체의 플로우가 이 "시작" 전에 가스 딜리버리 시스템 내에서 시작될 수도 있지만, 전구체가 기판과 콘택트하게 될 때로 고려될 수도 있다. 일부 다른 실시 예들에서, 이 증착의 "시작"은 가스 딜리버리 시스템이 전구체로 하여금 스테이션으로 흐르기 시작하게 할 때로 고려될 수도 있다. 일반적으로, 가스 딜리버리 시스템은 스테이션에 도달하도록 적절한 유체 도관들을 통해 가스를 지향시키도록 밸브들을 개방함으로써 그리고 MFC와 같은 플로우 제어 엘리먼트로 하여금 가스를 이동하게 함으로써 가스로 하여금 스테이션으로 흐르게 한다. 이는 일반적으로 가스가 이동하게 될 때로부터 챔버 및 스테이션에 도달할 때까지의 시간 지연을 유발한다.
제 1 스테이션에서 제 2 보우 보상 층의 증착은 또한 전구체가 제 1 스테이션에서 제 1 기판의 배면 및 제 2 스테이션에서 제 2 기판의 배면 상으로 흐르고 콘택트하도록 스테이션 각각 제 1 스테이션으로의 전구체의 플로우에 의해 시작할 수도 있다. 제 1 기판 상의 증착이 계속적인 실시 예들에서, 동작 108의 "시작"은 제 1 기판의 배면 상으로의 전구체 플로우의 계속일 수도 있다.
유사하게, 보우 보상 층의 증착은 기판의 배면 상으로 전구체의 플로우를 중단함으로써 중단될 수도 있다. 일부 실시 예들에서, 증착의 "중단"은, 스테이션으로의 전구체의 플로우가 이 "중단"전에 가스 딜리버리 시스템 내에서 중단될 수도 있지만, 더 이상 기판을 콘택트하지 않을 때로 고려될 수도 있다. 일부 다른 실시 예들에서, 이 증착의 "중단"은 가스 딜리버리 시스템이 가스로 하여금 스테이션으로 흐르게 하는 것을 중단하게 할 때로 고려될 수도 있다. 예를 들어, 밸브를 폐쇄하거나 MFC가 가스를 흘리는 것을 중단함으로써 가스 딜리버링은 스테이션으로의 플로우를 중단할 수도 있는 때로부터, 밸브, MFC, 또는 다른 플로우 제어 엘리먼트와 스테이션 사이의 유체 도관들 내의 잔류 가스때문에 가스가 더 이상 기판과 콘택트하지 않을 때까지, 지연이 있을 수도 있다. 이에 따라, 일부 실시 예들에서, 동작 106은 가스 딜리버리 시스템이 제 2 스테이션으로의 전구체의 플로우를 중단할 때, 또는 전구체가 제 2 기판의 배면과 더 이상 콘택트하지 않을 때 종료될 수도 있다.
일부 실시 예들에서, 동작 108은 제 2 기판의 배면 상에서 증착이 발생하지 않는 동안, 제 1 기판 및 제 2 기판의 배면 상의 동시 증착 전에 제 1 기판의 배면 상으로만의 증착이 수행되도록 동작 106 전에 수행될 수도 있다. 이 시퀀스는 특정한 개시된 실시 예들에 따른 방법에서 수행된 동작들을 보여 주는 프로세스 흐름도를 도시하는 도 2에서 보여 준다. 이 도면들에서, 동작들 202, 204, 206, 및 208은 동작 108, 이제 208이 동작 106, 이제 동작 206 전에 수행된다는 것을 제외하고는 도 1의 동작들 102, 104, 106, 및 108과 동일하다. 일부 실시 예들에서, 동작 208은 제 1 스테이션으로만 그리고 제 1 기판의 배면 상으로만 전구체를 흘림으로써 수행될 수도 있지만, 동일 시간에 전구체는 제 2 스테이션으로 또는 제 2 기판의 배면 상으로 흐르지 않는다. 이는 제 1 기판의 배면 상으로 제 2 보우 보상 층을 먼저 증착할 수도 있지만, 동일 시간에 제 2 기판의 배면 상에 어떠한 재료도 증착되지 않는다.
상기와 유사하게, 일부 실시 예들에서, 동작 208 및 이어서 동작 206에서 제 1 기판의 배면 상으로의 증착은 제 1 기판의 배면 상으로의 증착이 동작 208 후 이어서 동작 206이 수행될 때까지 중단되지 않도록 계속적인 증착 프로세스일 수도 있다. 이들 실시 예들에서, 제 2 기판의 배면 상으로의 증착은 제 1 기판의 배면 상의 증착이 계속되는 동안 시작한다. 동작 206의 동시 증착은 전구체를 제 1 기판 상으로 흘리는 것을 계속함으로써 그리고 전구체를 제 1 기판의 배면 상으로 흘리기 시작함으로써 시작할 수도 있다. 다시, 동작 206은 전구체가 제 2 스테이션으로 흐르게 할 때 또는 전구체가 제 2 기판의 배면과 콘택트하기 시작할 때 시작된 것으로 간주될 수도 있다.
도 1 및 도 2의 기법들이 2 개의 스테이션들 및 2 개의 기판들을 사용하지만, 본 기법들은 예를 들어 3 개, 4 개 또는 6 개의 스테이션들과 같은 2 개 이상의 스테이션들을 갖는 멀티-스테이션 챔버들에 적용 가능하다. 이들 멀티-스테이션 챔버들에서, 스테이션들에서 적어도 2 개의 기판들 상의 배면 보우 보상 층은 상이할 수도 있다. 예를 들어, 스테이션 각각에 일 기판을 갖는 4 개의 스테이션 챔버에서, 동시 배면 보우 보상 층 증착이 시간 기간 동안 모든 4 개의 기판들 상에서 발생할 수도 있고, 그리고 나머지 프로세싱 시간에서, 배면 보우 보상 층 증착들은 다른 기판들보다 보다 긴 지속 기간 또는 보다 짧은 지속 기간 동안 수행될 수도 있다. 일부 실시 예들에서, 3 개의 기판들은 동일한 배면 보우 보상 층 증착을 수용할 수도 있지만 제 4 기판은 다른 3 개의 기판들 상에서 증착이 발생하지 않는 동안 부가적인 배면 보우 보상 층 증착을 수용한다. 일부 실시 예들에서, 제 1 기판 및 제 2 기판은 동일한 배면 보우 보상 층 증착을 수용할 수도 있고, 제 3 기판은 제 1 기판 및 제 2 기판보다 많지만 제 4 기판보다는 적은 보우 보상 층 증착을 수용할 수도 있고, 그리고 제 4 기판은 제 1 기판, 제 2 기판, 및 제 3 기판보다 많은 보우 보상 층 증착을 수용할 수도 있다. 여기서, 동시 보우 보상 층 증착은 시간 기간 동안 모든 4 개의 기판들 상에서 발생할 수도 있고, 동시 보우 보상 층 증착은 제 1 기판 및 제 2 기판 상에서 증착이 발생하지 않는 동안 제 3 기판 및 제 4 기판 상에서 발생할 수도 있고, 그리고 보우 보상 층 증착은 다른 3 개의 기판들 상에서 증착이 발생하지 않는 동안, 제 4 기판 상에서만 발생할 수도 있다.
일부 실시 예들에서, 모든 4 개의 기판들은 상이한 양들의 배면 보우 보상 층 증착을 수용할 수도 있다. 예를 들어, 일부 실시 예들에서, 4 개의 기판들 모두 상에 일부 동시 배면 보우 보상 층 증착이 있지만, 제 2 기판은 제 1 기판보다 많은 배면 보우 보상 층 증착을 수용하지만, 제 3 기판 및 제 4 기판보다 적은 배면 보우 보상 층 증착을 수용한다. 제 3 기판은 제 1 기판 및 제 2 기판보다 많은 배면 보우 보상 층 증착을 수용하지만, 제 4 기판보다 적고, 그리고 제 4 기판은 제 1 기판, 제 2 기판 및 제 3 기판보다 많은 배면 보우 보상 층 증착을 수용한다. 일부 이러한 실시 예들에서, 동시 배면 보우 보상 층 증착은 시간 기간 동안 모든 4 개의 기판들 상에서 발생할 수도 있고, 동시 배면 보우 보상 층 증착은 제 1 기판 상에서 발생하지 않지만 제 2 기판, 제 3 기판 및 제 4 기판 상에서 발생할 수도 있고, 동시 배면 보우 보상 층 증착은 제 3 기판 및 제 4 기판 상에서 발생할 수도 있지만 배면 증착이 제 1 기판 및 제 2 기판 상에서 발생하지 않을 수도 있고, 그리고 배면 보우 보상 층 증착은 제 4 기판 상에서만 발생할 수도 있지만 다른 3 개의 기판들 상에서 발생하지 않는다.
이들 증착들의 시퀀스는 상기와 유사하게 가변할 수도 있다. 예를 들어, 4 개의 기판들 모두의 동시 배면 보우 보상 층 증착이 먼저 발생할 수도 있고, 이어서 제 2 기판, 제 3 기판 및 제 4 기판 상에서만 동시 배면 보우 보상 층 증착이 발생할 수도 있고, 이어서 제 3 기판 및 제 4 기판 상에서만 동시 배면 보우 보상 층 증착이 발생할 수도 있고, 이어서 제 4 기판 상에만 배면 보우 보상 층 증착이 발생할 수도 있다. 일부 다른 예들에서, 제 4 기판 상에서만 배면 보우 보상 층 증착이 먼저 발생하고 이어서 제 3 기판 및 제 4 기판의 동시 배면 보우 보상 층 증착이 발생하고, 이어서 제 2 기판, 제 3 기판, 및 제 4 기판의 동시 배면 보우 보상 층 증착이 발생하고, 이어서 모든 4 개의 기판들의 동시 배면 보우 보상 층 증착이 발생하도록, 시퀀스가 반전될 수도 있다.
예를 들어, 일부 실시 예들에서, 도 1의 기법은 제 3 스테이션을 가질 수도 있고, 챔버 내의 제 3 스테이션에 제 3 기판을 제공하고, 제 1 스테이션에서 제 1 기판의 배면 상으로, 제 2 스테이션에서 제 2 기판의 배면 상으로, 그리고 제 3 스테이션에서 제 3 기판의 배면 상으로, 전구체를 동시에 흘리며, 이에 의해 제 1 기판의 배면 상에 재료의 제 3 보우 보상 층을, 제 2 기판의 배면 상에 재료의 제 3 보우 보상 층을, 그리고 제 3 기판의 배면 상에 재료의 제 3 보우 보상 층을 증착하는 것과 같은, 부가적인 동작들을 포함할 수도 있다. 동작 106의 제 1 스테이션에서 제 1 기판의 배면 상으로 그리고 제 2 스테이션에서 제 2 기판의 배면 상으로 전구체를 동시에 흘리는 단계는 전구체를 제 3 스테이션의 배면 상으로 동시에 흘리지 않고 제 3 기판의 배면 상에 재료를 증착하지 않는 것을 더 포함할 수도 있다. 동작 108의 제 1 스테이션에서 제 1 기판의 배면 상으로 전구체를 흘리지만 제 2 스테이션에서 제 2 기판의 배면 상으로 전구체를 동시에 흘리지 않고 제 2 기판의 배면 상에 재료를 증착하지 않는 단계는 제 3 스테이션에서 제 3 기판의 배면 상으로 전구체를 동시에 흘리지 않고 제 3 기판의 배면 상에 재료를 증착하지 않는 것을 더 포함할 수도 있다.
본 명세서에 언급된 바와 같이, 보우 보상 층의 두께는 증착 프로세스의 로컬 지속 기간 및 로컬 반응 조건들 (예를 들어, 개별 스테이션들에서 온도, 플로우 레이트, 플라즈마 전력, 등) 을 포함하는, 임의의 하나 이상의 다양한 기법들에 의해 (스테이션-대-스테이션) 제어될 수도 있다. 이에 따라, 일부 실시 예들에서, 기법은 증착 조건들의 제 1 세트 하에서 제 1 기판의 배면 상으로 제 1 보우 보상 층을 동시 증착하는 단계 및 증착 조건들의 제 2 세트 하에서 제 2 기판의 배면 상으로 제 2 보우 보상 층을 증착하는 단계를 포함할 수도 있으며, 이들 상이한 증착 조건들은 서로 상이한 두께들 또는 상이한 내부 응력들 (예를 들어, 보다 크거나 보다 작은 인장 응력 또는 압축 응력) 과 같은 상이한 속성들을 갖는 제 1 보우 보상 층들 및 제 2 보우 보상 층들을 발생시킨다.
일부 실시 예들에서, 또 다른 기법은 제 1 기판의 배면 상 및 제 2 기판의 배면 상에 같거나 (identical) 거의 같은 제 1 보우 보상 층들을 생성하도록 동일한 프로세스 조건들 하에서 제 1 기판의 배면 및 제 2 기판의 배면 상으로 제 1 보우 보상 층들을 동시 증착하는 단계, 및 이어서 상이한 속성들을 갖는 제 1 기판 및 제 2 기판 상에 배면 보우 보상 층들을 증착하기 위해, 또는 제 2 기판의 배면 상의 증착을 중단하기 위해 제 1 스테이션 및/또는 제 2 스테이션에서 프로세스 조건들을 조정하는 단계를 포함한다. 이는 상기 기술된 바와 같이, 제 2 기판으로의 전구체의 플로우를 중단함으로써 뿐만 아니라 제 1 스테이션에서 플라즈마를 유지하는 동안 제 2 스테이션에서 플라즈마를 중단함으로써, 제 2 스테이션에서 배면 증착을 중단하는 단계를 포함할 수도 있다. 이는 또한 배면 증착을 느리게 하거나 제 2 기판 상에 보우 보상 재료의 보다 얇은 층의 증착을 유발하도록 제 2 스테이션에서 플라즈마 전력을 조정하는 단계, 증착된 보우 보상 층의 두께를 증가시키도록 제 1 스테이션에서 온도를 조정하는 단계, 및 증착된 보우 보상 층 재료의 두께를 감소시키도록 제 2 스테이션에서 온도를 조정하는 단계를 포함한다.
보우 보상 층들의 조성은 층들이 증착되는 웨이퍼에 따라 결정된다. 예를 들어, 일부 실시 예들에서, 보우 보상 층은 압축 보우를 갖는 웨이퍼 상에 증착될 때 압축성 막일 수도 있고, 또는 인장 보우를 갖는 웨이퍼 상에 증착될 때 인장 막일 수도 있다. 웨이퍼의 배면 상에 증착될 압축성 막을 위한 예시적인 재료들은 실리콘 옥사이드, 실리콘 나이트라이드, 실리콘, 및 탄소를 포함한다. 실란들은 압축성 막 또는 인장 막으로서 비정질 실리콘을 증착하도록 사용될 수도 있다. 아세틸렌, 메탄, 에틸렌, 및 탄화수소들과 같은 다른 탄소-함유 증착 전구체들은 압축성 탄소 재료들을 증착하도록 사용될 수도 있고, 또는 일부 실시 예들에서는, 중립 응력 재료들을 증착하도록 사용될 수도 있다. 증착 전구체들 및 프로세스 조건들의 선택은 보우 보상 층의 응력을 튜닝하도록 사용될 수 있다.
일부 실시 예들에서, 실리콘 옥사이드 층이 볼록-형상 웨이퍼를 평탄화하는 속성들을 갖기 때문에, 일부 실시 예들에서, 보우 보상 층들은 (예를 들어, 최저 지점이 웨이퍼의 에지이고 가장 높은 지점이 웨이퍼의 중심인) 볼록한 기판의 배면 상에 증착될 때 실리콘 옥사이드를 포함할 수도 있다. 다른 실시 예들에서, 일부 예들에서, 실리콘 나이트라이드 층이 오목-형상 웨이퍼를 평탄화하는 속성들을 갖기 때문에, 보우 보상 층은 (예를 들어, 최저 지점이 웨이퍼의 중심이고 가장 높은 지점이 웨이퍼의 에지인) 오목한 기판의 배면 상에 증착될 때 실리콘 나이트라이드를 포함할 수도 있다.
"실리콘 옥사이드"는 x 및 y의 정수 값들 및 x 및 y의 비정수 값들을 포함하여, SixOy에 대한 임의의 그리고 모든 화학량론적 가능성들을 포함하는, 실리콘 및 산소 원자들을 포함하는 화학적 화합물들을 포함하는 것으로 본 명세서에서 지칭된다. 예를 들어, "실리콘 옥사이드"는 화학식 SiOn을 갖는 화합물들을 포함하고, 여기서 1 ≤ n ≤ 2이고, 여기서 n은 정수 값 또는 비정수 값일 수 있다. "실리콘 옥사이드"는 SiO1 .8과 같은 아화학량론적 화합물 (sub-stoichiometric compounds) 을 포함할 수 있다. "실리콘 옥사이드"는 또한 실리콘 다이옥사이드 (SiO2) 및 실리콘 모노옥사이드 (SiO) 을 포함한다. "실리콘 옥사이드"는 또한 천연 (natural) 변동 및 합성 변동 모두를 포함하고 또한 중심 실리콘 원자를 둘러싸는 산소 원자들의 사면체 배위를 포함하는, 임의의 그리고 모든 결정 및 분자 구조들을 포함한다. "실리콘 옥사이드"는 또한 비정질 실리콘 옥사이드 및 실리케이트를 포함한다. 실리콘 옥사이드는 또한 미량들 (trace amounts) 또는 간극 양들 (interstitial amounts) 의 수소를 포함할 수도 있다 (SiOH). 실리콘 옥사이드는 또한 특히 질소 가스가 캐리어 가스 (SiON) 로서 사용된다면, 미량들의 질소를 포함할 수도 있다.
"실리콘 나이트라이드"는 x 및 y의 정수 값들 및 x 및 y의 비정수 값들을 포함하여, 예를 들어, 비 X : Y는 3 : 4일 수도 있으며, SixNy에 대한 임의의 그리고 모든 화학량론적 가능성들을 포함하는 것으로 본 명세서에서 지칭된다. 예를 들어, "실리콘 나이트라이드"는 화학식 SiNn을 갖는 화합물들을 포함하고, 여기서 1 ≤ n ≤ 2이고, 여기서 n은 정수 또는 비정수 값일 수 있다. "실리콘 나이트라이드"는 SiN1 .8과 같은 아화학량론적 화합물을 포함할 수 있다. "실리콘 나이트라이드"는 또한 Si3N4 및 미량 및/또는 간극 양의 수소를 갖는 실리콘 나이트라이드 (SiNH) 및 미량들 또는 간극 양들의 산소 (SiON) 또는 모두를 갖는 실리콘 나이트라이드 (SiONH) 를 포함한다. "실리콘 나이트라이드"는 또한 천연 변형 (variation) 및 합성 변형 모두를 포함하고 또한 트리고널 알파-실리콘 나이트라이드, 헥사고널 베타-실리콘 나이트라이드, 및 큐빅 감마-실리콘 나이트라이드를 포함하는, 임의의 및 모든 격자, 결정, 및 분자 구조들을 포함한다. "실리콘 나이트라이드"는 또한 비정질 실리콘 나이트라이드를 포함하고 미량의 불순물들을 갖는 실리콘 나이트라이드를 포함할 수 있다.
이에 따라, 다시 도 1을 참조하면, 제 1 기판 및 제 2 기판은 상이한 보우 형상들을 가질 수도 있고 제 1 보우 보상 층 및 제 2 보우 보상 층은 상이한 조성들을 가질 수도 있다. 일부 실시 예들에서, 재료의 제 1 보우 보상 층 및 재료의 제 2 보우 보상 층은 실리콘 나이트라이드를 포함하고, 일부 이러한 예들에서, 제 1 기판 및 제 2 기판은 모두 오목하지만 상이한 사이즈의 보우들을 가질 수도 있다. 본 명세서에 제공된 바와 같이, 일부 실시 예들은 기판들의 배면을 암모니아 및 실리콘-함유 전구체와 콘택트시킴으로써 실리콘 나이트라이드를 갖는 보우 보상 층을 증착할 수도 있다. 일부 실시 예들에서, 재료의 제 1 보우 보상 층 및 재료의 제 2 보우 보상 층은 실리콘 옥사이드를 포함하고, 그리고 일부 이러한 예들에서, 제 1 기판 및 제 2 기판은 모두 볼록하지만 상이한 사이즈의 보우들을 가질 수도 있다. 본 명세서에 제공된 바와 같이, 일부 실시 예들은 기판들의 배면을 아산화질소 및 실리콘-함유 전구체와 콘택트시킴으로써 실리콘 옥사이드를 갖는 보우 보상 층을 증착할 수도 있다.
유사하게, 일부 실시 예들에서, 재료의 제 1 보우 보상 층 및 재료의 제 2 보우 보상 층은 내부 압축 응력을 갖지만, 일부 다른 실시 예들에서, 재료의 제 1 보우 보상 층 및 재료의 제 2 보우 보상 층은 내부 인장 응력을 갖는다.
일 예에서, 암모니아 및 실란은 실리콘 나이트라이드 막을 달성하기 위해 단일 주파수 플라즈마를 점화하는 동안 프로세스 스테이션들로 흐를 수도 있다. 또 다른 예에서, 실란 및 아산화질소는 실리콘 옥사이드 막을 달성하기 위해 프로세스 스테이션으로 흐를 수도 있다.
실란이 예시적인 프로세스 가스로서 본 명세서에 기술되지만, 다른 실리콘-함유 가스들이 TEOS (tetraethyl orthosilicate) 와 같은 실리콘-함유 막들을 증착하는데 사용될 수도 있다는 것을 주의한다. 사용된 실리콘-함유 전구체 및 반응 물질들의 선택뿐만 아니라 플라즈마 타입 (듀얼 주파수 또는 단일 주파수) 및 프로세스 조건들은 증착될 막의 응력에 영향을 줄 수도 있다. 예를 들어, 고 주파수 플라즈마와 같은 단일 주파수 무선 주파수 플라즈마를 사용하여 점화된 암모니아와 실란의 혼합물은 인장 실리콘 나이트라이드 막을 형성할 수도 있지만, 듀얼 주파수 무선 주파수 플라즈마 소스를 사용하여 점화된 암모니아와 실란의 혼합물은 압축성 실리콘 나이트라이드 막을 발생시킬 수도 있다. 부가적으로, 단일 주파수 플라즈마를 사용하여 점화된 아산화질소와 실란의 혼합물은 압축성 실리콘 옥사이드 막을 발생시킬 수도 있다. 인장 실리콘 옥사이드들은 또한 일부 실시 예들에서 TEOS 및 산소를 사용함으로써 형성될 수도 있다.
특정한 개시된 실시 예들에 따라 사용하기에 적합한 실리콘-함유 전구체들은 폴리실란들 (H3Si-(SiH2)n-SiH3) 을 포함하고, 여기서 n ≥ 0이다. 실란의 예들은 실란 (SiH4), 디실란 (Si2H6), 및 유기 실란, 예컨대, 메틸 실란, 에틸 실란, 이소프로필 실란, t-부틸 실란, 디메틸 실란, 디에틸 실란, 디-t-부틸 실란, 알릴 실란, sec-부틸 실란, 텍실 살란, 이소아밀 실란, t-부틸디실란, 디-t-부틸디실란 등이 있다.
할로 실란은 적어도 하나의 할로겐기를 포함하고 수소기 및/또는 탄소기를 포함할 수도 있고 포함하지 않을 수 있다. 할로 실란들의 예들은 요오드 실란들, 브로모 실란들, 클로로 실란들, 및 플루오로 실란들이다. 구체적인 클로로 실란은 테트라클로로 실란, 트리클로로 실란, 디클로로 실란, 모노클로로 실란, 클로로알릴 실란, 클로로메틸 실란, 디클로로메틸 실란, 클로로디메틸 실란, 클로로에틸 실란, t-부틸클로로 실란, 디-t-부틸클로로 실란, 클로로이소프로필 실란, 클로로-sec-부틸 실란, t-부틸디메틸클로로 실란, 텍실디메틸클로로 실란 등이다.
아미노 실란은 실리콘 원자에 결합된 적어도 하나의 질소 원자를 포함하지만, 수소들, 산소들, 할로겐들 및 탄소들도 포함할 수 있다. 아미노 실란의 예들은 모노-아미노 실란, 디-아미노 실란, 트리-아미노 실란 및 테트라-아미노 실란 (각각 H3Si(NH2), H2Si(NH2)2, HSi(NH2)3 및 Si(NH2)4 뿐만 아니라 치환된 모노-아미노 실란, 디-아미노 실란, 트리-아미노 실란 및 테트라-아미노 실란, 예를 들어, t-부틸 아미노 실란, 메틸 아미노 실란, tert-부틸실란아민, 바이(터티아릴 부틸 아미노) 실란 (SiH2(NHC(CH3)3)2 (BTBAS), tert-부틸 실릴카바메이트, SiH(CH3)-(N(CH3)2)2, SiHCl-(N(CH3)2)2, (Si(CH3)2NH)3 등이 있다. 아미노 실란의 추가 예는 트리실릴 아민 (N(SiH3)) 이다.
일부 실시 예들에서, 제 1 기판의 배면 상에 제 1 보우 보상 층 및 재료의 제 2 보우 보상 층을 증착하는 것은 제 1 기판의 보우를 제 1 양만큼 감소시키고, 그리고 제 2 기판의 배면 상에 제 1 보우 보상 층을 증착하는 것은 제 2 기판의 보우를 제 1 양보다 적은 제 2 양만큼 감소시킨다. 일부 예들에서, 이들 배면 증착들 후에, 제 1 기판 및 제 2 기판은 동일하거나 실질적으로 동일한 (예를 들어, 서로 ±10 % 이내의) 보우 양을 가질 수도 있다. 예를 들어, 제 1 기판 및 제 2 기판이 챔버 내로 제공될 때 그리고 임의의 배면 증착이 수행되기 전에, 제 1 기판의 제 1 보우는 -30 ㎛ 내지 +30 ㎛일 수도 있고, 제 2 기판의 제 2 보우는 또한 -30 ㎛ 내지 +30 ㎛일 수도 있지만, 그러나 제 1 보우보다는 작다. 제 1 기판의 배면 상에 제 1 보우 보상 층 및 제 2 보우 보상 층을 증착한 후, 제 1 기판의 보우는 -10 ㎛ 내지 +10 ㎛ 인 보우로 감소될 수도 있고, 그리고 제 1 보우 보상 층을 제 2 기판의 배면 상으로 증착한 후, 제 2 기판의 보우는 또한 -10 ㎛ 내지 +10 ㎛로 감소될 수도 있다. 일부 이러한 실시 예들에서, 상기 언급된 바와 같이, 제 1 기판들의 보우 및 제 2 기판의 보우는 배면 증착들 후 서로 ±10 %, ±5 %, 또는 ±1 % 이내일 수도 있다.
일부 다른 실시 예들에서, 챔버 내로 제공될 때, 제 1 기판의 제 1 보우는 -300 ㎛ 내지 +300 ㎛일 수도 있고, 제 2 기판의 제 2 보우는 -300 ㎛ 내지 +300 ㎛일 수도 있고 제 1 보우보다 작을 수도 있다. 제 1 기판의 배면 상에 제 1 보우 보상 층 및 제 2 보우 보상 층을 증착한 후, 제 1 기판의 보우는 -200 ㎛ 내지 +200 ㎛ 인 보우로 감소될 수도 있고, 그리고 제 1 보우 보상 층을 제 2 기판의 배면 상으로 증착한 후, 제 2 기판의 보우는 또한 -200 ㎛ 내지 +200 ㎛로 감소될 수도 있다. 일부 이러한 실시 예들에서, 상기 언급된 바와 같이, 제 1 기판들의 보우 및 제 2 기판의 보우는 배면 증착들 후 서로 ±10 %, ±5 %, 또는 ±1 % 이내일 수도 있다.
일부 실시 예들에서, 동일한 챔버에서 동일한 시간에 상이한 기판들 상으로 상이한 두께를 갖는 보우 보상 층들의 증착은 다른 기판에 대해서 보다는 상이한 시간 동안 기판 각각의 배면 상으로 보우 보상 층들을 증착함으로써 발생하는 것으로 간주될 수도 있다. 예를 들어, 일 보우 보상 층은 일 기판의 배면 상으로 제 1 시간 동안 증착될 수도 있고 또 다른 보우 보상 층은 제 1 기판 및 제 2 기판 상의 증착이 일정 시간 동안 오버랩하도록 제 1 시간과 상이한 제 2 시간 동안 다른 기판의 배면 상으로 증착될 수도 있다. 도 3a 내지 도 3c는 개시된 실시 예들에 따른 동작들의 기본적인 시퀀스들을 도시한다. 일부 실시 예들에서, 도 3a에 도시된 바와 같이, 2 개의 기판들 상으로 동시에 그리고 오버랩 배면 증착이 있도록 2 개의 기판들 상으로의 배면 증착은 동일 시간에 시작할 수도 있고; 제 2 기판 상의 배면 증착은 일 시간 기간동안, 제 2 기판 상의 동시 배면 증착이 발생하지 않는 동안 제 1 기판 상으로의 배면 증착이 발생하도록, 제 1 기판 상의 배면 증착 전에 중단될 수도 있다. 이는 제 1 기판 상에 재료의 보다 두꺼운 보우 보상 층을 증착한다. 도 3a에서, 스테이션 1에서 제 1 기판 및 스테이션 2에서 제 2 기판 상으로의 배면 증착은 동일한 시간 t1에서 시작되고 그리고 배면 증착은 t1로부터 t2까지의 시간 기간 동안 제 1 기판 및 제 2 기판 상으로의 동시 배면 증착이 발생하도록 시간 t2에서 중단한다. 시간 t2로부터 시간 t3까지, 스테이션 1에서 제 1 기판 상에서만 배면 증착이 발생하고; 이 시간 기간 동안 제 2 기판 상에서는 배면 증착이 발생하지 않는다.
일부 다른 실시 예들에서, 도 3b에 도시된 바와 같이, 일시간 기간 동안 제 1 기판 상으로의 배면 증착이 발생하지만 제 2 기판 상의 동시 배면 증착이 일어나지 않도록, 제 1 기판 상의 배면 증착은 제 2 기판 상의 배면 증착이 시작되기 전에 시작될 수도 있다. 이 증착이 그 시간 기간 동안 발생한 후, 제 1 기판 및 제 2 기판 상의 동시 배면 증착이 제 2 시간 기간 동안 발생하도록 제 1 기판 상의 배면 증착이 계속되는 동안 제 2 기판 상으로의 배면 증착은 시작한다. 일부 실시 예들에서, 이 제 2 시간 기간의 끝에서, 제 1 기판 및 제 2 기판 상으로의 증착은 모두 동일 시간에 중단될 수도 있다. 이 시퀀스는 제 1 기판 상에 재료의 보다 두꺼운 보우 보상 층을 증착한다. 도 3b에서, 프로세스는 시간 t1에서 시간 t2까지 스테이션 1에서 제 1 기판 상으로의 배면 증착만으로 시작되고; 이 시간 기간 동안 제 2 기판 상에서 배면 증착은 발생하지 않는다. t1로부터 t2까지의 시간 기간 후, 시간 t2에서 스테이션 2에서 제 2 기판 상으로의 배면 증착이 시작되는 동안, 스테이션 1에서 배면 증착은 계속된다. 스테이션 1 및 스테이션 2에서 이 동시 증착은 시간 t2로부터 두 배면 증착들이 중단되는 지점인 시간 t3까지 계속된다.
일부 실시 예들에서, 도 3c에 도시된 바와 같이, 제 1 기판 상의 배면 증착은 제 2 기판 상의 배면 증착 전에 시작되고 제 2 기판 상의 배면 증착 후에 종료될 수도 있다. 여기서, 제 1 시간의 기간 동안, 제 1 기판 상으로의 배면 증착이 먼저 발생하는 동안, 제 2 기판 상의 동시 배면 증착이 발생하지 않고, 그 후 제 2 시간 기간 동안 동시 배면 증착이 발생하도록 제 2 기판 상의 배면 증착은 시작한다. 이 동시 증착 시간 후, 제 1 기판 상의 배면 증착이 계속되는 동안 제 2 기판 상의 배면 증착이 중단된다. 이 시퀀스는 또한 제 1 기판 상에 재료의 보다 두꺼운 보우 보상 층을 증착한다. 도 3c에서, 프로세스는 시간 t1에서 시간 t2까지 스테이션 1에서 제 1 기판 상으로 배면 증착만이 시작한다; 이 시간 기간 동안 제 2 기판 상에서 배면 증착은 발생하지 않는다. t1로부터 t2까지의 시간 기간 후, 시간 t2에서 스테이션 2에서 제 2 기판 상으로의 배면 증착이 시작되는 동안, 스테이션 1에서 배면 증착은 계속된다. 스테이션 1 및 스테이션 2에서 이 동시 증착은 시간 t2로부터 스테이션 2에서 제 2 기판 상의 배면 증착이 중단되는 지점인 시간 t3까지 계속되지만, 스테이션 1에서 제 1 기판 상의 배면 증착은 계속된다. 시간 t3으로부터 시간 t4까지, 스테이션 1에서 제 1 기판 상으로의 배면 증착만이 발생하고 제 2 기판 상에서 배면 증착은 발생하지 않는다.
일부 실시 예들에서, 제 1 보우 보상 층을 제 1 기판 및 제 2 기판 상에 동시 증착하는 것은 60 초 내지 2,000 초의 시간 범위 동안 수행될 수도 있다. 제 1 기판의 배면 상에 제 2 보우 보상 층을 증착하는 단계는 60 초 내지 2,000 초의 시간 범위 동안 수행될 수도 있다.
특정한 개시된 실시 예들에서, 배면 보우 보상 층의 증착은 300 ℃보다 높은 기판 온도, 또는 약 300 ℃ 내지 약 550 ℃, 또는 약 300 ℃에서 수행된다. 일부 실시 예들에서 보다 높은 응력을 달성하기 위해 보다 높은 온도들이 사용될 수도 있고, 또는 높은 온도들은 증착된 막의 안정성을 증가시키기 위해 사용될 수도 있다. 일부 실시 예들에서, 샤워헤드 온도는 300 ℃보다 높은 온도, 또는 약 300 ℃내지 약 550 ℃, 또는 약 330 ℃로 설정된다.
상기 주지된 바와 같이, 보우 보상 층 내에 증착된 층들의 수 및/또는 막들의 두께는 또한 막의 웨이퍼 보우에 영향을 줄 수 있다. 예를 들어, 약 -200 ㎛ 내지 약 -300 ㎛의 보우 변화를 달성하기 위해 (예컨대 +1000 ㎛ 내지 +800 ㎛의 휨을 갖는 웨이퍼를 (-200 ㎛의 변화로) 변화시키기 위해), 막은 목표된 보우 변화를 달성하기 위해 10000 내지 15000 의 두께까지 증착될 수 있다. 마찬가지로, 약 +200 ㎛ 내지 약 +300 ㎛의 보우 변화를 달성하기 위해 (예컨대 -400 ㎛ 내지 -200 ㎛의 휨을 갖는 웨이퍼를 (-200 ㎛의 변화로) 변화시키기 위해, 막은 목표된 보우 변화를 달성하도록 6000 내지 약 10000 두께까지 증착될 수 있다.
일부 실시 예들에서, 기법들은 기판의 보우의 측정 및 이 측정에 기초하여, 이 기판의 측정된 보우를 특정한 양만큼 또는 특정한 문턱 값 아래로 감소시키기 위해 요구된 증착 시간의 양의 결정을 포함할 수도 있다. 예를 들어, 기판이 챔버 내로 로딩되기 전 또는 후 그리고 임의의 배면 증착이 수행되기 전에, 기판의 보우는 -30 ㎛로 측정될 수도 있고, 이 측정에 기초하여, 예를 들어, -30 ㎛ 보우를 ± 10 ㎛의 목표된 범위 내로 감소시키는 배면 보우 보상 층을 증착하는데 요구된 시간에 대해 결정이 이루어질 수 있다. 이어서 이 배면 증착은 그 결정된 시간 동안 수행될 수도 있다. 기판의 보우의 측정은 보우 양을 결정하기 위해 카메라들 또는 레이저들과 같은 광학 센서들을 사용할 수도 있는 광학 기법에 의해 수행될 수도 있다. 예를 들어, 웨이퍼의 토포그래피, 즉, 보우는 웨이퍼 상의 복수의 지점들에서 웨이퍼의 Z 높이를 획득하도록 레이저들에 의해 측정될 수 있다. 이 측정은 상대적으로 빠를 수도 있고, 예를 들어, 웨이퍼 당 5 초 미만일 수도 있고, 이는 일부 예들에서 쓰루풋에 부정적인 영향을 주지 않을 수도 있다.
결정은 증착 시간과 시간에 의해 보상된 보우 양 사이의 실험적 또는 계산된 관계에 기초할 수도 있다. 실험적 관계는 이전에 프로세싱된 웨이퍼들의 데이터에 기초할 수도 있고, 증착 파라미터들은 실험적 증착들이 다양한 시간들 동안 수행되는 것을 제외하고는, 일반적으로 기판들 상에 배면 보우 보상 층들을 증착하도록 사용된 증착들과 실험적 증착들 사이에서 균일하다.
실험적인 배면 증착 동안 수집된 (gather) 데이터는 기판 상에 증착된 배면 보우 보상 층의 두께, 보우 보상 층에 의해 유발된 보우의 양, 및 배면 보우 보상 층을 생성하기 위한 증착 시간과 같은 다양한 파라미터들을 포함할 수도 있다. 상기 주지된 바와 같이, 증착이 보다 길게 수행될수록 보우 보상 층이 보다 두꺼워지고 층이 유발하는 보다 많은 보우도 따라서 보상할 수 있도록, 보우의 양은 보우 보상 두께 층 및 증착 시간에 대해 실질적으로 선형으로 가변할 수도 있다. 증착 각각 후에, 보우 보상량을 대응하는 증착 시간과 연관시키는, 보우의 양이 측정되고 이 보우를 생성하기 위해 증착 시간이 공지된다.
증착 시간과 보우 보상량 사이의 관계는 예를 들어 도 4에 도시된 바와 같이 배면 보우 보상 층 증착 시간 대 보우 보상을 플롯팅함으로써 (plot) 데이터에 기초하여 특성화될 수 있다. 도 4의 데이터는 배면 증착이 8 개의 기판들 상에서 수행되는 실험 데이터로 간주될 수도 있다. 4 개의 기판들은 배면 보우 보상 층 증착 타이밍의 개별 스테이션 제어를 갖는 동안, 다른 4 개의 기판들은 동일하고 일정한 배면 보우 보상 층 증착 시간을 갖는다. 개별 스테이션 제어를 위해, 스테이션 1의 제 1 기판은 300 초의 배면 보우 보상 층 증착 (음영된 원) 을 수용하고, 스테이션 2의 제 2 기판은 250 초의 배면 보우 보상 층 증착 (음영된 직사각형) 을 수용하고, 스테이션 3의 제 3 기판은 200 초의 배면 보우 보상 층 증착 (음영된 삼각형) 을 수용하고, 스테이션 4의 제 4 기판은 150 초의 배면 보우 보상 층 증착 (음영된 다이아몬드형) 을 수용하였다. 알 수 있는 바와 같이, 가장 긴 배면 보우 보상 층 증착인 300 초는 가장 큰 보우 양, 따라서 대략 195 ㎛ 인 보우 보상 양 뿐만 아니라 가장 큰 층 두께, 대략 2400 를 발생시키는 반면에, 가장 짧은 배면 보우 보상 층 증착인 150 초는 최소 보우 양, 따라서 대략 155 ㎛인 가장 작은 보우 보상 양 뿐만 아니라 대략 1200 의 가장 작은 두께를 발생시킨다. 이에 따라, 이 데이터는 증착 시간, 보우 양 및 보상, 및 배면 보우 보상 층 두께 사이의 단조로운 관계를 도시한다. 비교하여, 동일한 250 초의 배면 보우 보상 층 증착을 수용한 4 개의 기판들은 선형 프로파일들에서 알 수 있는 바와 같이 실질적으로 동일한 보우 보상 두께 양들을 발생시킨다. 또한 도 4에서 알 수 있는 바와 같이, 상이한 보우 보상 층 증착 시간들은 유리하게 응력 및 반사 지수 (RI) 의 전면 막 속성들을 유지하면서 웨이퍼 상의 보우 보상의 상이한 양을 제어한다.
측정된 보우 양들의 데이터는, 도 4에서와 같이, 배면 증착 시간과 발생되는 (resulting) 보우 보상량 사이의 관계를 전개하도록 (develop) 사용될 수도 있다. 예를 들어, 모델링은 측정된 보우 양과 증착 시간 사이의 관계를 정량화하도록 사용될 수도 있다. 예를 들어, 기판을 프로세싱하는 동안 증착에 대한 보우 양의 변화를 결정하기 위해 측정된 보우 양 및 증착 시간 데이터에 다항식 피팅 또는 회귀가 적용될 수도 있다. 일부 실시 예들에서, 도 4에서와 같이, 독립 변수는 증착 시간일 수도 있고 종속 변수는 측정된 보우 양일 수도 있다. 다항식 피트는 1 차 다항식, 즉, 선형 방정식일 수도 있고, 또한 증착 시간으로서 x 및 측정된 보우 양으로서 y를 갖는 와 같은 2 차 다항식 또는 3 차 다항식일 수도 있다. 이 데이터에 피팅 또는 회귀를 적용하는 것은 피팅의 상수들, 예컨대 본 명세서의 예시적인 방정식의 β3, β2, β1, β0와 같은 값들을 리턴할 수도 있다.
일단 보우 양, 즉 증착된 배면 보우 보상 층에 의해 유발된 보우 양과 증착 시간 사이의 관계가 획득되면, 다른 기판들의 측정된 보우에 대한 구체적인 증착 시간이 획득될 수 있다. 기판 상의 측정된 보우 양을 아는 것에 의해, 실험적 보우 양과 증착 시간 사이의 관계는 측정된 보우 양을 감소시키는데 요구된 증착 시간을 결정하도록 사용될 수 있다.
도 5는 보우 양을 측정하고 해당 기판에 대한 배면 보우 보상 층 증착 시간을 결정하기 위한 예시적인 기법에 대한 플로우차트를 도시한다. 동작 502에서, 제 1 보우 양이 제 1 기판 상에서 측정되고 그리고 동작 504에서, 측정된 제 1 보우 양에 기초하여, 측정된 제 1 보우 양을 제 1 문턱 값 미만으로 감소시킬 수 있는 배면 보우 보상 층에 대한 제 1 증착 시간의 결정이 이루어진다. 유사하게, 동작 506은 제 2 기판 상의 제 2 보우 양을 측정하고 그리고 동작 508에서, 측정된 제 2 보우 양에 기초하여, 측정된 제 2 보우 양을 제 1 문턱 값 미만으로 감소시킬 수 있는 배면 보우 보상 층에 대한 제 2 증착 시간의 결정이 이루어진다. 일부 실시 예들에서, 측정된 제 1 보우 양은 측정된 제 2 보우 양보다 크다. 동작 510 및 동작 512에서 기판들은 상기 기술된 바와 같이 각각의 스테이션들에 제공된다.
동작 504 및 동작 508의 결정에서, 일단 공지된, 측정된 보우 양이 결정되면, 이 값은 (i) 문턱 값 미만을 포함한, 측정된 보우를 특정한 양만큼 감소시키기 위해 요구된 증착 시간량과, (ii) 감소될 보우의 양을 나타내는 변수 사이의 관계에 적용될 수도 있다. 이들 값들은 예컨대 계산적으로 및/또는 실험/캘리브레이션 배치 (batch) 에서와 같은, 배치의 프로세싱 전에 결정될 수도 있다. 공지된 양의 보우, 또는 이로부터 유도된 파라미터가 적용된 관계는 상기 기술된 바와 같이 유도된 다항식 피트와 같은 1차 다항식을 포함하는 다항식 관계일 수도 있다. 이 관계는 측정된 보우 양이 주어진 결정된 증착 시간을 리턴한다.
동작 514 및 동작 516에서, 배면 보우 보상 층들은 제 1 기판이 제 2 기판보다 긴 배면 증착을 수용하도록 상이한, 결정된 시간들 동안 제 1 기판 및 제 2 기판 상에 증착된다. 제 2 기판에 대한 배면 증착 시간이 제 1 기판에 대한 배면 증착 시간보다 짧기 때문에, 두 기판들 상의 동시 증착은 동작 514에서 제공된 바와 같이 제 2 증착 시간 동안에만 수행된다. 제 1 기판의 배면 증착은 제 1 증착 시간과 제 2 증착 시간 사이의 차인 부가적인 시간 동안 수행된다. 예를 들어, 제 1 증착 시간이 300 초이고, 제 2 증착 시간이 250 초이면, 동작 514의 동시 증착은 250 초 동안 수행될 수도 있고, 동작 516의 제 1 기판 상에만 배면 증착이 50초 동안 수행될 수도 있으며, 이에 의해 제 1 기판은 총 300 초 (250 초 + 50 초) 의 총 제 1 증착 시간을 수용하고 제 2 기판은 250 초의 제 2 증착 시간만을 수용한다.
일부 실시 예들에서, 웨이퍼들의 보우 측정들은 유사한 보우들을 갖는 보우 보상 증착을 위해 웨이퍼들을 선택하기 위한 후속 프로세싱에서 사용되는 실험 세트 또는 캘리브레이션 세트에서 수행될 수도 있다. 예를 들어, 복수의 웨이퍼들은 보우 보상 증착 전에, 또는 업스트림에서 프로세싱될 수도 있고, 이 복수의 웨이퍼들 모두는 그 프로세싱 후에 이들의 보우들을 결정하도록 측정될 수도 있다. 이어서, 어느 웨이퍼들이 서로 유사한 보우들을 갖는지에 대한 결정들이 이루어질 수도 있고, 예컨대, 일 웨이퍼가 모든 다른 웨이퍼들의 특정한 문턱 값 내에 있는지 여부를 결정한다. 이들 결정들에 기초하여, 서로 유사하거나 문턱 값 내에 있는 보우들을 갖는 웨이퍼들은 멀티-스테이션 챔버 내에서 동시 보우 보상 증착 프로세싱을 위해 함께 그룹화될 수도 있다. 예를 들어, 복수의 웨이퍼들은 전면 프로세싱을 겪고 본 명세서에 기술된 배면 보우 보상 증착 이전에 이들의 보우를 결정하도록 모두 측정되는 10 개의 웨이퍼들을 가질 수도 있다. 이 측정 후에, 가장 유사한 보우를 갖는 다른 웨이퍼들과 함께 웨이퍼들을 그룹화하기 위해 웨이퍼들 각각 상의 보우가 복수의 다른 웨이퍼들과 얼마나 유사한 지에 대한 결정들이 이루어진다. 이어서 이들 그룹화된 웨이퍼들은 동일한 챔버에서 동일 시간에 배면 보우 보상 증착을 수용할 수도 있다. 예를 들어, 제 4 스테이션 멀티-스테이션 배면 증착 챔버에 대해, 웨이퍼들 (1, 5, 8, 및 10) 은 서로 가장 가까운 보우들을 가질 수도 있고 따라서 함께 그룹화될 수도 있고 동시에 동일한 챔버 내에서 배면 보우 보상 증착을 수용할 수도 있다. 이는 쓰루풋을 더 증가시킬 수도 있다.
본 명세서에 기술된 기법들 및 장치들은 수많은 이점들을 제공한다. 쓰루풋은 이들 기판들을 개별로 프로세싱하는 대신, 동일 시간에 동일한 챔버 내에서 상이한 보우 양들을 갖는 웨이퍼들을 프로세싱할 수 있게 함으로써 개선된다. 웨이퍼들은 또한 후속하여 프로세싱될 웨이퍼들을 위해 적절한 양의 배면 보우 보상 재료를 수용한다.
장치
개시된 실시 예들은 임의의 적합한 장치 또는 툴에서 수행될 수도 있다. 장치 또는 툴은 하나 이상의 프로세스 스테이션들을 포함할 수도 있다. 일부 실시 예들에서 사용될 수도 있는 예시적인 프로세스 스테이션 및 툴이 이하에 기술된다.
도 6은 저압 분위기를 유지할 수 있고 기판 상으로 보우 보상 층들의 배면 증착을 수행할 수 있는 프로세스 챔버 바디 (602) 를 갖는 PECVD (plasma-enhanced chemical vapor deposition) 프로세스 스테이션 (600) 의 실시 예의 개략적인 예시를 도시한다. 복수의 PECVD 프로세스 스테이션들 (600) 은 공통의 저압 프로세스 툴 분위기에 포함될 수도 있다. 예를 들어, 도 7은 동일 시간에 동일한 챔버 내에 있는 복수의 기판들 상으로 보우 보상 층들의 배면 증착을 수행할 수 있는 멀티-스테이션 프로세싱 툴 (700) 의 실시 예를 도시한다. 일부 실시 예들에서, 이하에 상세히 논의된 것들을 포함하는 PECVD 프로세스 스테이션 (600) 의 하나 이상의 하드웨어 파라미터들은 하나 이상의 컴퓨터 제어기들 (650) 에 의해 프로그래밍 방식으로 조절될 수 있다.
PECVD 프로세스 스테이션 (600) 은 분배 샤워헤드 (606) 로 프로세스 가스들을 딜리버링하기 위해 가스 딜리버리 시스템 (리버링한a) 과 유체 연통한다. 반응 물질 딜리버리 시스템 (601a) 은 샤워헤드 (606) 로의 딜리버리를 위해 프로세스 가스들을 블렌딩 및/또는 컨디셔닝하기 위한 혼합 용기 (604) 를 포함한다. 기판 상에 보우 보상 층을 증착하도록 사용된 것과 같은 프로세스 가스들은 반응 물질 딜리버리 시스템 (601a) 을 사용하여 샤워헤드 (606) 를 통해 프로세스 챔버 바디 (602) 로 딜리버링될 수도 있다. 일부 실시 예들에서, 반응성 종은 반응 물질 딜리버리 시스템 (601a) 을 사용하여 딜리버링될 수도 있다. 하나 이상의 혼합 용기 유입구 밸브들 (620) 은 혼합 용기 (604) 로의 프로세스 가스들의 도입을 제어할 수도 있다. 이들 밸브들은 다양한 동작들 동안 가스가 턴온될 수도 있는지 여부에 따라 제어될 수도 있다. 상기 언급된 바와 같이, 밸브들 (620) 은 툴 (700) 의 캠버 내의 스테이션 각각을 포함하는, 장치의 스테이션들 각각으로 전구체를 포함하는 가스들의 플로우를 제어하도록 사용될 수도 있다. 예를 들어, 밸브 (620A) 가 폐쇄될 때, 전구체는 프로세싱 스테이션으로 흐르지 않을 수도 있고 밸브 (620A) 가 개방될 때, 전구체는 프로세싱 스테이션으로 흐르도록 허용될 수도 있다. 부가적으로, 질량 유량 제어기일 수도 있는 플로우 제어 엘리먼트 (621) 는 프로세스 가스들로 하여금 프로세싱 스테이션으로 흐르게 할 수도 있다.
일부 실시 예들에서, 액체 반응 물질이 사용되지 않을 수도 있다는 것을 주의한다. 그러나, 일부 실시 예들에서, 액체 반응 물질은 본 명세서에 기술된 바와 같이 인장 막 또는 압축성 막을 형성하도록 사용될 수도 있다. 예로서, 도 7의 실시 예는 혼합 용기 (604) 로 공급될 액체 반응 물질을 기화하기 위한 기화 지점(603) 을 포함한다. 일부 실시 예들에서, 기화 지점 (603) 은 가열된 기화기일 수도 있다. 이러한 기화기들로부터 생성된 포화된 반응 물질 증기는 다운스트림의 딜리버리 파이프에서 응결될 수 있다. 응결된 반응 물질에 대한 양립할 수 없는 가스들의 노출은 작은 입자들을 생성할 수 있다. 이들 작은 입자들은 파이프를 막고, 밸브 작동을 방해하고, 기판 오염시키는, 등을 할 수도 있다. 이들 문제들을 해결하기 위한 일부 접근법들은 반응 물질을 기화하기 전 또는 기화한 후에 잔류 반응 물질을 제거하도록 딜리버리 파이프를 퍼징 (purging) 및/또는 배기하는 것을 포함한다. 그러나, 딜리버리 파이프를 퍼지하는 것은 프로세스 스테이션 사이클 시간을 증가시킬 수도 있고, 프로세스 스테이션 쓰루풋을 저하시킨다. 따라서, 일부 실시 예들에서, 기화 지점 (603) 다운스트림의 딜리버리 파이프는 열 추적될 수도 있다. 일부 예들에서, 혼합 용기 (604) 는 또한 열 추적될 수도 있다. 일 비제한적인 예에서, 기화 지점 (603) 다운스트림 파이프는 혼합 용기 (604) 에서 대략 100 ℃로부터 대략 150 ℃로 연장하는 상승 온도 프로파일을 갖는다.
일부 실시 예들에서, 실리콘-함유 전구체와 같은 액체 전구체 또는 액체 반응 물질은 액체 주입기에서 기화될 수도 있다. 예를 들어, 액체 주입기는 혼합 용기의 업스트림에서 캐리어 가스 스트림 내로 액체 반응 물질의 펄스들을 주입할 수 있다. 일 실시 예에서, 액체 주입기는 보다 높은 압력으로부터 보다 낮은 압력으로 액체를 플래싱 (flashing) 함으로써 반응 물질을 기화시킬 수도 있다. 또 다른 예에서, 액체 주입기는 가열된 딜리버리 파이프에서 후속하여 기화되는 분산된 마이크로 액적들 (microdroplets) 로 액체를 원자화할 수도 있다. 작은 액적들은 큰 액적들보다 빠르게 기화될 수 있어, 액체 주입과 완전한 기화 사이의 지연을 감소시킨다. 보다 빠른 기화는 기화 지점 (603) 으로부터 다운스트림의 파이프의 길이를 감소시킬 수 있다. 일 시나리오에서, 액체 주입기는 혼합 용기 (604) 에 직접 장착될 수 있다. 또 다른 시나리오에서, 액체 주입기는 샤워헤드 (606) 에 직접 장착될 수 있다.
일부 실시 예들에서, 기화 지점 (603) 의 업스트림에 액체 유량 제어기 (liquid flow controller; LFC) (미도시) 가 기화 및 프로세스 스테이션 (600) 으로의 딜리버리를 위해 액체의 질량 유량 (mass flow) 을 제어하기 위해 제공될 수도 있다. 예를 들면, LFC는 LFC의 다운스트림에 위치된 열적 질량 유량계 (mass flow meter; MFM) 를 포함할 수도 있다. LFC의 플런저 밸브는 이어서 MFM과 전기 통신하는 PID (proportional-integral-derivative) 제어기에 의해 제공된 피드백 제어 신호들에 응답하여 조정될 수도 있다. 그러나, 피드백 제어를 사용하여 액체 플로우를 안정화하는데 1 초 이상 걸릴 수도 있다. 이는 액체 반응 물질을 흘리기 위한 시간을 연장할 수도 있다. 따라서, 일부 실시 예들에서, LFC는 피드백 제어 모드와 직접 제어 모드 사이에서 동적으로 스위칭될 수도 있다. 일부 실시 예들에서, 이는 LFC 및 PID 제어기의 센스 튜브를 디스에이블링함으로써 (disabling) 수행될 수도 있다.
샤워헤드 (606) 는 기판 (612) 을 향해 가스들을 분배한다. 예를 들어, 샤워헤드 (606) 는 실리콘-함유 가스들 및/또는 산소-함유 가스 또는 질소-함유 가스와 같은 다양한 동작들에서 기판 (612) 의 배면에 보우 보상 층을 증착하기 위한 프로세스 가스들을 분배할 수도 있다. 도 6에 도시된 실시 예에서, 기판 (612) 은 샤워헤드 (606) 아래에 위치되고 페데스탈 (608) 상에 놓인 것으로 도시된다. 페데스탈 (608) 은 에지들에 의해 웨이퍼를 홀딩하기 위한 웨이퍼 홀더들 및 웨이퍼의 배면으로 가스들을 딜리버링하기 위한 하단 샤워헤드 (미도시) 를 포함할 수도 있다. 샤워헤드 (606) 는 임의의 적합한 형상을 가질 수도 있고, 기판 (612) 에 프로세스 가스들을 분배하기 위한 임의의 적합한 수 및 배열의 포트들을 가질 수도 있다.
또 다른 시나리오에서, 페데스탈 (608) 의 높이를 조정하는 것은 웨이퍼와 하단 샤워헤드 사이의 플라즈마 밀도가 가변되도록 플라즈마 밀도가 개시된 프로세스들 동안 가변되게 할 수도 있다. 예를 들어, 플라즈마는 프로세스 가스들이 챔버 바디 (602) 로 흐를 때 활성화될 수도 있다. 프로세스의 종료시, 페데스탈 (608) 은 페데스탈 (608) 로부터 기판 (612) 의 제거를 허용하도록 또 다른 기판 전달 페이즈 (phase) 동안 하강될 수도 있다.
일부 실시 예들에서, 샤워헤드 (606) 및 페데스탈 (608) 은 플라즈마에 전력을 공급하기 위해 무선 주파수 (RF) 전력 공급부 (614) 및 매칭 네트워크 (616) 와 전기적으로 통신한다. 일부 실시 예들에서, 플라즈마 에너지는 프로세스 스테이션 압력, 가스 농도들 및 가스들의 부분 압력들 또는 가스 플로우 레이트들, RF 소스 전력, 및 RF 소스 주파수 중 하나 이상을 제어함으로써 제어될 수도 있다. 예를 들면, RF 전력 공급부 (614) 및 매칭 네트워크 (616) 는 목표된 조성의 라디칼 종을 갖는 플라즈마를 형성하도록 임의의 적합한 전력으로 동작될 수도 있다. 유사하게, RF 전력 공급부 (614) 는 임의의 적합한 주파수의 RF 전력을 제공할 수도 있다. 일부 실시 예들에서, RF 전력 공급부 (614) 는 고주파수 RF 전력 소스 및 저주파수 RF 전력 소스를 서로 독립적으로 제어하도록 구성될 수도 있다. 예시적인 저주파 RF 주파수들은 이에 제한되지 않지만, 0 ㎑ 내지 500 ㎑의 주파수들을 포함할 수 있다. 예시적인 고주파 RF 주파수들은, 이로 제한되는 것은 아니지만, 1.8 ㎒ 내지 2.45 ㎓, 또는 약 13.56 ㎒ 초과, 또는 27 ㎒ 초과, 또는 40 ㎒ 초과, 또는 60 ㎒ 초과의 주파수들을 포함할 수도 있다. 임의의 적합한 파라미터들은 보우 보상 층을 증착하기 위한 반응들을 위해 플라즈마 에너지를 제공하도록 이산적으로 또는 계속적으로 조절될 수도 있다는 것이 이해될 것이다.
일부 실시 예들에서, 플라즈마는 하나 이상의 플라즈마 모니터들에 의해 인-시츄 (in-situ) 로 모니터링될 수도 있다. 일 시나리오에서, 플라즈마 전력은 하나 이상의 전압, 전류 센서들 (예를 들어, VI 프로브들) 에 의해 모니터링될 수도 있다. 또 다른 시나리오에서, 플라즈마 밀도 및/또는 프로세스 가스 농도는 하나 이상의 광학 발광 분석기 센서들 (optical emission spectroscopy sensors; OES) 에 의해 측정될 수도 있다. 일부 실시 예들에서, 하나 이상의 플라즈마 파라미터들은 이러한 인-시츄 플라즈마 모니터들로부터의 측정들에 기초하여 프로그래밍 방식으로 조정될 수도 있다. 예를 들어, OES 센서는 플라즈마 전력의 프로그래밍적인 제어를 제공하기 위한 피드백 루프에서 사용될 수도 있다. 일부 실시 예들에서, 플라즈마 및 다른 프로세스 특성들을 모니터링하기 위해 다른 모니터들이 사용될 수도 있다는 것이 이해될 것이다. 이러한 모니터들은 이에 제한되는 것은 아니지만, 적외선 (IR) 모니터들, 음향 모니터들, 및 압력 변환기들을 포함할 수도 있다.
일부 실시 예들에서, 제어기 (650) 에 대한 인스트럭션들은 입력부/출력부 제어 (input/output control; IOC) 시퀀싱 인스트럭션들을 통해 제공될 수도 있다. 일 예에서, 프로세스 페이즈에 대한 조건들을 설정하기 위한 인스트럭션들은 프로세스 레시피의 대응하는 레시피 페이즈에 포함될 수도 있다. 일부 경우들에서, 프로세스 레시피 페이즈들은 프로세스 페이즈에 대한 모든 인스트럭션들이 그 프로세스 페이즈와 동시에 실행되도록 순차적으로 배열될 수도 있다. 일부 실시 예들에서, 하나 이상의 반응기 파라미터들을 설정하기 위한 인스트럭션들은 레시피 페이즈에 포함될 수도 있다. 예를 들어, 제 1 레시피 페이즈는 하나 이상의 가스들 (예를 들어, 실리콘-함유 가스 및 질소-함유 가스) 의 플로우 레이트를 설정하기 위한 인스트럭션들, 및 제 1 레시피 페이즈에 대한 시간 지연 인스트럭션들을 포함할 수도 있다. 제 2, 후속 레시피 페이즈는 퍼지 가스의 플로우 레이트를 설정하기 위한 인스트럭션들 및 제 2 레시피 페이즈에 대한 시간 지연 인스트럭션들을 포함할 수도 있다. 대안적으로, 제 3 레시피 페이즈는 하나 이상의 가스들 (예를 들어, 실리콘-함유 가스 및 산소-함유 가스) 의 플로우 레이트를 설정하기 위한 인스트럭션들, 및 제 3 레시피 페이즈에 대한 시간 지연 인스트럭션들을 포함할 수도 있다. 이들 레시피 페이즈들은 본 개시의 범위 내에서 임의의 적합한 방식으로 더 세분되고 그리고/또는 반복될 수도 있다는 것이 이해될 것이다. 제어기 (650) 는 또한 도 7의 제어기 (750) 에 대해 이하에 기술된 임의의 특징 (feature) 들을 포함할 수도 있다.
일부 실시 예들에서, 페데스탈 (608) 은 히터 (610) 를 통해 온도 제어될 수도 있다. 히터 (610) 는 기판을 어닐링하도록 사용될 수도 있다. 예를 들어, 일부 실시 예들에서, 어닐링 동안, 히터 (610) 는 적어도 약 450 ℃의 온도로 설정될 수도 있다. 또한, 일부 실시 예들에서, 프로세스 스테이션 (600) 을 위한 압력 제어는 버터플라이 밸브 (618) 에 의해 제공될 수 있다. 도 6의 실시 예에 도시된 바와 같이, 버터플라이 밸브 (618) 는 다운스트림 진공 펌프 (미도시) 에 의해 제공된 진공을 쓰로틀한다 (throttle). 그러나, 일부 실시 예들에서, 프로세스 스테이션 (600) 의 압력 제어는 또한 프로세스 스테이션 (600) 에 도입된 하나 이상의 가스들의 플로우 레이트를 가변시킴으로써 조정될 수도 있다.
상기 기술된 바와 같이, 하나 이상의 프로세스 스테이션들은 툴의 멀티-스테이션 챔버의 스테이션 각각에서 기판들 상으로 보우 보상 층들의 배면 증착을 수행하기 위해 멀티-스테이션 프로세싱 툴에 포함될 수도 있다. 도 7은 하나 또는 모두가 리모트 플라즈마 소스를 포함할 수도 있는, 인바운드 로드 록 (inbound load lock) (702) 및 아웃바운드 로드 록 (outbound load lock) (704) 을 갖는 멀티-스테이션 프로세싱 툴 (700) 의 실시 예의 개략도를 도시한다. 대기압의 로봇 (706) 은 카세트로부터 포드 (pod) (708) 를 통해 로딩된 웨이퍼들을 대기 포트 (미도시) 를 통해 인바운드 로드 록 (702) 내로 이동시키도록 구성된다. 웨이퍼 또는 기판은 로봇 (706) 에 의해 인바운드 로드 록 (702) 내의 페데스탈 (712) 상에 배치되고, 대기 포트는 폐쇄되고, 로드 록 (702) 은 펌핑 다운된다. 인바운드 로드 록 (702) 이 리모트 플라즈마 소스를 포함하면, 웨이퍼는 프로세싱 챔버 (714a) 와 같은 프로세싱 챔버들 중 하나로 도입되기 이전에 로드 록 내의 리모트 플라즈마 처리에 노출될 수도 있다. 또한, 웨이퍼는 또한, 예를 들어, 수분 및 흡착 가스를 제거하기 위해, 인바운드 로드 록 (702) 내에서 가열될 수도 있다. 다음으로, 프로세싱 챔버 (714a) 로의 챔버 운송 포트 (716) 가 개방되고, 또 다른 로봇 (726) 이 프로세싱을 위해 반응기 내에 도시된 프로세싱 챔버 (714a) 의 제 1 스테이션 (1로 라벨링된) 의 페데스탈 (718) 상의 반응기 내로 웨이퍼를 배치한다. 도 7에 도시된 실시 예가 로드 록들을 포함하지만, 일부 실시 예들에서, 웨이퍼의 프로세스 스테이션으로의 직접적인 진입이 제공될 수도 있다는 것이 이해될 것이다.
프로세싱 챔버 (714a) 와 같은 도시된 프로세싱 챔버들 각각은 4 개의 프로세스 스테이션들을 포함한다. 스테이션 각각은 가열된 페데스탈 및 가스 라인 유입구들을 갖는다. 일부 실시 예들에서, 프로세스 스테이션 각각은 상이하거나 복수의 목적들을 가질 수도 있다는 것이 이해될 것이다. 예를 들어, 프로세스 스테이션은 일부 실시 예들에서 PECVD에 의한 인장 재료 또는 압축성 재료를 포함할 수도 있는 배면 보우 보상 층들을 증착하도록 사용될 수도 있다. 도시된 프로세싱 챔버 (714a) 가 4 개의 스테이션들을 포함하지만, 특정한 개시된 실시 예들에 따른 프로세싱 챔버는 임의의 적합한 수의 스테이션들을 가질 수도 있다는 것이 이해될 것이다. 예를 들면, 일부 실시 예들에서, 프로세싱 챔버는 5 개 이상의 스테이션들을 가질 수도 있는 동안, 다른 실시 예들에서 프로세싱 챔버는 3 개 이하의 스테이션들을 가질 수도 있다. 부가적으로, 도시된 프로세싱 툴 (700) 이 3 개의 프로세싱 챔버들 (714a, 714b, 및 714c) 을 갖지만, 특정한 개시된 실시 예들에 따른 프로세싱 툴은 임의의 적합한 수의 프로세싱 챔버들을 가질 수도 있다는 것이 이해될 것이다.
도 7은 도 6과 유사하게, 툴 (700) 은 툴 내의 스테이션 각각으로 가스를 딜리버링하도록 구성된 가스 딜리버리 시스템을 포함한다. 간략함을 위해, 가스 딜리버리 시스템 (701a) 은 챔버 (714a) 내의 4 개의 스테이션들에 대한 전구체 소스들 및 유체 연결부들, 즉, 유체 도관들을 포함하는 것으로 도시된다. 여기서, 툴 (700) 은 스테이션 각각에서 증착을 개별로 제어하기 위해 스테이션 (714a) 내의 프로세싱 챔버 각각으로의 가스 플로우의 개별 스테이션-대-스테이션 제어를 가할 수 있다. 하나 이상의 밸브들은 스테이션 각각으로의 가스들의 플로우를 제어할 수도 있다. 예시된 바와 같이, 밸브 (720A) 는 스테이션 1로의 전구체의 플로우를 제어하고, 밸브 (720B) 는 스테이션 2로의 전구체의 플로우를 제어하고, 밸브 (720C) 는 스테이션 3으로의 전구체의 플로우를 제어하고, 밸브 (720D) 는 스테이션 4로의 전구체의 플로우를 제어한다. 이들 밸브들 각각은 개방되고, 전구체는 각각의 스테이션으로 흐르도록 허용되고, 반대로, 밸브 각각이 폐쇄될 때, 전구체는 각각의 스테이션으로 흐르도록 허용되지 않는다. 이에 따라, 가스 딜리버리 시스템 (701a) 은 배면 증착이 상이한 시간량들 동안 챔버 (714a) 내 스테이션 각각에서 발생할 수 있도록 스테이션 각각으로 전구체의 플로우를 제어할 수 있다.
일부 실시 예들에서, 가스 딜리버리 시스템은 다른 방식들로 스테이션-대-스테이션 플로우 제어를 가할 수도 있다. 예를 들어, 이는 스테이션 각각에 대한 개별의 MFC들 및 스테이션 각각으로의 가스 플로우가 제어되게 하는 스테이션 각각에 대한 조정 가능한 오리피스들을 포함할 수도 있다. 이 제어는 개별 스테이션 각각으로의 가스 플로우의 시작 및 중단뿐만 아니라, 스테이션 각각으로의 플로우 레이트의 제어를 포함한다. 일부 이러한 실시 예들에서, 스테이션 각각은 해당 단일 스테이션으로 가스를 흐르게 하는 스테이션 자체의 대응하는 MFC를 포함할 수도 있고 기술된 기법들은 MFC들로 하여금 스테이션 각각으로 가스를 흘리거나 흘리지 않게 함으로써 스테이션 각각으로의 전구체의 플로우를 시작할 수도 있고 중단시킬 수도 있다. 예를 들어, 장치는 제 1 스테이션으로 가스를 흘리도록 유체적으로 연결되고 구성된 제 1 MFC 및 제 2 스테이션으로 가스를 흘리도록 구성된 제 2 MFC를 포함할 수도 있고, 도 1의 동작 106은 제 1 MFC 및 제 2 MFC로 하여금 제 1 스테이션 및 제 2 스테이션으로 가스를 동시에 흘리게 함으로써 시작할 수도 있다. 동작 106은 제 2 MFC로 하여금 제 2 스테이션으로의 가스 흘림을 중단하게 하는 반면에 동시에 제 1 MFC로 하여금 제 1 스테이션으로의 가스 흘림을 계속하게 함으로써 종료될 수도 있고 따라서 동작 108을 같은 시기에 시작하며; 동작 108 동안, 제 2 MFC, 또는 또 다른 다른 MFC는 가스로 하여금 제 2 스테이션으로 흐르게 하지 않는다. 동작 108은 제 1 MFC로 하여금 제 1 스테이션으로 가스를 흘리게 하는 것을 중단하게 함으로써 종료될 수도 있다.
도 7은 프로세싱 챔버 (714a) 내에 웨이퍼들을 전달하기 위한 웨이퍼 핸들링 시스템 (790) 의 실시 예를 도시한다. 일부 실시 예들에서, 웨이퍼 핸들링 시스템 (790) 은 다양한 프로세스 스테이션들 사이에 그리고/또는 프로세스 스테이션과 로드 록 사이에 웨이퍼들을 전달할 수도 있다. 임의의 적합한 웨이퍼 핸들링 시스템이 채용될 수도 있다는 것이 이해될 것이다. 비제한적인 예들은 웨이퍼 캐러셀들 (carousels) 및 웨이퍼 핸들링 로봇들을 포함한다. 도 7은 또한 프로세스 툴 (700) 의 프로세스 조건들 및 하드웨어 상태들을 제어하도록 채용된 시스템 제어기 (750) 의 실시 예를 도시한다. 시스템 제어기 (750) 는 하나 이상의 메모리 디바이스들 (756), 하나 이상의 대용량 저장 디바이스들 (754), 및 하나 이상의 프로세서들 (752) 을 포함할 수도 있다. 프로세서 (752) 는 CPU 또는 컴퓨터, 아날로그 및/또는 디지털 입력/출력 연결부들, 스텝퍼 모터 제어기 보드들, 등을 포함할 수도 있다.
일부 실시 예들에서, 시스템 제어기 (750) 는 프로세스 툴 (700) 의 모든 액티비티들을 제어한다. 시스템 제어기 (750) 는 대용량 저장 디바이스 (754) 에 저장되고, 메모리 디바이스 (756) 내로 로딩되고, 프로세서 (752) 상에 실행되는 시스템 제어 소프트웨어 (758) 를 실행한다. 대안적으로, 제어 로직은 제어기 (750) 내에서 하드 코딩될 수도 있다. ASIC (Applications Specific Integrated Circuits), PLD (Programmable Logic Devices) (예를 들어, 필드-프로그래밍 가능한 게이트 어레이들, 또는 FPGA들) 등이 이들 목적들을 위해 사용될 수도 있다. 이하의 논의에서, "소프트웨어" 또는 "코드"가 사용될 때마다, 기능적으로 유사한 하드 코딩된 로직이 그 대신 사용될 수도 있다. 시스템 제어 소프트웨어 (758) 는 프로세스 챔버 내외로 웨이퍼들의 전달을 제어하기 위한 인스트럭션, 프로세스 챔버 내에서 웨이퍼들을 회전시키는 인스트럭션, 프로세스 챔버 내에서 웨이퍼들을 샤워헤드와 정렬시키는 인스트럭션, 프로세스 챔버 내외로 웨이퍼들의 전달 인스트럭션, 샤워헤드의 특정한 영역들로부터의 가스들의 타이밍, 가스들의 혼합물, 샤워헤드의 특정한 영역들로부터의 가스 플로우의 양, 챔버 및/또는 스테이션 압력, 샤워헤드의 특정한 영역들로부터의 배면 가스 플로우 압력, 챔버 및/또는 반응기 온도, 웨이퍼 온도, 바이어스 전력, 타깃 전력 레벨들, RF 전력 레벨들 및 (단일 주파수 또는 듀얼 주파수 또는 고 주파수 또는 저 주파수와 같은) 타입리버링한페데스탈, 척 및/또는 서셉터 (susceptor) 위치, 및 프로세스 툴 (700) 에 의해 수행된 특정한 프로세스의 다른 파라미터들을 포함할 수도 있다. 시스템 제어 소프트웨어 (758) 는 임의의 적합한 방식으로 구성될 수도 있다. 예를 들면, 다양한 프로세스 툴 컴포넌트 서브루틴들 (subroutines) 또는 제어 객체들은 다양한 프로세스 툴 프로세스들을 수행하도록 사용된 프로세스 툴 컴포넌트들의 동작을 제어하도록 작성될 수도 있다. 시스템 제어 소프트웨어 (758) 는 임의의 적합한 컴퓨터 판독 가능 프로그래밍 언어로 코딩될 수도 있다.
일부 실시 예들에서, 시스템 제어 소프트웨어 (758) 는 상기 기술된 다양한 파라미터들을 제어하기 위한 IOC (input/output control) 시퀀싱 인스트럭션들을 포함할 수도 있다. 시스템 제어기 (750) 와 연관된 대용량 저장 디바이스 (754) 및/또는 메모리 디바이스 (756) 상에 저장된 다른 컴퓨터 소프트웨어 및/또는 프로그램들이 일부 실시 예들에서 채용될 수도 있다. 이러한 목적을 위한 프로그램들 또는 프로그램들의 섹션들의 예들은 기판 포지셔닝 프로그램, 프로세스 가스 제어 프로그램, 압력 제어 프로그램, 히터 제어 프로그램, 정전 척 전력 제어 프로그램, 및 플라즈마 제어 프로그램을 포함한다.
기판 포지셔닝 프로그램은 페데스탈 (718) 상으로 기판을 로딩하고 기판과 프로세스 툴 (700) 의 다른 부분들 사이의 간격을 제어하도록 사용되는 프로세스 툴 컴포넌트들에 대한 프로그램 코드를 포함할 수도 있다. 프로세스 가스 제어 프로그램은 가스 조성 (예를 들어, 본 명세서에 기술된 바와 같이, 컨디셔닝 프로세스 가스들, 증착 가스들, 헬륨 가스 또는 배면 플로우를 위한 다른 가스, 캐리어 가스들, 등) 및 플로우 레이트들을 제어하기 위한 그리고 선택 가능하게, 프로세스 스테이션 내 압력을 안정화시키도록 증착 전에 하나 이상의 프로세스 스테이션들 내로 가스를 흘리기 위한 코드를 포함할 수도 있다. 압력 제어 프로그램은 예를 들어, 프로세스 스테이션의 배기 시스템의 쓰로틀 밸브 (throttle valve), 프로세스 스테이션으로의 가스 플로우, 컨디셔닝 동작들 동안 웨이퍼의 배면으로 도입된 가스의 압력 등을 조절함으로써 프로세스 스테이션 내의 압력을 제어하기 위한 코드를 포함할 수도 있다.
히터 제어 프로그램은 본 명세서에 기술된 어닐링 동작들을 위해 기판을 가열하도록 사용되는 가열 유닛으로의 전류를 제어하기 위한 코드를 포함할 수도 있다. 대안적으로, 히터 제어 프로그램은 기판으로의 열 전달 가스 (예컨대, 헬륨) 의 딜리버리를 제어할 수도 있다. 플라즈마 제어 프로그램은 본 명세서의 실시 예들에 따라 하나 이상의 프로세스 스테이션들에서 프로세스 전극들에 인가된 RF 전력 레벨들을 설정하기 위한 코드를 포함할 수도 있다. 압력 제어 프로그램은 본 명세서의 실시 예들에 따라 반응 챔버 내의 압력을 유지하기 위한 코드를 포함할 수도 있다.
일부 실시 예들에서, 시스템 제어기 (750) 와 연관된 사용자 인터페이스가 있을 수도 있다. 사용자 인터페이스는 디스플레이 스크린, 프로세스 조건들 및/또는 장치의 그래픽 소프트웨어 디스플레이들, 및 포인팅 디바이스들, 키보드들, 터치 스크린들, 마이크로폰들, 등과 같은 사용자 입력 디바이스들을 포함할 수도 있다.
일부 실시 예들에서, 시스템 제어기 (750) 에 의해 조정된 파라미터들은 프로세스 조건들과 관련될 수도 있다. 비제한적인 예들은 프로세스 가스 조성 및 플로우 레이트들, 온도, 압력, (RF 바이어스 전력 레벨들과 같은) 플라즈마 조건들 등을 포함한다. 이들 파라미터들은 사용자 인터페이스를 활용하여 입력될 수도 있는, 레시피의 형태로 사용자에게 제공될 수도 있다.
프로세스를 모니터링하기 위한 신호들은 다양한 프로세스 툴 센서들로부터 시스템 제어기 (750) 의 아날로그 및/또는 디지털 입력 연결부들에 의해 제공될 수도 있다. 프로세스를 제어하기 위한 신호들은 프로세스 툴 (700) 의 아날로그 및 디지털 출력 연결부들 상에 출력될 수도 있다. 모니터링될 수도 있는 프로세스 툴 센서들의 비제한적인 예들은 질량 유량 제어기들 (mass flow controllers), (압력계들과 같은) 압력 센서들, 열전대들, 등을 포함한다. 적절히 프로그래밍된 피드백 및 제어 알고리즘들은 프로세스 조건들을 유지하기 위해 이들 센서들로부터의 데이터와 함께 사용될 수도 있다.
시스템 제어기 (750) 는 상기 기술된 증착 프로세스들을 구현하기 위한 프로그램 인스트럭션들을 제공할 수도 있다. 프로그램 인스트럭션들은 DC 전력 레벨, RF 바이어스 전력 레벨, 압력, 온도, 등과 같은 다양한 프로세스 파라미터들을 제어할 수도 있다. 인스트럭션들은 본 명세서에 기술된 다양한 실시 예들에 따라 막들의 인-시츄 증착을 동작하도록 파라미터들을 제어할 수 있다.
시스템 제어기 (750) 는 통상적으로 장치가 개시된 실시 예들에 따른 방법을 수행하도록 인스트럭션들을 실행하기 위해 구성된 하나 이상의 메모리 디바이스들 및 하나 이상의 프로세서들을 포함할 것이다. 개시된 실시 예들에 따른 프로세스 동작들을 제어하기 위한 인스트럭션들을 포함하는 머신-판독 가능 매체는 시스템 제어기 (750) 에 커플링될 수도 있다.
일부 구현 예들에서, 시스템 제어기 (750) 는 상기 기술된 예들의 일부일 수도 있는, 시스템의 일부이다. 이러한 시스템들은 프로세싱 툴 또는 툴들, 챔버 또는 챔버들, 프로세싱을 위한 플랫폼 또는 플랫폼들, 및/또는 특정한 프로세싱 컴포넌트들 (웨이퍼 페데스탈, 가스 플로우 시스템, 등) 을 포함하는 반도체 프로세싱 장비를 포함할 수 있다. 이들 시스템들은 반도체 웨이퍼 또는 기판의 프로세싱 전, 프로세싱 동안 및 프로세싱 후 그들의 동작을 제어하기 위해 전자 장치와 통합될 수도 있다. 전자 장치들은 시스템 또는 시스템들의 다양한 컴포넌트들 또는 하위 부분들을 제어할 수도 있는 "제어기"로서 지칭될 수도 있다. 프로세싱 조건들 및/또는 시스템의 타입에 따라, 시스템 제어기 (750) 는 프로세싱 가스들 및/또는 억제제 가스들의 딜리버리, 온도 설정들 (예를 들어, 가열 및/또는 냉각), 압력 설정들, 진공 설정들, 전력 설정들, 무선 주파수 (radio frequency; RF) 생성기 설정들, RF 매칭 회로 설정들, 주파수 설정들, 플로우 레이트 설정들, 유체 딜리버리 설정들, 위치 설정 및 동작 설정, 툴 및 다른 전달 툴들 내외로의 웨이퍼 전달들 및/또는 특정 시스템에 연결되거나 특정 시스템과 인터페이싱된 (interface) 로드 록들을 포함하여, 본 명세서에 개시된 임의의 프로세스들을 제어하도록 프로그래밍될 수도 있다.
넓게 말하면, 시스템 제어기 (750) 는 인스트럭션들을 수신하고, 인스트럭션들을 발행하고, 동작을 제어하고, 세정 동작들을 인에이블하고, 엔드포인트 측정들을 인에이블하는, 등을 하는 다양한 집적 회로들, 로직, 메모리, 및/또는 소프트웨어를 갖는 전자 장치로서 규정될 수도 있다. 집적 회로들은 프로그램 인스트럭션들을 저장하는 펌웨어의 형태의 칩들, DSPs (digital signal processors), ASICs (application specific integrated circuits) 로서 규정되는 칩들, 및/또는 프로그램 인스트럭션들 (예를 들어, 소프트웨어) 을 실행하는 하나 이상의 마이크로프로세서들, 또는 마이크로제어기들을 포함할 수도 있다. 프로그램 인스트럭션들은 반도체 웨이퍼 상에서 또는 반도체 웨이퍼에 대한 특정 프로세스를 수행하기 위한 동작 파라미터들을 규정하는, 다양한 개별 설정들 (또는 프로그램 파일들) 의 형태로 시스템 제어기 (750) 로 또는 시스템으로 통신되는 인스트럭션들일 수도 있다. 일부 실시 예들에서, 동작 파라미터들은 하나 이상의 층들, 재료들, 금속들, 옥사이드들, 실리콘, 실리콘 다이옥사이드물, 표면들, 회로들, 및/또는 웨이퍼의 다이들의 제조 동안에 하나 이상의 프로세싱 단계들을 달성하도록 프로세스 엔지니어들에 의해서 규정된 레시피의 일부일 수도 있다.
시스템 제어기 (750) 는, 일부 구현 예들에서, 시스템에 통합되거나, 시스템에 커플링되거나, 그렇지 않으면 시스템에 네트워킹되거나, 이들의 조합인 컴퓨터에 커플링되거나 일부일 수도 있다. 예를 들면, 시스템 제어기 (750) 는 웨이퍼 프로세싱의 원격 액세스를 허용할 수 있는, 팹 (fab) 호스트 컴퓨터 시스템의 전부 또는 일부이거나 "클라우드" 내에 있을 수도 있다. 컴퓨터는 제조 동작들의 현 진행을 모니터링하고, 과거 제조 동작들의 이력을 조사하고, 복수의 제조 동작들로부터 경향들 또는 성능 계측치들을 조사하고, 현 프로세싱의 파라미터들을 변경하고, 현 프로세싱을 따르는 프로세싱 단계들을 설정하고, 또는 새로운 프로세스를 시작하기 위해서 시스템으로의 원격 액세스를 인에이블할 수도 있다. 일부 예들에서, 원격 컴퓨터 (예를 들어, 서버) 는 로컬 네트워크 또는 인터넷을 포함할 수도 있는 네트워크를 통해 프로세스 레시피들을 시스템에 제공할 수 있다. 원격 컴퓨터는 차후에 원격 컴퓨터로부터 시스템으로 통신될 파라미터들 및/또는 설정사항들의 입력 또는 프로그래밍을 인에이블하는 사용자 인터페이스를 포함할 수도 있다. 일부 예들에서, 시스템 제어기 (750) 는 하나 이상의 동작들 동안 수행될 프로세싱 단계들 각각에 대한 파라미터들을 특정하는, 데이터의 형태의 인스트럭션들을 수신한다. 파라미터들은 수행될 프로세스의 타입 및 시스템 제어기 (750) 가 인터페이싱하거나 제어하도록 구성된 툴의 타입에 특정적일 수도 있다는 것이 이해되어야 한다. 따라서, 상기 기술된 바와 같이, 시스템 제어기 (750) 는 예를 들어, 함께 네트워킹되고 공통 목적, 예컨대 본 명세서에 기술된 프로세스들 및 제어들을 향해 작동하는 하나 이상의 개별 제어기들을 포함함으로써 분산될 수도 있다. 이러한 목적들을 위한 분산형 제어기의 예는 챔버 상의 프로세스를 제어하도록 결합하는 (예를 들어, 플랫폼 레벨에서 또는 원격 컴퓨터의 일부로서) 원격으로 위치된 하나 이상의 집적 회로들과 통신하는 챔버 상의 하나 이상의 집적 회로들일 것이다.
제한없이, 예시적인 시스템들은 플라즈마 에칭 챔버 또는 모듈, 증착 챔버 또는 모듈, 스핀-린스 챔버 또는 모듈, 금속 도금 챔버 또는 모듈, 세정 챔버 또는 모듈, 베벨 에지 에칭 챔버 또는 모듈, PVD (Physical Vapor Deposition) 챔버 또는 모듈, CVD (Chemical Vapor Deposition) 또는 PECVD 챔버 또는 모듈, ALD 또는 PEALD 챔버 또는 모듈, ALE (atomic layer etch) 챔버 또는 모듈, 이온 주입 챔버 또는 모듈, 트랙 (track) 챔버 또는 모듈, 및 반도체 웨이퍼들의 제조 및/또는 제작 시에 사용되거나 연관될 수도 있는 임의의 다른 반도체 프로세싱 시스템들을 포함할 수도 있다.
상기 주지된 바와 같이, 툴에 의해 수행될 프로세스 단계 또는 단계들에 따라, 시스템 제어기 (750) 는 하나 이상의 다른 툴 회로들 또는 모듈들, 다른 툴 컴포넌트들, 클러스터 툴들, 다른 툴 인터페이스들, 인접한 툴들, 이웃하는 툴들, 공장 전반에 위치된 툴들, 메인 컴퓨터, 또 다른 제어기, 또는 반도체 제작 공장 내의 툴 위치들 및/또는 로드 포트들로 또는 툴 위치들 및/또는 로드 포트들로부터 웨이퍼들의 컨테이너들을 가져 오는 재료 운송에 사용되는 툴들과 통신할 수도 있다.
제어기 (750) 는 또한 본 명세서에 기술된 임의의 기법들을 수행하기 위한 적절한 제어 로직, 또는 비일시적인 머신 판독 가능 인스트럭션들을 포함한다. 이는 기판들로 하여금 챔버들 내 스테이션 각각으로 로딩되게 하는 인스트럭션, 가스 딜리버리 시스템으로 하여금 제 1 기판의 배면 상에 재료의 제 1 보우 보상 층 및 제 2 기판의 배면 상에 재료의 제 1 보우 보상 층을 동시 증착하게 하는, 제 1 스테이션의 제 1 기판의 배면 상으로 그리고 제 2 스테이션의 제 2 기판의 배면 상으로 전구체를 동시에 흘리게 하는 인스트럭션, 및 가스 딜리버리 시스템으로 하여금 제 2 스테이션에서 제 2 기판의 배면 상으로 전구체를 동시에 흘리지 않게 하고 제 2 기판의 배면 상에 재료를 증착하지 않게 하는 동안, 가스 딜리버리 시스템으로 하여금 제 1 기판의 배면 상에 재료의 제 2 보우 보상 층을 증착하도록 제 1 기판의 배면 상으로 전구체를 흘리게 하는 인스트럭션을 포함한다.
본 개시는 또한 부가적인 그리고/또는 대안적인 구현 예들을 포함한다. 구현 예 1: 방법은 반도체 프로세싱 챔버의 제 1 스테이션으로 제 1 기판을 제공하는 단계; 반도체 프로세싱 챔버의 제 2 스테이션으로 제 2 기판을 제공하는 단계; 프로세스 조건들의 제 1 세트 하에서 제 1 스테이션의 제 1 기판의 배면 상에 제 1 값을 갖는 속성을 가진 재료의 제 1 보우 보상 층, 및 제 1 프로세스 조건들의 제 1 세트와 상이한 프로세스 조건들의 제 2 세트 하에서 제 2 스테이션의 제 2 기판의 배면 상에 제 2 값을 갖는 속성을 가진 재료의 제 2 보우 보상 층을 동시 증착하는 단계로서, 재료의 제 1 보우 보상 층의 속성의 제 1 값은 재료의 제 2 보우 보상 층의 속성의 제 2 값과 상이한, 동시 증착하는 단계를 포함한다.
구현 예 2 : 구현 예 1에 있어서, 속성은 두께이고, 제 1 값은 제 2 값보다 크다.
구현 예 3 : 구현 예 1에 있어서, 속성은 압축 응력이다.
구현 예 4 : 구현 예 1에 있어서, 속성은 인장 응력이다.
구현 예 5 : 구현 예 1에 있어서, 프로세스 조건들의 제 1 세트는 제 1 지속 기간을 갖고 프로세스 조건들의 제 2 세트는 제 1 지속 기간보다 짧은 제 2 지속 기간을 갖는다.
구현 예 6 : 구현 예 1에 있어서, 프로세스 조건들의 제 1 세트는 제 1 온도를 갖고 프로세스 조건들의 제 2 세트는 제 1 온도와 상이한 제 2 온도를 갖는다.
구현 예 7 : 구현 예 1에 있어서, 프로세스 조건들의 제 1 세트는 제 1 전구체 플로우 레이트를 갖고 프로세스 조건들의 제 2 세트는 제 1 전구체 플로우 레이트와 상이한 제 2 전구체 플로우 레이트를 갖는다.
구현 예 8 : 구현 예 1에 있어서, 프로세스 조건들의 제 1 세트는 제 1 플라즈마 전력을 갖고 프로세스 조건들의 제 2 세트는 제 1 플라즈마 전력과 상이한 제 2 플라즈마 전력을 갖는다.
구현 예 9 : 방법은 반도체 프로세싱 챔버의 제 1 스테이션으로 제 1 기판을 제공하는 단계; 반도체 프로세싱 챔버의 제 2 스테이션으로 제 2 기판을 제공하는 단계; 프로세스 조건들의 제 1 세트 하에서 제 1 스테이션의 제 1 기판의 배면 상에 재료의 제 1 보우 보상 층 및 프로세스 조건들의 제 1 세트 하에서 제 2 스테이션의 제 2 기판의 배면 상에 재료의 제 1 보우 보상 층을 동시 증착하는 단계; 제 2 스테이션의 프로세스 조건들의 제 1 세트와는 상이한 프로세스 조건들의 제 2 세트로 프로세스 조건들을 조정하는 단계: 및 프로세스 조건들의 제 1 세트 하에서 제 1 스테이션의 제 1 기판의 배면 상에 재료의 제 2 보우 보상 층, 및 프로세스 조건들의 제 2 세트 하에서 제 2 스테이션의 제 2 기판의 배면 상에 재료의 제 3 보우 보상 층을 동시 증착하는 단계로서, 재료의 제 2 보우 보상 층은 재료의 제 3 보우 보상 층과 상이한, 동시 증착하는 단계를 포함한다.
구현 예 10 : 구현 예 9에 있어서, 조정하는 단계는 제 2 스테이션의 플라즈마 전력을 변화시키는 단계를 더 포함한다.
구현 예 11 : 구현 예 9에 있어서, 조정하는 단계는 제 2 스테이션의 온도를 변화시키는 단계를 더 포함한다.
구현 예 12 : 구현 예 9에 있어서, 조정하는 단계는 제 2 스테이션의 전구체 플로우 레이트를 변화시키는 단계를 더 포함한다.
구현 예 13 : 기판들 상으로 배면 증착을 수행하기 위한 시스템에 있어서, 시스템은 전구체 가스 소스를 포함하는 가스 딜리버리 시스템; 적어도 2 개의 스테이션들을 포함하는 프로세싱 챔버로서, 스테이션 각각은 가스 딜리버리 시스템에 유체로 연결되고 해당 스테이션의 기판의 배면 상으로 전구체를 흘리도록 구성되는, 프로세싱 챔버; 및 시스템을 제어하고 제어 로직을 포함하는 제어기를 포함하며, 제어 로직은, 가스 딜리버리 시스템으로 하여금 제 1 스테이션의 제 1 기판의 배면 상으로 그리고 제 2 스테이션의 제 2 기판의 배면 상으로 동시에 전구체를 흘리게 하고, 그리고 이에 의해 제 1 기판의 배면 상에 재료의 제 1 보우 보상 층, 및 제 2 기판의 배면 상에 재료의 제 1 보우 보상 층을 동시 증착하게 하고, 그리고 가스 딜리버리 시스템으로 하여금 제 2 스테이션의 제 2 기판의 배면 상으로 전구체를 동시에 흘리지 않게 하고 제 2 기판의 배면 상에 재료를 증착하지 않게 하는 동안, 가스 딜리버리 시스템으로 하여금 제 1 스테이션의 제 1 기판의 배면 상으로 전구체를 흘리게 하고, 그리고 이에 의해 제 1 기판의 배면 상에 재료의 제 2 보우 보상 층을 증착하게 한다.
결론
전술한 실시 예들이 이해의 명료성을 위해 일부 상세히 기술되었지만, 특정한 변화들 및 수정들이 첨부된 청구항들의 범위 내에서 실시될 수도 있다는 것이 자명할 것이다. 본 실시 예들의 프로세스들, 시스템들, 및 장치를 구현하는 많은 대안적인 방식들이 있다는 것을 주의해야 한다. 이에 따라, 본 실시 예들은 예시적인 것이고 제한적인 것이 아닌 것으로 간주되어야 하고, 실시 예들은 본 명세서에 제공된 상세들로 제한되지 않는다.

Claims (28)

  1. 반도체 프로세싱 챔버의 제 1 스테이션으로 제 1 기판을 제공하는 단계;
    상기 반도체 프로세싱 챔버의 제 2 스테이션으로 제 2 기판을 제공하는 단계;
    상기 제 1 스테이션에서 상기 제 1 기판의 배면 상에 재료의 제 1 보우 보상 층과 상기 제 2 스테이션에서 상기 제 2 기판의 배면 상에 재료의 제 1 보우 보상 층을 동시 증착하는 단계; 및
    상기 제 1 기판이 상기 제 1 스테이션에 있고 상기 제 2 기판이 상기 제 2 스테이션에 있는 동안, 그리고 상기 제 2 기판의 상기 배면 상에 재료를 동시 증착하지 않는 동안, 상기 제 1 기판의 상기 배면 상에 재료의 제 2 보우 보상 층을 증착하는 단계를 포함하는, 방법.
  2. 제 1 항에 있어서,
    상기 제 1 기판의 상기 배면 상과 상기 제 2 기판의 상기 배면 상에 상기 재료의 제 1 보우 보상 층을 동시 증착하는 단계는 상기 제 1 스테이션에서 상기 제 1 기판의 상기 배면 상으로 그리고 상기 제 2 스테이션에서 상기 제 2 기판의 상기 배면 상으로 전구체를 동시에 흘리는 단계는 더 포함하고, 그리고
    상기 제 1 기판의 상기 배면 상에 상기 재료의 제 2 보우 보상 층을 증착하는 단계는 상기 전구체를 상기 제 2 기판의 상기 배면 상으로 동시에 흘리지 않는 동안 상기 제 1 스테이션에서 상기 제 1 기판의 상기 배면 상으로 상기 전구체를 흘리는 단계를 더 포함하는, 방법.
  3. 제 1 항에 있어서,
    상기 제 1 기판의 상기 배면 상에 상기 재료의 제 1 보우 보상 층 및 상기 재료의 제 2 보우 보상 층을 증착하는 단계는 제 1 양만큼 상기 제 1 기판의 제 1 보우를 감소시키고, 그리고
    상기 제 2 기판의 상기 배면 상에 상기 재료의 제 1 보우 보상 층을 증착하는 단계는 상기 제 1 양보다 적은 제 2 양만큼 상기 제 2 기판의 제 2 보우를 감소시키는, 방법.
  4. 제 1 항에 있어서,
    상기 제 1 기판은 상기 제 1 스테이션에 제공될 때 제 1 보우로 보잉되고, 그리고
    상기 제 2 기판은 상기 제 2 스테이션에 제공될 때 상기 제 1 보우보다 작은 제 2 보우로 보잉되는, 방법.
  5. 제 4 항에 있어서,
    상기 제 1 보우는 -30 ㎛ 내지 +30 ㎛이고,
    상기 제 2 보우는 -30 ㎛ 내지 +30 ㎛이고 그리고 상기 제 1 보우보다 작고,
    상기 제 1 기판의 상기 배면 상에 상기 재료의 제 1 보우 보상 층 및 상기 재료의 제 2 보우 보상 층을 증착하는 단계 후, 상기 제 1 기판은 -10 ㎛ 내지 +10 ㎛인 제 3 보우를 갖고, 그리고
    상기 제 2 기판의 상기 배면 상으로 상기 재료의 제 1 보우 보상 층을 증착하는 단계 후, 상기 제 2 기판은 -10 ㎛ 내지 +10 ㎛인 제 4 보우를 갖는, 방법.
  6. 제 4 항에 있어서,
    상기 제 1 보우는 -300 ㎛ 내지 +300 ㎛이고,
    상기 제 2 보우는 -300 ㎛ 내지 +300 ㎛이고 그리고 상기 제 1 보우보다 작고,
    상기 제 1 기판의 상기 배면 상에 상기 재료의 제 1 보우 보상 층 및 상기 재료의 제 2 보우 보상 층을 증착하는 단계 후, 상기 제 1 기판은 -200 ㎛ 내지 +200 ㎛인 제 3 보우를 갖고, 그리고
    상기 제 2 기판의 상기 배면 상으로 상기 재료의 제 1 보우 보상 층을 증착하는 단계 후, 상기 제 2 기판은 -200 ㎛ 내지 +200 ㎛인 제 4 보우를 갖는, 방법.
  7. 제 1 항에 있어서,
    상기 제 1 기판의 상기 배면 및 상기 제 2 기판의 상기 배면 상에 상기 제 1 보우 보상 층을 동시 증착하는 단계는 상기 제 2 기판의 상기 배면 상에 재료를 동시 증착하지 않는 동안 상기 제 1 기판의 상기 배면 상에 상기 제 2 보우 보상 층을 증착하기 전에 수행되는, 방법.
  8. 제 7 항에 있어서,
    상기 제 1 기판의 상기 배면 및 상기 제 2 기판의 상기 배면 상에 상기 제 1 보우 보상 층을 동시 증착하는 단계 후, 상기 제 2 기판 상의 상기 제 1 보우 보상 층의 증착을 중단하는 단계를 더 포함하고,
    재료는 상기 동시 증착하는 단계와 상기 제 1 기판의 상기 배면 상에 상기 재료의 제 2 보우 보상층을 증착하는 단계 사이에 그리고 상기 동시 증착하는 단계 및 상기 제 1 기판의 상기 배면 상에 상기 재료의 제 2 보우 보상층을 증착하는 단계 동안, 상기 제 1 기판의 상기 배면 상에 계속적으로 증착되는, 방법.
  9. 제 8 항에 있어서,
    상기 제 1 기판의 상기 배면 및 상기 제 2 기판의 상기 배면 상에 상기 재료의 제 1 보우 보상 층을 동시 증착하는 단계는 상기 제 1 스테이션에서 상기 제 1 기판의 상기 배면 상으로 그리고 상기 제 2 스테이션에서 상기 제 2 기판의 상기 배면 상으로 전구체를 동시에 흘리는 단계를 더 포함하고,
    상기 제 1 기판의 상기 배면 상에 상기 재료의 제 2 보우 보상 층을 증착하는 단계는 상기 전구체를 상기 제 2 기판의 상기 배면 상으로 동시에 흘리지 않는 동안 상기 제 1 스테이션에서 상기 제 1 기판의 상기 배면 상으로 상기 전구체를 흘리는 단계를 더 포함하고,
    상기 중단하는 단계는 상기 제 2 기판의 상기 배면 상으로의 상기 전구체의 상기 흘리는 것을 중단하는 단계를 더 포함하고, 그리고
    상기 전구체는 상기 동시 증착하는 단계와 상기 제 1 기판의 상기 배면 상에 상기 재료의 제 2 보우 보상층을 증착하는 단계 사이에 그리고 상기 동시 증착하는 단계 및 상기 제 1 기판의 상기 배면 상에 상기 재료의 제 2 보우 보상층을 증착하는 단계 동안, 상기 제 1 기판의 상기 배면 상으로 계속적으로 흐르는, 방법.
  10. 제 1 항에 있어서,
    상기 제 2 기판의 상기 배면 상에 재료를 동시 증착하지 않는 동안 상기 제 1 기판의 상기 배면 상에 상기 제 2 보우 보상 층을 증착하는 단계는 상기 제 1 기판의 상기 배면 및 상기 제 2 기판의 상기 배면 상에 상기 제 1 보우 보상 층을 동시 증착하기 전에 수행되는, 방법.
  11. 제 10 항에 있어서,
    상기 제 2 기판의 상기 배면 상에 재료를 동시 증착하지 않는 동안 상기 제 1 기판 상에 상기 제 2 보우 보상 층을 증착하는 단계 후, 상기 제 1 기판의 상기 배면 및 상기 제 2 기판의 상기 배면 상에 상기 제 1 보우 보상 층을 동시 증착하는 단계를 시작하는 단계를 더 포함하고,
    재료는 상기 동시 증착하는 단계와 상기 제 1 기판의 상기 배면 상에 상기 재료의 제 2 보우 보상층을 증착하는 단계 사이에 그리고 상기 동시 증착하는 단계 및 상기 제 1 기판의 상기 배면 상에 상기 재료의 제 2 보우 보상층을 증착하는 단계 동안, 상기 제 1 기판의 상기 배면 상에 계속적으로 증착되는, 방법.
  12. 제 11 항에 있어서,
    상기 제 1 기판의 상기 배면 및 상기 제 2 기판의 상기 배면 상에 상기 재료의 제 1 보우 보상 층을 동시 증착하는 단계는 상기 제 1 스테이션에서 상기 제 1 기판의 상기 배면 상으로 그리고 상기 제 2 스테이션에서 상기 제 2 기판의 상기 배면 상으로 전구체를 동시에 흘리는 단계를 더 포함하고,
    상기 제 1 기판의 상기 배면 상에 상기 재료의 제 2 보우 보상 층을 증착하는 단계는 상기 전구체를 상기 제 2 기판의 상기 배면 상으로 동시에 흘리지 않는 동안 상기 제 1 스테이션에서 상기 제 1 기판의 상기 배면 상으로 상기 전구체를 흘리는 단계를 더 포함하고,
    상기 시작하는 단계는 상기 제 2 기판의 상기 배면 상으로의 상기 전구체의 플로우를 시작하는 단계를 더 포함하고, 그리고
    상기 전구체는 상기 동시 증착하는 단계와 상기 제 1 기판의 상기 배면 상에 상기 재료의 제 2 보우 보상층을 증착하는 단계 사이에 그리고 상기 동시 증착하는 단계 및 상기 제 1 기판의 상기 배면 상에 상기 재료의 제 2 보우 보상층을 증착하는 단계 동안, 상기 제 1 기판의 상기 배면 상으로 계속적으로 흐르는, 방법.
  13. 제 1 항에 있어서,
    상기 재료의 제 1 보우 보상 층 및 상기 재료의 제 2 보우 보상 층은 실리콘 나이트라이드를 포함하는, 방법.
  14. 제 13 항에 있어서,
    상기 제 1 기판은 상기 제 1 스테이션에 제공될 때 제 1 오목한 보우로 보잉되고, 그리고
    상기 제 2 기판은 상기 제 2 스테이션에 제공될 때 제 2 오목한 보우로 보잉되는, 방법.
  15. 제 13 항에 있어서,
    상기 제 1 기판의 상기 배면 및 상기 제 2 기판의 상기 배면 상에 상기 재료의 제 1 보우 보상 층을 증착하는 단계는 상기 기판들의 상기 배면을 암모니아 및 실리콘-함유 전구체와 콘택트시키는 단계를 더 포함하고, 그리고
    상기 제 1 기판의 상기 배면 상에 상기 재료의 제 2 보우 보상 층을 증착하는 단계는 상기 제 1 기판의 상기 배면을 암모니아 및 실리콘-함유 전구체와 콘택트시키는 단계를 더 포함하는, 방법.
  16. 제 1 항에 있어서,
    상기 재료의 제 1 보우 보상 층 및 상기 재료의 제 2 보우 보상 층은 실리콘 옥사이드를 포함하는, 방법.
  17. 제 16 항에 있어서,
    상기 제 1 기판은 상기 제 1 스테이션에 제공될 때 제 1 볼록한 보우로 보잉되고, 그리고
    상기 제 2 기판은 상기 제 2 스테이션에 제공될 때 제 2 볼록한 보우로 보잉되는, 방법.
  18. 제 16 항에 있어서,
    상기 제 1 기판의 상기 배면 및 상기 제 2 기판의 상기 배면 상에 상기 재료의 제 1 보우 보상 층을 증착하는 단계는 상기 기판들의 상기 배면을 아산화질소 및 실리콘-함유 전구체와 콘택트시키는 단계를 더 포함하고, 그리고
    상기 제 1 기판의 상기 배면 상에 상기 재료의 제 2 보우 보상 층을 증착하는 단계는 상기 제 1 기판의 상기 배면을 아산화질소 및 실리콘-함유 전구체와 콘택트시키는 단계를 더 포함하는, 방법.
  19. 제 1 항에 있어서,
    상기 제 1 스테이션에 제공될 때, 상기 제 1 기판은 보잉되고 내부 압축 응력을 갖는 상기 제 1 기판의 전면 (front side) 상에 증착된 층들을 갖고,
    상기 제 2 스테이션에 제공될 때, 상기 제 2 기판은 보잉되고 내부 압축 응력을 갖는 상기 제 2 기판의 전면 상에 증착된 층들을 갖고, 그리고
    상기 제 1 기판의 상기 배면 및 상기 제 2 기판의 상기 배면 상에 증착된 상기 재료의 제 1 보우 보상 층은 내부 인장 응력을 갖는, 방법.
  20. 제 1 항에 있어서,
    상기 제 1 스테이션에 제공될 때, 상기 제 1 기판은 보잉되고 내부 인장 응력을 갖는 상기 제 1 기판의 전면 상에 증착된 층들을 갖고,
    상기 제 2 스테이션에 제공될 때, 상기 제 2 기판은 보잉되고 내부 인장 응력을 갖는 상기 제 2 기판의 전면 상에 증착된 층들을 갖고, 그리고
    상기 제 1 기판의 상기 배면 및 상기 제 2 기판의 상기 배면 상에 증착된 상기 재료의 제 1 보우 보상 층은 내부 압축 응력을 갖는, 방법.
  21. 제 1 항에 있어서,
    상기 재료의 보우 보상 층들을 상기 제 1 기판 및 상기 제 2 기판 상에 증착하는 단계는 PECVD에 의해 수행되는, 방법.
  22. 제 21 항에 있어서,
    상기 재료의 보우 보상 층들을 상기 제 1 기판 및 상기 제 2 기판 상으로 증착하는 단계는 상기 제 1 스테이션에서 플라즈마를 점화하는 단계를 포함하고, 그리고
    상기 동시 증착하는 단계와 상기 제 1 기판의 상기 배면 상에 상기 재료의 제 2 보우 보상층을 증착하는 단계 사이에 그리고 상기 동시 증착하는 단계 및 상기 제 1 기판의 상기 배면 상에 상기 재료의 제 2 보우 보상층을 증착하는 단계 동안, 상기 제 1 스테이션의 상기 플라즈마는 소화되지 (extinguish) 않는, 방법.
  23. 제 1 항에 있어서,
    상기 제 1 스테이션으로 상기 제 1 기판을 제공하기 전에, 상기 제 1 기판의 측정된 제 1 보우를 결정하는 단계,
    상기 제 2 스테이션으로 상기 제 2 기판을 제공하기 전에, 상기 제 2 기판의 측정된 제 2 보우를 결정하는 단계,
    상기 측정된 제 1 보우에 기초하여, 상기 제 1 기판 상으로 상기 보우 보상 재료를 증착하기 위한 제 1 증착 시간을 결정하는 단계,
    상기 측정된 제 2 보우에 기초하여, 상기 제 2 기판 상으로 상기 보우 보상 재료를 증착하기 위한 제 2 증착 시간을 결정하는 단계를 더 포함하고,
    상기 제 1 증착 시간은 상기 제 2 증착 시간보다 길고,
    상기 제 1 기판의 상기 배면 및 상기 제 2 기판의 상기 배면 상에 상기 재료의 제 1 보우 보상 층을 동시 증착하는 단계는 상기 제 2 증착 시간 동안 수행되고,
    상기 제 1 기판의 상기 배면 상에 상기 재료의 제 2 보우 보상 층을 증착하는 단계는 제 3 증착 시간 동안 수행되고, 그리고
    상기 제 1 증착 시간은 상기 제 2 증착 시간과 상기 제 3 증착 시간의 합과 실질적으로 같은, 방법.
  24. 제 23 항에 있어서,
    상기 측정된 제 1 보우 및 상기 측정된 제 2 보우를 결정하는 단계는 하나 이상의 레이저들을 사용하여 결정되는, 방법.
  25. 제 23 항에 있어서,
    상기 제 1 증착 시간을 결정하는 단계는 (i) 기판 상의 보우를 감소시키는데 요구되는 배면 증착 시간과 (ii) 보우 감소량을 나타내는 변수 사이의 관계에 상기 측정된 제 1 보우, 또는 상기 측정된 제 1 보우로부터 도출된 파라미터를 적용하는 단계를 더 포함하고, 그리고 상기 적용하는 단계는 상기 제 1 증착 시간을 리턴하는, 방법.
  26. 제 25 항에 있어서,
    상기 관계는 실질적으로 선형인, 방법.
  27. 제 1 항에 있어서,
    상기 제 1 기판의 상기 배면 상과 상기 제 2 기판의 상기 배면 상에 상기 재료의 제 1 보우 보상 층을 동시 증착하는 단계는 60 초 내지 2,000 초의 시간 범위 동안 수행되고, 그리고
    상기 제 1 기판의 상기 배면 상에 상기 재료의 제 2 보우 보상 층을 증착하는 단계는 60 초 내지 2,000 초의 시간 범위 동안 수행되는, 방법.
  28. 제 1 항에 있어서,
    상기 제 1 기판의 상기 배면 상에 상기 재료의 제 1 보우 보상 층 및 상기 재료의 제 2 보우 보상 층을 증착하는 단계는 상기 제 1 기판의 배면 상에 상기 재료의 제 1 총 두께 (total thickness) 를 발생시키고, 그리고
    상기 제 2 기판의 상기 배면 상에 상기 재료의 제 1 보우 보상 층을 증착하는 단계는 상기 제 1 총 두께보다 작은, 상기 제 2 기판의 상기 배면 상에 재료의 제 2 총 두께를 발생시키는, 방법.
KR1020227022697A 2020-01-03 2020-12-10 배면 보우 보상 증착의 스테이션-대-스테이션 (station-to-station) 제어 KR102618869B1 (ko)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020237044523A KR102656744B1 (ko) 2020-01-03 2020-12-10 배면 보우 보상 증착의 스테이션-대-스테이션 (station-to-station) 제어

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US202062957077P 2020-01-03 2020-01-03
US62/957,077 2020-01-03
PCT/US2020/064344 WO2021138018A1 (en) 2020-01-03 2020-12-10 Station-to-station control of backside bow compensation deposition

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020237044523A Division KR102656744B1 (ko) 2020-01-03 2020-12-10 배면 보우 보상 증착의 스테이션-대-스테이션 (station-to-station) 제어

Publications (2)

Publication Number Publication Date
KR20220098816A KR20220098816A (ko) 2022-07-12
KR102618869B1 true KR102618869B1 (ko) 2023-12-27

Family

ID=76687001

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020237044523A KR102656744B1 (ko) 2020-01-03 2020-12-10 배면 보우 보상 증착의 스테이션-대-스테이션 (station-to-station) 제어
KR1020227022697A KR102618869B1 (ko) 2020-01-03 2020-12-10 배면 보우 보상 증착의 스테이션-대-스테이션 (station-to-station) 제어

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020237044523A KR102656744B1 (ko) 2020-01-03 2020-12-10 배면 보우 보상 증착의 스테이션-대-스테이션 (station-to-station) 제어

Country Status (5)

Country Link
US (1) US20230032481A1 (ko)
JP (1) JP2023509451A (ko)
KR (2) KR102656744B1 (ko)
CN (1) CN115244655A (ko)
WO (1) WO2021138018A1 (ko)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11942359B2 (en) * 2021-11-30 2024-03-26 Texas Instruments Incorporated Reduced semiconductor wafer bow and warpage

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20150332912A1 (en) 2014-05-15 2015-11-19 Lam Research Corporation Single ald cycle thickness control in multi-station substrate deposition systems
WO2019046134A1 (en) 2017-08-31 2019-03-07 Lam Research Corporation PECVD DEPOSITION SYSTEM FOR DEPOSITION ON A SELECTED SIDE OF A SUBSTRATE
US20190287854A1 (en) 2018-03-14 2019-09-19 Raytheon Company Stress compensation and relief in bonded wafers

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2013513944A (ja) * 2009-12-11 2013-04-22 ナショナル セミコンダクター コーポレーション ガリウム窒化物又は他の窒化物ベースの半導体デバイスの裏側応力補償
US9613842B2 (en) * 2014-02-19 2017-04-04 Globalfoundries Inc. Wafer handler and methods of manufacture
US9881788B2 (en) * 2014-05-22 2018-01-30 Lam Research Corporation Back side deposition apparatus and applications
US10818611B2 (en) * 2015-07-01 2020-10-27 Ii-Vi Delaware, Inc. Stress relief in semiconductor wafers
US20170314129A1 (en) * 2016-04-29 2017-11-02 Lam Research Corporation Variable cycle and time rf activation method for film thickness matching in a multi-station deposition system

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20150332912A1 (en) 2014-05-15 2015-11-19 Lam Research Corporation Single ald cycle thickness control in multi-station substrate deposition systems
WO2019046134A1 (en) 2017-08-31 2019-03-07 Lam Research Corporation PECVD DEPOSITION SYSTEM FOR DEPOSITION ON A SELECTED SIDE OF A SUBSTRATE
US20190287854A1 (en) 2018-03-14 2019-09-19 Raytheon Company Stress compensation and relief in bonded wafers

Also Published As

Publication number Publication date
CN115244655A (zh) 2022-10-25
KR20240005988A (ko) 2024-01-12
JP2023509451A (ja) 2023-03-08
KR20220098816A (ko) 2022-07-12
KR102656744B1 (ko) 2024-04-12
WO2021138018A1 (en) 2021-07-08
US20230032481A1 (en) 2023-02-02

Similar Documents

Publication Publication Date Title
JP7022537B2 (ja) プラズマ支援および熱原子層堆積プロセスによる窒化膜形成
US10134579B2 (en) Method for high modulus ALD SiO2 spacer
KR20230039625A (ko) 저온 ald 막들을 위한 챔버 언더코팅 준비 방법
US9624578B2 (en) Method for RF compensation in plasma assisted atomic layer deposition
US11286560B2 (en) Thickness compensation by modulation of number of deposition cycles as a function of chamber accumulation for wafer to wafer film thickness matching
KR20150086197A (ko) 기상 증착된 막들의 결함 감소를 위한 방법 및 장치
KR20160131943A (ko) 박막들의 잔여 응력을 조절하는 방법들
US11107683B2 (en) Selective growth of metal-containing hardmask thin films
US10903070B2 (en) Asymmetric wafer bow compensation by chemical vapor deposition
KR102591651B1 (ko) 비대칭 웨이퍼 보우 보상
KR20170021208A (ko) 반도체 디바이스에서 막들을 치밀화하는 방법
KR102618869B1 (ko) 배면 보우 보상 증착의 스테이션-대-스테이션 (station-to-station) 제어
CN115398032A (zh) 原子层沉积过程中的损失预防
US20220384186A1 (en) Methods to enable seamless high quality gapfill
CN114761612A (zh) 原位pecvd覆盖层
TWI834679B (zh) 含金屬硬遮罩薄膜的選擇性生長
WO2023230296A1 (en) Single wafer reactor, low temperature, thermal silicon nitride deposition
TW202413687A (zh) 單晶圓反應器、低溫熱矽氮化物沉積
TW202340510A (zh) 用於針對低溫前驅物改進保形性的原子層沉積脈衝序列工程

Legal Events

Date Code Title Description
A302 Request for accelerated examination
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant